blob: e43db62dfad693ed293bf4c59839ce187e71e047 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0
;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
VIAS 3 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
- via4_3000x3000
+ VIARULE M4M5_PR
+ CUTSIZE 800 800
+ LAYERS met4 via4 met5
+ CUTSPACING 800 800
+ ENCLOSURE 1100 300 1100 310
+ ROWCOL 2 1
;
END VIAS
COMPONENTS 1 ;
- mprj accelerator_top + FIXED ( 775000 875000 ) N ;
END COMPONENTS
PINS 1007 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 29580 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2375580 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2610180 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2844780 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3079380 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3313980 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 264180 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3483300 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3195660 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2908700 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2621060 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2334100 ) N ;
- analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2046460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 498780 ) N ;
- analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1759500 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 733380 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 967980 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1202580 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1437180 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1671780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1906380 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2140980 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 88060 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2434060 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2669340 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2903940 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3138540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3373140 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 322660 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3411220 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3124260 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2836620 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2549660 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2262020 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1975060 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 557260 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1687420 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1471860 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1256300 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1040740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 825180 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 610300 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 394740 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 179180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 791860 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1026460 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1261060 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1495660 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1730260 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1964860 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2199460 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 205020 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2551700 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2786300 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3255500 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3490100 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 439620 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3267740 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2980100 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2693140 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2405500 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2118540 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1830900 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 674220 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1543940 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1328380 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1112820 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 897260 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 681700 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 466140 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 250580 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 35700 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 909500 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1144100 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1378700 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1613300 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1847900 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2082500 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2317100 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 146540 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2493220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2727820 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2962420 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3197020 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3431620 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 381140 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3339820 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3052180 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2765220 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2477580 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2189940 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1902980 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 615740 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1615340 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1184900 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 969340 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 753780 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 538220 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 322660 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 107100 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 850340 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1084940 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1319540 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1554140 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1789420 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024020 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2258620 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 633190 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2417530 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2435010 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2452950 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2470890 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2488830 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2506310 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2524250 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2542190 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2560130 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2578070 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 811670 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2595550 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2613490 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2631430 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2649370 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2667310 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2684790 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2702730 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2720670 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2738610 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2756090 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 829610 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774030 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2791970 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2809910 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2827850 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845330 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863270 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881210 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 847090 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865030 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 882970 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 900910 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936330 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 651130 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 990150 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043510 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1061450 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1079390 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096870 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1114810 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1132750 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1150690 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 669070 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1168630 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1186110 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1204050 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1221990 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1239930 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1275350 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1293290 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1311230 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1329170 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 686550 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1346650 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1364590 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1382530 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1400470 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1418410 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1435890 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1453830 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1471770 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1489710 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1507190 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 704490 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1525130 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1543070 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1561010 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1578950 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1596430 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1614370 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1632310 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1650250 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1668190 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1685670 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 722430 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1703610 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1721550 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1739490 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1756970 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1774910 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1792850 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1810790 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1828730 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1846210 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864150 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 740370 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882090 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935450 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1989270 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024690 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042630 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 757850 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2060570 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2078510 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095990 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113930 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2131870 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149810 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2167750 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2185230 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2203170 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2221110 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 775790 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2239050 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2256530 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2274470 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2292410 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2310350 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2328290 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2345770 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2363710 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2381650 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2399590 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 793730 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 639170 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2423050 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2440990 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2458930 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2476870 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2494810 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2512290 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2530230 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2548170 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2566110 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2584050 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 817650 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2601530 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2619470 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2637410 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2655350 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2672830 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2690770 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2708710 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2726650 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2744590 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2762070 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 835590 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780010 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2797950 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2815890 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2833830 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851310 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869250 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853070 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871010 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 888950 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 906890 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924370 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942310 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 657110 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 996130 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031550 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049490 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1067430 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1085370 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102850 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1120790 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1138730 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1156670 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 674590 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1174150 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1192090 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1210030 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1227970 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1245910 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1263390 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1281330 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1299270 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1317210 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1335150 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 692530 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1352630 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1370570 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1388510 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1406450 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1423930 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1441870 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1459810 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1477750 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1495690 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1513170 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 710470 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1531110 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1549050 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1566990 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1584930 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1602410 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1620350 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1638290 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1656230 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1673710 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1691650 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 728410 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1709590 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1727530 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1745470 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1762950 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1780890 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1798830 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1816770 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1834710 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1852190 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870130 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 746350 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888070 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923490 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1977310 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1995250 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030670 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048610 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 763830 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2066550 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2084490 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101970 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2119910 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2137850 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2155790 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2173270 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2191210 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2209150 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2227090 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 781770 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2245030 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2262510 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2280450 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2298390 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2316330 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2334270 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2351750 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2369690 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2387630 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2405570 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 799710 -1200 ) N ;
- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 645150 -1200 ) N ;
- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2429030 -1200 ) N ;
- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2446970 -1200 ) N ;
- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2464910 -1200 ) N ;
- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2482850 -1200 ) N ;
- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2500790 -1200 ) N ;
- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2518270 -1200 ) N ;
- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2536210 -1200 ) N ;
- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2554150 -1200 ) N ;
- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2572090 -1200 ) N ;
- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2589570 -1200 ) N ;
- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 823630 -1200 ) N ;
- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2607510 -1200 ) N ;
- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2625450 -1200 ) N ;
- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2643390 -1200 ) N ;
- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2661330 -1200 ) N ;
- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2678810 -1200 ) N ;
- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2696750 -1200 ) N ;
- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2714690 -1200 ) N ;
- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2732630 -1200 ) N ;
- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2750570 -1200 ) N ;
- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768050 -1200 ) N ;
- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 841110 -1200 ) N ;
- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2785990 -1200 ) N ;
- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2803930 -1200 ) N ;
- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2821870 -1200 ) N ;
- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2839350 -1200 ) N ;
- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857290 -1200 ) N ;
- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875230 -1200 ) N ;
- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859050 -1200 ) N ;
- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 876990 -1200 ) N ;
- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 894930 -1200 ) N ;
- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930350 -1200 ) N ;
- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948290 -1200 ) N ;
- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 663090 -1200 ) N ;
- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1002110 -1200 ) N ;
- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037530 -1200 ) N ;
- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055470 -1200 ) N ;
- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1073410 -1200 ) N ;
- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090890 -1200 ) N ;
- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1108830 -1200 ) N ;
- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1126770 -1200 ) N ;
- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1144710 -1200 ) N ;
- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1162650 -1200 ) N ;
- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 680570 -1200 ) N ;
- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1180130 -1200 ) N ;
- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1198070 -1200 ) N ;
- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1216010 -1200 ) N ;
- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1233950 -1200 ) N ;
- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1251890 -1200 ) N ;
- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1269370 -1200 ) N ;
- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1287310 -1200 ) N ;
- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1305250 -1200 ) N ;
- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1323190 -1200 ) N ;
- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1340670 -1200 ) N ;
- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 698510 -1200 ) N ;
- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1358610 -1200 ) N ;
- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1376550 -1200 ) N ;
- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1394490 -1200 ) N ;
- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1412430 -1200 ) N ;
- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1429910 -1200 ) N ;
- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1447850 -1200 ) N ;
- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1465790 -1200 ) N ;
- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1483730 -1200 ) N ;
- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1501670 -1200 ) N ;
- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1519150 -1200 ) N ;
- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 716450 -1200 ) N ;
- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1537090 -1200 ) N ;
- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1555030 -1200 ) N ;
- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1572970 -1200 ) N ;
- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1590450 -1200 ) N ;
- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1608390 -1200 ) N ;
- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1626330 -1200 ) N ;
- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1644270 -1200 ) N ;
- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662210 -1200 ) N ;
- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1679690 -1200 ) N ;
- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1697630 -1200 ) N ;
- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 734390 -1200 ) N ;
- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1715570 -1200 ) N ;
- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1733510 -1200 ) N ;
- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1751450 -1200 ) N ;
- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1768930 -1200 ) N ;
- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1786870 -1200 ) N ;
- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1804810 -1200 ) N ;
- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1822750 -1200 ) N ;
- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1840230 -1200 ) N ;
- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858170 -1200 ) N ;
- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876110 -1200 ) N ;
- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 752330 -1200 ) N ;
- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894050 -1200 ) N ;
- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929470 -1200 ) N ;
- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1983290 -1200 ) N ;
- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2001230 -1200 ) N ;
- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018710 -1200 ) N ;
- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036650 -1200 ) N ;
- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054590 -1200 ) N ;
- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 769810 -1200 ) N ;
- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2072530 -1200 ) N ;
- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2090010 -1200 ) N ;
- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107950 -1200 ) N ;
- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2125890 -1200 ) N ;
- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2143830 -1200 ) N ;
- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2161770 -1200 ) N ;
- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2179250 -1200 ) N ;
- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2197190 -1200 ) N ;
- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2215130 -1200 ) N ;
- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2233070 -1200 ) N ;
- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 787750 -1200 ) N ;
- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2251010 -1200 ) N ;
- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2268490 -1200 ) N ;
- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2286430 -1200 ) N ;
- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2304370 -1200 ) N ;
- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2322310 -1200 ) N ;
- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2339790 -1200 ) N ;
- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2357730 -1200 ) N ;
- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2375670 -1200 ) N ;
- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2393610 -1200 ) N ;
- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2411550 -1200 ) N ;
- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 805690 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 240810 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 258290 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 276230 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 294170 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 312110 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 330050 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 347530 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365470 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 383410 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 401350 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 62330 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 419290 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 436770 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 454710 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 472650 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 490590 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 508070 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 526010 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 543950 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 561890 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 579830 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 86250 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 597310 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 615250 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109710 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 133630 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 151570 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 169510 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186990 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 204930 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 222870 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 44390 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 246790 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 264270 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 282210 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 300150 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 318090 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 336030 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 353510 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 371450 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 389390 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 407330 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 68310 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 424810 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 442750 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 460690 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 478630 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 496570 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 514050 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 531990 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 549930 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 567870 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 585810 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91770 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 603290 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 621230 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115690 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 139610 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 157550 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 175030 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192970 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 210910 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 228850 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 50370 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 252770 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 270250 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 288190 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 306130 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 324070 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 341550 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 359490 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 377430 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 395370 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 413310 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 74290 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 430790 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 448730 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 466670 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 484610 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 502550 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 520030 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 537970 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 555910 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 573850 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 591330 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97750 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 609270 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 627210 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 145590 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 163530 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 181010 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198950 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 216890 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 234830 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 56350 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 80270 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103730 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 127650 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2885520 1759840 ) N + SPECIAL ;
- vccd1.extra1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2705520 1759840 ) N + SPECIAL ;
- vccd1.extra2 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2525520 1759840 ) N + SPECIAL ;
- vccd1.extra3 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2345520 1759840 ) N + SPECIAL ;
- vccd1.extra4 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2165520 1759840 ) N + SPECIAL ;
- vccd1.extra5 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1985520 1759840 ) N + SPECIAL ;
- vccd1.extra6 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1805520 2794005 ) N + SPECIAL ;
- vccd1.extra7 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1625520 2794005 ) N + SPECIAL ;
- vccd1.extra8 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1445520 2794005 ) N + SPECIAL ;
- vccd1.extra9 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1265520 2794005 ) N + SPECIAL ;
- vccd1.extra10 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1085520 2794005 ) N + SPECIAL ;
- vccd1.extra11 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 905520 2794005 ) N + SPECIAL ;
- vccd1.extra12 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 725520 1759840 ) N + SPECIAL ;
- vccd1.extra13 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 545520 1759840 ) N + SPECIAL ;
- vccd1.extra14 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 365520 1759840 ) N + SPECIAL ;
- vccd1.extra15 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 185520 1759840 ) N + SPECIAL ;
- vccd1.extra16 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 5520 1759840 ) N + SPECIAL ;
- vccd1.extra17 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 )
+ FIXED ( 2928100 1759840 ) N + SPECIAL ;
- vccd1.extra18 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 )
+ FIXED ( -8480 1759840 ) N + SPECIAL ;
- vccd1.extra19 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1805520 432840 ) N + SPECIAL ;
- vccd1.extra20 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1625520 432840 ) N + SPECIAL ;
- vccd1.extra21 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1445520 432840 ) N + SPECIAL ;
- vccd1.extra22 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1265520 432840 ) N + SPECIAL ;
- vccd1.extra23 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1085520 432840 ) N + SPECIAL ;
- vccd1.extra24 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 905520 432840 ) N + SPECIAL ;
- vccd1.extra25 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 )
+ FIXED ( 1459810 3522800 ) N + SPECIAL ;
- vccd1.extra26 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3430880 ) N + SPECIAL ;
- vccd1.extra27 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3250880 ) N + SPECIAL ;
- vccd1.extra28 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3070880 ) N + SPECIAL ;
- vccd1.extra29 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2890880 ) N + SPECIAL ;
- vccd1.extra30 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2710880 ) N + SPECIAL ;
- vccd1.extra31 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2530880 ) N + SPECIAL ;
- vccd1.extra32 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2350880 ) N + SPECIAL ;
- vccd1.extra33 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2170880 ) N + SPECIAL ;
- vccd1.extra34 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1990880 ) N + SPECIAL ;
- vccd1.extra35 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1810880 ) N + SPECIAL ;
- vccd1.extra36 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1630880 ) N + SPECIAL ;
- vccd1.extra37 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1450880 ) N + SPECIAL ;
- vccd1.extra38 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1270880 ) N + SPECIAL ;
- vccd1.extra39 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1090880 ) N + SPECIAL ;
- vccd1.extra40 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 910880 ) N + SPECIAL ;
- vccd1.extra41 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 730880 ) N + SPECIAL ;
- vccd1.extra42 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 550880 ) N + SPECIAL ;
- vccd1.extra43 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 370880 ) N + SPECIAL ;
- vccd1.extra44 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 190880 ) N + SPECIAL ;
- vccd1.extra45 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 10880 ) N + SPECIAL ;
- vccd1.extra46 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 )
+ FIXED ( 1459810 -3120 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2932800 1759840 ) N + SPECIAL ;
- vssd1.extra1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2795520 1759840 ) N + SPECIAL ;
- vssd1.extra2 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2615520 1759840 ) N + SPECIAL ;
- vssd1.extra3 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2435520 1759840 ) N + SPECIAL ;
- vssd1.extra4 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2255520 1759840 ) N + SPECIAL ;
- vssd1.extra5 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2075520 1759840 ) N + SPECIAL ;
- vssd1.extra6 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1895520 2794005 ) N + SPECIAL ;
- vssd1.extra7 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1715520 2794005 ) N + SPECIAL ;
- vssd1.extra8 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1535520 2794005 ) N + SPECIAL ;
- vssd1.extra9 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1355520 2794005 ) N + SPECIAL ;
- vssd1.extra10 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 1175520 2794005 ) N + SPECIAL ;
- vssd1.extra11 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 995520 2794005 ) N + SPECIAL ;
- vssd1.extra12 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -734995 ) ( 1500 734995 )
+ FIXED ( 815520 2794005 ) N + SPECIAL ;
- vssd1.extra13 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 635520 1759840 ) N + SPECIAL ;
- vssd1.extra14 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 455520 1759840 ) N + SPECIAL ;
- vssd1.extra15 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 275520 1759840 ) N + SPECIAL ;
- vssd1.extra16 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 95520 1759840 ) N + SPECIAL ;
- vssd1.extra17 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( -13180 1759840 ) N + SPECIAL ;
- vssd1.extra18 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1895520 432840 ) N + SPECIAL ;
- vssd1.extra19 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1715520 432840 ) N + SPECIAL ;
- vssd1.extra20 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1535520 432840 ) N + SPECIAL ;
- vssd1.extra21 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1355520 432840 ) N + SPECIAL ;
- vssd1.extra22 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 1175520 432840 ) N + SPECIAL ;
- vssd1.extra23 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 995520 432840 ) N + SPECIAL ;
- vssd1.extra24 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -442160 ) ( 1500 442160 )
+ FIXED ( 815520 432840 ) N + SPECIAL ;
- vssd1.extra25 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3527500 ) N + SPECIAL ;
- vssd1.extra26 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3340880 ) N + SPECIAL ;
- vssd1.extra27 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3160880 ) N + SPECIAL ;
- vssd1.extra28 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2980880 ) N + SPECIAL ;
- vssd1.extra29 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2800880 ) N + SPECIAL ;
- vssd1.extra30 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2620880 ) N + SPECIAL ;
- vssd1.extra31 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2440880 ) N + SPECIAL ;
- vssd1.extra32 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2260880 ) N + SPECIAL ;
- vssd1.extra33 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2080880 ) N + SPECIAL ;
- vssd1.extra34 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1900880 ) N + SPECIAL ;
- vssd1.extra35 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1720880 ) N + SPECIAL ;
- vssd1.extra36 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1540880 ) N + SPECIAL ;
- vssd1.extra37 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1360880 ) N + SPECIAL ;
- vssd1.extra38 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1180880 ) N + SPECIAL ;
- vssd1.extra39 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1000880 ) N + SPECIAL ;
- vssd1.extra40 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 820880 ) N + SPECIAL ;
- vssd1.extra41 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 640880 ) N + SPECIAL ;
- vssd1.extra42 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 460880 ) N + SPECIAL ;
- vssd1.extra43 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 280880 ) N + SPECIAL ;
- vssd1.extra44 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 100880 ) N + SPECIAL ;
- vssd1.extra45 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 -7820 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2903520 1759840 ) N + SPECIAL ;
- vccd2.extra1 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2723520 1759840 ) N + SPECIAL ;
- vccd2.extra2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2543520 1759840 ) N + SPECIAL ;
- vccd2.extra3 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2363520 1759840 ) N + SPECIAL ;
- vccd2.extra4 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2183520 1759840 ) N + SPECIAL ;
- vccd2.extra5 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2003520 1759840 ) N + SPECIAL ;
- vccd2.extra6 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1823520 2798705 ) N + SPECIAL ;
- vccd2.extra7 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1643520 2798705 ) N + SPECIAL ;
- vccd2.extra8 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1463520 2798705 ) N + SPECIAL ;
- vccd2.extra9 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1283520 2798705 ) N + SPECIAL ;
- vccd2.extra10 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1103520 2798705 ) N + SPECIAL ;
- vccd2.extra11 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 923520 2798705 ) N + SPECIAL ;
- vccd2.extra12 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 743520 1759840 ) N + SPECIAL ;
- vccd2.extra13 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 563520 1759840 ) N + SPECIAL ;
- vccd2.extra14 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 383520 1759840 ) N + SPECIAL ;
- vccd2.extra15 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 203520 1759840 ) N + SPECIAL ;
- vccd2.extra16 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 23520 1759840 ) N + SPECIAL ;
- vccd2.extra17 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 )
+ FIXED ( 2937500 1759840 ) N + SPECIAL ;
- vccd2.extra18 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 )
+ FIXED ( -17880 1759840 ) N + SPECIAL ;
- vccd2.extra19 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1823520 428140 ) N + SPECIAL ;
- vccd2.extra20 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1643520 428140 ) N + SPECIAL ;
- vccd2.extra21 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1463520 428140 ) N + SPECIAL ;
- vccd2.extra22 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1283520 428140 ) N + SPECIAL ;
- vccd2.extra23 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1103520 428140 ) N + SPECIAL ;
- vccd2.extra24 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 923520 428140 ) N + SPECIAL ;
- vccd2.extra25 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 )
+ FIXED ( 1459810 3532200 ) N + SPECIAL ;
- vccd2.extra26 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3448880 ) N + SPECIAL ;
- vccd2.extra27 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3268880 ) N + SPECIAL ;
- vccd2.extra28 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3088880 ) N + SPECIAL ;
- vccd2.extra29 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2908880 ) N + SPECIAL ;
- vccd2.extra30 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2728880 ) N + SPECIAL ;
- vccd2.extra31 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2548880 ) N + SPECIAL ;
- vccd2.extra32 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2368880 ) N + SPECIAL ;
- vccd2.extra33 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2188880 ) N + SPECIAL ;
- vccd2.extra34 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2008880 ) N + SPECIAL ;
- vccd2.extra35 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1828880 ) N + SPECIAL ;
- vccd2.extra36 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1648880 ) N + SPECIAL ;
- vccd2.extra37 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1468880 ) N + SPECIAL ;
- vccd2.extra38 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1288880 ) N + SPECIAL ;
- vccd2.extra39 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1108880 ) N + SPECIAL ;
- vccd2.extra40 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 928880 ) N + SPECIAL ;
- vccd2.extra41 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 748880 ) N + SPECIAL ;
- vccd2.extra42 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 568880 ) N + SPECIAL ;
- vccd2.extra43 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 388880 ) N + SPECIAL ;
- vccd2.extra44 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 208880 ) N + SPECIAL ;
- vccd2.extra45 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 28880 ) N + SPECIAL ;
- vccd2.extra46 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 )
+ FIXED ( 1459810 -12520 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2942200 1759840 ) N + SPECIAL ;
- vssd2.extra1 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2813520 1759840 ) N + SPECIAL ;
- vssd2.extra2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2633520 1759840 ) N + SPECIAL ;
- vssd2.extra3 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2453520 1759840 ) N + SPECIAL ;
- vssd2.extra4 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2273520 1759840 ) N + SPECIAL ;
- vssd2.extra5 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2093520 1759840 ) N + SPECIAL ;
- vssd2.extra6 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1913520 2798705 ) N + SPECIAL ;
- vssd2.extra7 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1733520 2798705 ) N + SPECIAL ;
- vssd2.extra8 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1553520 2798705 ) N + SPECIAL ;
- vssd2.extra9 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1373520 2798705 ) N + SPECIAL ;
- vssd2.extra10 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1193520 2798705 ) N + SPECIAL ;
- vssd2.extra11 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 1013520 2798705 ) N + SPECIAL ;
- vssd2.extra12 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -739695 ) ( 1500 739695 )
+ FIXED ( 833520 2798705 ) N + SPECIAL ;
- vssd2.extra13 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 653520 1759840 ) N + SPECIAL ;
- vssd2.extra14 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 473520 1759840 ) N + SPECIAL ;
- vssd2.extra15 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 293520 1759840 ) N + SPECIAL ;
- vssd2.extra16 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 113520 1759840 ) N + SPECIAL ;
- vssd2.extra17 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( -22580 1759840 ) N + SPECIAL ;
- vssd2.extra18 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1913520 428140 ) N + SPECIAL ;
- vssd2.extra19 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1733520 428140 ) N + SPECIAL ;
- vssd2.extra20 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1553520 428140 ) N + SPECIAL ;
- vssd2.extra21 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1373520 428140 ) N + SPECIAL ;
- vssd2.extra22 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1193520 428140 ) N + SPECIAL ;
- vssd2.extra23 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 1013520 428140 ) N + SPECIAL ;
- vssd2.extra24 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -446860 ) ( 1500 446860 )
+ FIXED ( 833520 428140 ) N + SPECIAL ;
- vssd2.extra25 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3536900 ) N + SPECIAL ;
- vssd2.extra26 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3358880 ) N + SPECIAL ;
- vssd2.extra27 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3178880 ) N + SPECIAL ;
- vssd2.extra28 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2998880 ) N + SPECIAL ;
- vssd2.extra29 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2818880 ) N + SPECIAL ;
- vssd2.extra30 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2638880 ) N + SPECIAL ;
- vssd2.extra31 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2458880 ) N + SPECIAL ;
- vssd2.extra32 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2278880 ) N + SPECIAL ;
- vssd2.extra33 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2098880 ) N + SPECIAL ;
- vssd2.extra34 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1918880 ) N + SPECIAL ;
- vssd2.extra35 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1738880 ) N + SPECIAL ;
- vssd2.extra36 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1558880 ) N + SPECIAL ;
- vssd2.extra37 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1378880 ) N + SPECIAL ;
- vssd2.extra38 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1198880 ) N + SPECIAL ;
- vssd2.extra39 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1018880 ) N + SPECIAL ;
- vssd2.extra40 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 838880 ) N + SPECIAL ;
- vssd2.extra41 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 658880 ) N + SPECIAL ;
- vssd2.extra42 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 478880 ) N + SPECIAL ;
- vssd2.extra43 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 298880 ) N + SPECIAL ;
- vssd2.extra44 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 118880 ) N + SPECIAL ;
- vssd2.extra45 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 -17220 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2741520 1759840 ) N + SPECIAL ;
- vdda1.extra1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2561520 1759840 ) N + SPECIAL ;
- vdda1.extra2 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2381520 1759840 ) N + SPECIAL ;
- vdda1.extra3 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2201520 1759840 ) N + SPECIAL ;
- vdda1.extra4 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2021520 1759840 ) N + SPECIAL ;
- vdda1.extra5 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1841520 2803405 ) N + SPECIAL ;
- vdda1.extra6 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1661520 2803405 ) N + SPECIAL ;
- vdda1.extra7 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1481520 2803405 ) N + SPECIAL ;
- vdda1.extra8 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1301520 2803405 ) N + SPECIAL ;
- vdda1.extra9 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1121520 2803405 ) N + SPECIAL ;
- vdda1.extra10 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 941520 2803405 ) N + SPECIAL ;
- vdda1.extra11 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 761520 1759840 ) N + SPECIAL ;
- vdda1.extra12 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 581520 1759840 ) N + SPECIAL ;
- vdda1.extra13 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 401520 1759840 ) N + SPECIAL ;
- vdda1.extra14 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 221520 1759840 ) N + SPECIAL ;
- vdda1.extra15 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 41520 1759840 ) N + SPECIAL ;
- vdda1.extra16 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 )
+ FIXED ( 2946900 1759840 ) N + SPECIAL ;
- vdda1.extra17 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 )
+ FIXED ( -27280 1759840 ) N + SPECIAL ;
- vdda1.extra18 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1841520 423440 ) N + SPECIAL ;
- vdda1.extra19 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1661520 423440 ) N + SPECIAL ;
- vdda1.extra20 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1481520 423440 ) N + SPECIAL ;
- vdda1.extra21 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1301520 423440 ) N + SPECIAL ;
- vdda1.extra22 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1121520 423440 ) N + SPECIAL ;
- vdda1.extra23 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 941520 423440 ) N + SPECIAL ;
- vdda1.extra24 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 )
+ FIXED ( 1459810 3541600 ) N + SPECIAL ;
- vdda1.extra25 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3466880 ) N + SPECIAL ;
- vdda1.extra26 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3286880 ) N + SPECIAL ;
- vdda1.extra27 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3106880 ) N + SPECIAL ;
- vdda1.extra28 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2926880 ) N + SPECIAL ;
- vdda1.extra29 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2746880 ) N + SPECIAL ;
- vdda1.extra30 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2566880 ) N + SPECIAL ;
- vdda1.extra31 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2386880 ) N + SPECIAL ;
- vdda1.extra32 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2206880 ) N + SPECIAL ;
- vdda1.extra33 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2026880 ) N + SPECIAL ;
- vdda1.extra34 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1846880 ) N + SPECIAL ;
- vdda1.extra35 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1666880 ) N + SPECIAL ;
- vdda1.extra36 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1486880 ) N + SPECIAL ;
- vdda1.extra37 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1306880 ) N + SPECIAL ;
- vdda1.extra38 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1126880 ) N + SPECIAL ;
- vdda1.extra39 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 946880 ) N + SPECIAL ;
- vdda1.extra40 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 766880 ) N + SPECIAL ;
- vdda1.extra41 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 586880 ) N + SPECIAL ;
- vdda1.extra42 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 406880 ) N + SPECIAL ;
- vdda1.extra43 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 226880 ) N + SPECIAL ;
- vdda1.extra44 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 46880 ) N + SPECIAL ;
- vdda1.extra45 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 )
+ FIXED ( 1459810 -21920 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2951600 1759840 ) N + SPECIAL ;
- vssa1.extra1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2831520 1759840 ) N + SPECIAL ;
- vssa1.extra2 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2651520 1759840 ) N + SPECIAL ;
- vssa1.extra3 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2471520 1759840 ) N + SPECIAL ;
- vssa1.extra4 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2291520 1759840 ) N + SPECIAL ;
- vssa1.extra5 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2111520 1759840 ) N + SPECIAL ;
- vssa1.extra6 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1931520 2803405 ) N + SPECIAL ;
- vssa1.extra7 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1751520 2803405 ) N + SPECIAL ;
- vssa1.extra8 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1571520 2803405 ) N + SPECIAL ;
- vssa1.extra9 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1391520 2803405 ) N + SPECIAL ;
- vssa1.extra10 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1211520 2803405 ) N + SPECIAL ;
- vssa1.extra11 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 1031520 2803405 ) N + SPECIAL ;
- vssa1.extra12 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -744395 ) ( 1500 744395 )
+ FIXED ( 851520 2803405 ) N + SPECIAL ;
- vssa1.extra13 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 671520 1759840 ) N + SPECIAL ;
- vssa1.extra14 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 491520 1759840 ) N + SPECIAL ;
- vssa1.extra15 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 311520 1759840 ) N + SPECIAL ;
- vssa1.extra16 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 131520 1759840 ) N + SPECIAL ;
- vssa1.extra17 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( -31980 1759840 ) N + SPECIAL ;
- vssa1.extra18 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1931520 423440 ) N + SPECIAL ;
- vssa1.extra19 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1751520 423440 ) N + SPECIAL ;
- vssa1.extra20 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1571520 423440 ) N + SPECIAL ;
- vssa1.extra21 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1391520 423440 ) N + SPECIAL ;
- vssa1.extra22 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1211520 423440 ) N + SPECIAL ;
- vssa1.extra23 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 1031520 423440 ) N + SPECIAL ;
- vssa1.extra24 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -451560 ) ( 1500 451560 )
+ FIXED ( 851520 423440 ) N + SPECIAL ;
- vssa1.extra25 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3546300 ) N + SPECIAL ;
- vssa1.extra26 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3376880 ) N + SPECIAL ;
- vssa1.extra27 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3196880 ) N + SPECIAL ;
- vssa1.extra28 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3016880 ) N + SPECIAL ;
- vssa1.extra29 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2836880 ) N + SPECIAL ;
- vssa1.extra30 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2656880 ) N + SPECIAL ;
- vssa1.extra31 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2476880 ) N + SPECIAL ;
- vssa1.extra32 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2296880 ) N + SPECIAL ;
- vssa1.extra33 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2116880 ) N + SPECIAL ;
- vssa1.extra34 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1936880 ) N + SPECIAL ;
- vssa1.extra35 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1756880 ) N + SPECIAL ;
- vssa1.extra36 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1576880 ) N + SPECIAL ;
- vssa1.extra37 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1396880 ) N + SPECIAL ;
- vssa1.extra38 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1216880 ) N + SPECIAL ;
- vssa1.extra39 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1036880 ) N + SPECIAL ;
- vssa1.extra40 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 856880 ) N + SPECIAL ;
- vssa1.extra41 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 676880 ) N + SPECIAL ;
- vssa1.extra42 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 496880 ) N + SPECIAL ;
- vssa1.extra43 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 316880 ) N + SPECIAL ;
- vssa1.extra44 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 136880 ) N + SPECIAL ;
- vssa1.extra45 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 -26620 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2759520 1759840 ) N + SPECIAL ;
- vdda2.extra1 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2579520 1759840 ) N + SPECIAL ;
- vdda2.extra2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2399520 1759840 ) N + SPECIAL ;
- vdda2.extra3 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2219520 1759840 ) N + SPECIAL ;
- vdda2.extra4 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2039520 1759840 ) N + SPECIAL ;
- vdda2.extra5 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1859520 2808105 ) N + SPECIAL ;
- vdda2.extra6 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1679520 2808105 ) N + SPECIAL ;
- vdda2.extra7 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1499520 2808105 ) N + SPECIAL ;
- vdda2.extra8 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1319520 2808105 ) N + SPECIAL ;
- vdda2.extra9 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1139520 2808105 ) N + SPECIAL ;
- vdda2.extra10 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 959520 2808105 ) N + SPECIAL ;
- vdda2.extra11 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 779520 2808105 ) N + SPECIAL ;
- vdda2.extra12 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 599520 1759840 ) N + SPECIAL ;
- vdda2.extra13 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 419520 1759840 ) N + SPECIAL ;
- vdda2.extra14 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 239520 1759840 ) N + SPECIAL ;
- vdda2.extra15 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 59520 1759840 ) N + SPECIAL ;
- vdda2.extra16 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 )
+ FIXED ( 2956300 1759840 ) N + SPECIAL ;
- vdda2.extra17 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 )
+ FIXED ( -36680 1759840 ) N + SPECIAL ;
- vdda2.extra18 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1859520 418740 ) N + SPECIAL ;
- vdda2.extra19 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1679520 418740 ) N + SPECIAL ;
- vdda2.extra20 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1499520 418740 ) N + SPECIAL ;
- vdda2.extra21 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1319520 418740 ) N + SPECIAL ;
- vdda2.extra22 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1139520 418740 ) N + SPECIAL ;
- vdda2.extra23 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 959520 418740 ) N + SPECIAL ;
- vdda2.extra24 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 779520 418740 ) N + SPECIAL ;
- vdda2.extra25 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 )
+ FIXED ( 1459810 3551000 ) N + SPECIAL ;
- vdda2.extra26 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3484880 ) N + SPECIAL ;
- vdda2.extra27 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3304880 ) N + SPECIAL ;
- vdda2.extra28 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3124880 ) N + SPECIAL ;
- vdda2.extra29 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2944880 ) N + SPECIAL ;
- vdda2.extra30 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2764880 ) N + SPECIAL ;
- vdda2.extra31 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2584880 ) N + SPECIAL ;
- vdda2.extra32 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2404880 ) N + SPECIAL ;
- vdda2.extra33 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2224880 ) N + SPECIAL ;
- vdda2.extra34 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2044880 ) N + SPECIAL ;
- vdda2.extra35 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1864880 ) N + SPECIAL ;
- vdda2.extra36 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1684880 ) N + SPECIAL ;
- vdda2.extra37 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1504880 ) N + SPECIAL ;
- vdda2.extra38 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1324880 ) N + SPECIAL ;
- vdda2.extra39 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1144880 ) N + SPECIAL ;
- vdda2.extra40 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 964880 ) N + SPECIAL ;
- vdda2.extra41 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 784880 ) N + SPECIAL ;
- vdda2.extra42 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 604880 ) N + SPECIAL ;
- vdda2.extra43 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 424880 ) N + SPECIAL ;
- vdda2.extra44 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 244880 ) N + SPECIAL ;
- vdda2.extra45 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 64880 ) N + SPECIAL ;
- vdda2.extra46 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 )
+ FIXED ( 1459810 -31320 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2961000 1759840 ) N + SPECIAL ;
- vssa2.extra1 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2849520 1759840 ) N + SPECIAL ;
- vssa2.extra2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2669520 1759840 ) N + SPECIAL ;
- vssa2.extra3 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2489520 1759840 ) N + SPECIAL ;
- vssa2.extra4 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2309520 1759840 ) N + SPECIAL ;
- vssa2.extra5 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2129520 1759840 ) N + SPECIAL ;
- vssa2.extra6 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1949520 2808105 ) N + SPECIAL ;
- vssa2.extra7 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1769520 2808105 ) N + SPECIAL ;
- vssa2.extra8 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1589520 2808105 ) N + SPECIAL ;
- vssa2.extra9 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1409520 2808105 ) N + SPECIAL ;
- vssa2.extra10 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1229520 2808105 ) N + SPECIAL ;
- vssa2.extra11 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 1049520 2808105 ) N + SPECIAL ;
- vssa2.extra12 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -749095 ) ( 1500 749095 )
+ FIXED ( 869520 2808105 ) N + SPECIAL ;
- vssa2.extra13 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 689520 1759840 ) N + SPECIAL ;
- vssa2.extra14 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 509520 1759840 ) N + SPECIAL ;
- vssa2.extra15 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 329520 1759840 ) N + SPECIAL ;
- vssa2.extra16 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 149520 1759840 ) N + SPECIAL ;
- vssa2.extra17 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( -41380 1759840 ) N + SPECIAL ;
- vssa2.extra18 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1949520 418740 ) N + SPECIAL ;
- vssa2.extra19 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1769520 418740 ) N + SPECIAL ;
- vssa2.extra20 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1589520 418740 ) N + SPECIAL ;
- vssa2.extra21 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1409520 418740 ) N + SPECIAL ;
- vssa2.extra22 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1229520 418740 ) N + SPECIAL ;
- vssa2.extra23 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 1049520 418740 ) N + SPECIAL ;
- vssa2.extra24 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -456260 ) ( 1500 456260 )
+ FIXED ( 869520 418740 ) N + SPECIAL ;
- vssa2.extra25 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3555700 ) N + SPECIAL ;
- vssa2.extra26 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3394880 ) N + SPECIAL ;
- vssa2.extra27 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3214880 ) N + SPECIAL ;
- vssa2.extra28 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3034880 ) N + SPECIAL ;
- vssa2.extra29 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2854880 ) N + SPECIAL ;
- vssa2.extra30 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2674880 ) N + SPECIAL ;
- vssa2.extra31 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2494880 ) N + SPECIAL ;
- vssa2.extra32 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2314880 ) N + SPECIAL ;
- vssa2.extra33 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2134880 ) N + SPECIAL ;
- vssa2.extra34 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1954880 ) N + SPECIAL ;
- vssa2.extra35 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1774880 ) N + SPECIAL ;
- vssa2.extra36 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1594880 ) N + SPECIAL ;
- vssa2.extra37 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1414880 ) N + SPECIAL ;
- vssa2.extra38 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1234880 ) N + SPECIAL ;
- vssa2.extra39 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1054880 ) N + SPECIAL ;
- vssa2.extra40 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 874880 ) N + SPECIAL ;
- vssa2.extra41 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 694880 ) N + SPECIAL ;
- vssa2.extra42 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 514880 ) N + SPECIAL ;
- vssa2.extra43 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 334880 ) N + SPECIAL ;
- vssa2.extra44 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 154880 ) N + SPECIAL ;
- vssa2.extra45 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 -36020 ) N + SPECIAL ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3430880 ) ( 2934300 3430880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3250880 ) ( 2934300 3250880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3070880 ) ( 2934300 3070880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2890880 ) ( 2934300 2890880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2710880 ) ( 2934300 2710880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2530880 ) ( 2934300 2530880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2350880 ) ( 2934300 2350880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2170880 ) ( 2934300 2170880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1990880 ) ( 2934300 1990880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1810880 ) ( 2934300 1810880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1630880 ) ( 2934300 1630880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1450880 ) ( 2934300 1450880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1270880 ) ( 2934300 1270880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1090880 ) ( 2934300 1090880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 910880 ) ( 2934300 910880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 730880 ) ( 2934300 730880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 550880 ) ( 2934300 550880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 370880 ) ( 2934300 370880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 190880 ) ( 2934300 190880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 10880 ) ( 2934300 10880 )
NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
NEW met4 3000 + SHAPE STRIPE ( 2885520 -9320 ) ( 2885520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2705520 -9320 ) ( 2705520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2525520 -9320 ) ( 2525520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2345520 -9320 ) ( 2345520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2165520 -9320 ) ( 2165520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1985520 -9320 ) ( 1985520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1805520 2059010 ) ( 1805520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1625520 2059010 ) ( 1625520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1445520 2059010 ) ( 1445520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1265520 2059010 ) ( 1265520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1085520 2059010 ) ( 1085520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 905520 2059010 ) ( 905520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 725520 -9320 ) ( 725520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 545520 -9320 ) ( 545520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 365520 -9320 ) ( 365520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 185520 -9320 ) ( 185520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 5520 -9320 ) ( 5520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
NEW met4 3000 + SHAPE STRIPE ( 1805520 -9320 ) ( 1805520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1625520 -9320 ) ( 1625520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1445520 -9320 ) ( 1445520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1265520 -9320 ) ( 1265520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1085520 -9320 ) ( 1085520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 905520 -9320 ) ( 905520 875000 )
+ USE POWER ;
- vssd1 ( PIN vssd1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2932800 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 -7820 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -14680 3527500 ) ( 2934300 3527500 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3340880 ) ( 2934300 3340880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3160880 ) ( 2934300 3160880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2980880 ) ( 2934300 2980880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2800880 ) ( 2934300 2800880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2620880 ) ( 2934300 2620880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2440880 ) ( 2934300 2440880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2260880 ) ( 2934300 2260880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2080880 ) ( 2934300 2080880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1900880 ) ( 2934300 1900880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1720880 ) ( 2934300 1720880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1540880 ) ( 2934300 1540880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1360880 ) ( 2934300 1360880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1180880 ) ( 2934300 1180880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1000880 ) ( 2934300 1000880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 820880 ) ( 2934300 820880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 640880 ) ( 2934300 640880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 460880 ) ( 2934300 460880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 280880 ) ( 2934300 280880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 100880 ) ( 2934300 100880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 -7820 ) ( 2934300 -7820 )
NEW met4 3000 + SHAPE STRIPE ( 2932800 -9320 ) ( 2932800 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2795520 -9320 ) ( 2795520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2615520 -9320 ) ( 2615520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2435520 -9320 ) ( 2435520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2255520 -9320 ) ( 2255520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2075520 -9320 ) ( 2075520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1895520 2059010 ) ( 1895520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1715520 2059010 ) ( 1715520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1535520 2059010 ) ( 1535520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1355520 2059010 ) ( 1355520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1175520 2059010 ) ( 1175520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 995520 2059010 ) ( 995520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 815520 2059010 ) ( 815520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 635520 -9320 ) ( 635520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 455520 -9320 ) ( 455520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 275520 -9320 ) ( 275520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 95520 -9320 ) ( 95520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( -13180 -9320 ) ( -13180 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1895520 -9320 ) ( 1895520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1715520 -9320 ) ( 1715520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1535520 -9320 ) ( 1535520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1355520 -9320 ) ( 1355520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1175520 -9320 ) ( 1175520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 995520 -9320 ) ( 995520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 815520 -9320 ) ( 815520 875000 )
+ USE GROUND ;
- vccd2 ( PIN vccd2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2937500 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 -12520 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -19380 3532200 ) ( 2939000 3532200 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3448880 ) ( 2943700 3448880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3268880 ) ( 2943700 3268880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3088880 ) ( 2943700 3088880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2908880 ) ( 2943700 2908880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2728880 ) ( 2943700 2728880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2548880 ) ( 2943700 2548880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2368880 ) ( 2943700 2368880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2188880 ) ( 2943700 2188880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2008880 ) ( 2943700 2008880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1828880 ) ( 2943700 1828880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1648880 ) ( 2943700 1648880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1468880 ) ( 2943700 1468880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1288880 ) ( 2943700 1288880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1108880 ) ( 2943700 1108880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 928880 ) ( 2943700 928880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 748880 ) ( 2943700 748880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 568880 ) ( 2943700 568880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 388880 ) ( 2943700 388880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 208880 ) ( 2943700 208880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 28880 ) ( 2943700 28880 )
NEW met5 3000 + SHAPE STRIPE ( -19380 -12520 ) ( 2939000 -12520 )
NEW met4 3000 + SHAPE STRIPE ( 2903520 -18720 ) ( 2903520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2723520 -18720 ) ( 2723520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2543520 -18720 ) ( 2543520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2363520 -18720 ) ( 2363520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2183520 -18720 ) ( 2183520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2003520 -18720 ) ( 2003520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1823520 2059010 ) ( 1823520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1643520 2059010 ) ( 1643520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1463520 2059010 ) ( 1463520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1283520 2059010 ) ( 1283520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1103520 2059010 ) ( 1103520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 923520 2059010 ) ( 923520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 743520 -18720 ) ( 743520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 563520 -18720 ) ( 563520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 383520 -18720 ) ( 383520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 203520 -18720 ) ( 203520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 23520 -18720 ) ( 23520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2937500 -14020 ) ( 2937500 3533700 )
NEW met4 3000 + SHAPE STRIPE ( -17880 -14020 ) ( -17880 3533700 )
NEW met4 3000 + SHAPE STRIPE ( 1823520 -18720 ) ( 1823520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1643520 -18720 ) ( 1643520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1463520 -18720 ) ( 1463520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1283520 -18720 ) ( 1283520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1103520 -18720 ) ( 1103520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 923520 -18720 ) ( 923520 875000 )
+ USE POWER ;
- vssd2 ( PIN vssd2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2942200 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 -17220 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -24080 3536900 ) ( 2943700 3536900 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3358880 ) ( 2943700 3358880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3178880 ) ( 2943700 3178880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2998880 ) ( 2943700 2998880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2818880 ) ( 2943700 2818880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2638880 ) ( 2943700 2638880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2458880 ) ( 2943700 2458880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2278880 ) ( 2943700 2278880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2098880 ) ( 2943700 2098880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1918880 ) ( 2943700 1918880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1738880 ) ( 2943700 1738880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1558880 ) ( 2943700 1558880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1378880 ) ( 2943700 1378880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1198880 ) ( 2943700 1198880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1018880 ) ( 2943700 1018880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 838880 ) ( 2943700 838880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 658880 ) ( 2943700 658880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 478880 ) ( 2943700 478880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 298880 ) ( 2943700 298880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 118880 ) ( 2943700 118880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 -17220 ) ( 2943700 -17220 )
NEW met4 3000 + SHAPE STRIPE ( 2942200 -18720 ) ( 2942200 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2813520 -18720 ) ( 2813520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2633520 -18720 ) ( 2633520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2453520 -18720 ) ( 2453520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2273520 -18720 ) ( 2273520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2093520 -18720 ) ( 2093520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1913520 2059010 ) ( 1913520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1733520 2059010 ) ( 1733520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1553520 2059010 ) ( 1553520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1373520 2059010 ) ( 1373520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1193520 2059010 ) ( 1193520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1013520 2059010 ) ( 1013520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 833520 2059010 ) ( 833520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 653520 -18720 ) ( 653520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 473520 -18720 ) ( 473520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 293520 -18720 ) ( 293520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 113520 -18720 ) ( 113520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( -22580 -18720 ) ( -22580 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1913520 -18720 ) ( 1913520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1733520 -18720 ) ( 1733520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1553520 -18720 ) ( 1553520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1373520 -18720 ) ( 1373520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1193520 -18720 ) ( 1193520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1013520 -18720 ) ( 1013520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 833520 -18720 ) ( 833520 875000 )
+ USE GROUND ;
- vdda1 ( PIN vdda1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2946900 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 -21920 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -28780 3541600 ) ( 2948400 3541600 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3466880 ) ( 2953100 3466880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3286880 ) ( 2953100 3286880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3106880 ) ( 2953100 3106880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2926880 ) ( 2953100 2926880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2746880 ) ( 2953100 2746880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2566880 ) ( 2953100 2566880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2386880 ) ( 2953100 2386880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2206880 ) ( 2953100 2206880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2026880 ) ( 2953100 2026880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1846880 ) ( 2953100 1846880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1666880 ) ( 2953100 1666880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1486880 ) ( 2953100 1486880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1306880 ) ( 2953100 1306880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1126880 ) ( 2953100 1126880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 946880 ) ( 2953100 946880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 766880 ) ( 2953100 766880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 586880 ) ( 2953100 586880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 406880 ) ( 2953100 406880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 226880 ) ( 2953100 226880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 46880 ) ( 2953100 46880 )
NEW met5 3000 + SHAPE STRIPE ( -28780 -21920 ) ( 2948400 -21920 )
NEW met4 3000 + SHAPE STRIPE ( 2741520 -28120 ) ( 2741520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2561520 -28120 ) ( 2561520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2381520 -28120 ) ( 2381520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2201520 -28120 ) ( 2201520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2021520 -28120 ) ( 2021520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1841520 2059010 ) ( 1841520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1661520 2059010 ) ( 1661520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1481520 2059010 ) ( 1481520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1301520 2059010 ) ( 1301520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1121520 2059010 ) ( 1121520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 941520 2059010 ) ( 941520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 761520 -28120 ) ( 761520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 581520 -28120 ) ( 581520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 401520 -28120 ) ( 401520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 221520 -28120 ) ( 221520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 41520 -28120 ) ( 41520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2946900 -23420 ) ( 2946900 3543100 )
NEW met4 3000 + SHAPE STRIPE ( -27280 -23420 ) ( -27280 3543100 )
NEW met4 3000 + SHAPE STRIPE ( 1841520 -28120 ) ( 1841520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1661520 -28120 ) ( 1661520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1481520 -28120 ) ( 1481520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1301520 -28120 ) ( 1301520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1121520 -28120 ) ( 1121520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 941520 -28120 ) ( 941520 875000 )
+ USE POWER ;
- vssa1 ( PIN vssa1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2951600 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 -26620 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -33480 3546300 ) ( 2953100 3546300 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3376880 ) ( 2953100 3376880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3196880 ) ( 2953100 3196880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3016880 ) ( 2953100 3016880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2836880 ) ( 2953100 2836880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2656880 ) ( 2953100 2656880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2476880 ) ( 2953100 2476880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2296880 ) ( 2953100 2296880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2116880 ) ( 2953100 2116880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1936880 ) ( 2953100 1936880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1756880 ) ( 2953100 1756880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1576880 ) ( 2953100 1576880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1396880 ) ( 2953100 1396880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1216880 ) ( 2953100 1216880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1036880 ) ( 2953100 1036880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 856880 ) ( 2953100 856880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 676880 ) ( 2953100 676880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 496880 ) ( 2953100 496880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 316880 ) ( 2953100 316880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 136880 ) ( 2953100 136880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 -26620 ) ( 2953100 -26620 )
NEW met4 3000 + SHAPE STRIPE ( 2951600 -28120 ) ( 2951600 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2831520 -28120 ) ( 2831520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2651520 -28120 ) ( 2651520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2471520 -28120 ) ( 2471520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2291520 -28120 ) ( 2291520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2111520 -28120 ) ( 2111520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1931520 2059010 ) ( 1931520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1751520 2059010 ) ( 1751520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1571520 2059010 ) ( 1571520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1391520 2059010 ) ( 1391520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1211520 2059010 ) ( 1211520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1031520 2059010 ) ( 1031520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 851520 2059010 ) ( 851520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 671520 -28120 ) ( 671520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 491520 -28120 ) ( 491520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 311520 -28120 ) ( 311520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 131520 -28120 ) ( 131520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( -31980 -28120 ) ( -31980 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1931520 -28120 ) ( 1931520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1751520 -28120 ) ( 1751520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1571520 -28120 ) ( 1571520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1391520 -28120 ) ( 1391520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1211520 -28120 ) ( 1211520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1031520 -28120 ) ( 1031520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 851520 -28120 ) ( 851520 875000 )
+ USE GROUND ;
- vdda2 ( PIN vdda2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2956300 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 -31320 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -38180 3551000 ) ( 2957800 3551000 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3484880 ) ( 2962500 3484880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3304880 ) ( 2962500 3304880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3124880 ) ( 2962500 3124880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2944880 ) ( 2962500 2944880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2764880 ) ( 2962500 2764880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2584880 ) ( 2962500 2584880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2404880 ) ( 2962500 2404880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2224880 ) ( 2962500 2224880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2044880 ) ( 2962500 2044880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1864880 ) ( 2962500 1864880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1684880 ) ( 2962500 1684880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1504880 ) ( 2962500 1504880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1324880 ) ( 2962500 1324880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1144880 ) ( 2962500 1144880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 964880 ) ( 2962500 964880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 784880 ) ( 2962500 784880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 604880 ) ( 2962500 604880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 424880 ) ( 2962500 424880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 244880 ) ( 2962500 244880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 64880 ) ( 2962500 64880 )
NEW met5 3000 + SHAPE STRIPE ( -38180 -31320 ) ( 2957800 -31320 )
NEW met4 3000 + SHAPE STRIPE ( 2759520 -37520 ) ( 2759520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2579520 -37520 ) ( 2579520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2399520 -37520 ) ( 2399520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2219520 -37520 ) ( 2219520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2039520 -37520 ) ( 2039520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1859520 2059010 ) ( 1859520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1679520 2059010 ) ( 1679520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1499520 2059010 ) ( 1499520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1319520 2059010 ) ( 1319520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1139520 2059010 ) ( 1139520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 959520 2059010 ) ( 959520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 779520 2059010 ) ( 779520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 599520 -37520 ) ( 599520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 419520 -37520 ) ( 419520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 239520 -37520 ) ( 239520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 59520 -37520 ) ( 59520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2956300 -32820 ) ( 2956300 3552500 )
NEW met4 3000 + SHAPE STRIPE ( -36680 -32820 ) ( -36680 3552500 )
NEW met4 3000 + SHAPE STRIPE ( 1859520 -37520 ) ( 1859520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1679520 -37520 ) ( 1679520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1499520 -37520 ) ( 1499520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1319520 -37520 ) ( 1319520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1139520 -37520 ) ( 1139520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 959520 -37520 ) ( 959520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 779520 -37520 ) ( 779520 875000 )
+ USE POWER ;
- vssa2 ( PIN vssa2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2961000 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 -36020 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -42880 3555700 ) ( 2962500 3555700 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3394880 ) ( 2962500 3394880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3214880 ) ( 2962500 3214880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3034880 ) ( 2962500 3034880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2854880 ) ( 2962500 2854880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2674880 ) ( 2962500 2674880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2494880 ) ( 2962500 2494880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2314880 ) ( 2962500 2314880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2134880 ) ( 2962500 2134880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1954880 ) ( 2962500 1954880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1774880 ) ( 2962500 1774880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1594880 ) ( 2962500 1594880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1414880 ) ( 2962500 1414880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1234880 ) ( 2962500 1234880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1054880 ) ( 2962500 1054880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 874880 ) ( 2962500 874880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 694880 ) ( 2962500 694880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 514880 ) ( 2962500 514880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 334880 ) ( 2962500 334880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 154880 ) ( 2962500 154880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 -36020 ) ( 2962500 -36020 )
NEW met4 3000 + SHAPE STRIPE ( 2961000 -37520 ) ( 2961000 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2849520 -37520 ) ( 2849520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2669520 -37520 ) ( 2669520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2489520 -37520 ) ( 2489520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2309520 -37520 ) ( 2309520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2129520 -37520 ) ( 2129520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1949520 2059010 ) ( 1949520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1769520 2059010 ) ( 1769520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1589520 2059010 ) ( 1589520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1409520 2059010 ) ( 1409520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1229520 2059010 ) ( 1229520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1049520 2059010 ) ( 1049520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 869520 2059010 ) ( 869520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 689520 -37520 ) ( 689520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 509520 -37520 ) ( 509520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 329520 -37520 ) ( 329520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 149520 -37520 ) ( 149520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( -41380 -37520 ) ( -41380 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1949520 -37520 ) ( 1949520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1769520 -37520 ) ( 1769520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1589520 -37520 ) ( 1589520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1409520 -37520 ) ( 1409520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1229520 -37520 ) ( 1229520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 1049520 -37520 ) ( 1049520 875000 )
NEW met4 3000 + SHAPE STRIPE ( 869520 -37520 ) ( 869520 875000 )
+ USE GROUND ;
END SPECIALNETS
NETS 636 ;
- analog_io[0] ( PIN analog_io[0] )
+ USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] )
+ USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] )
+ USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] )
+ USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] )
+ USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] )
+ USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] )
+ USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] )
+ USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] )
+ USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] )
+ USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] )
+ USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] )
+ USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] )
+ USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] )
+ USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] )
+ USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] )
+ USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] )
+ USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] )
+ USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] )
+ USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] )
+ USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] )
+ USE SIGNAL ;
- analog_io[29] ( PIN analog_io[29] )
+ USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] )
+ USE SIGNAL ;
- analog_io[30] ( PIN analog_io[30] )
+ USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] )
+ USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] )
+ USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] )
+ USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] )
+ USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] )
+ USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] )
+ USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] )
+ USE SIGNAL ;
- io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] )
+ ROUTED met1 ( 2597850 865810 ) ( 2901450 865810 )
NEW met1 ( 1962590 883490 ) ( 2597850 883490 )
NEW met2 ( 1962590 883490 ) ( 1962590 883660 )
NEW met2 ( 2597850 865810 ) ( 2597850 883490 )
NEW met2 ( 2901450 83300 ) ( 2901450 865810 )
NEW met3 ( 2901450 83300 ) ( 2915899 83300 )
NEW met3 ( 1949249 883660 ) ( 1962590 883660 )
+ USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] )
+ ROUTED met1 ( 1963970 1683510 ) ( 2004450 1683510 )
NEW met1 ( 2004450 2429130 ) ( 2900990 2429130 )
NEW met2 ( 1963970 1677220 ) ( 1963970 1683510 )
NEW met2 ( 2004450 1683510 ) ( 2004450 2429130 )
NEW met2 ( 2900990 2429130 ) ( 2900990 2429300 )
NEW met3 ( 1949249 1677220 ) ( 1963970 1677220 )
NEW met3 ( 2900990 2429300 ) ( 2915899 2429300 )
+ USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] )
+ ROUTED met1 ( 1964890 1759330 ) ( 2904210 1759330 )
NEW met2 ( 1964890 1752700 ) ( 1964890 1759330 )
NEW met2 ( 2904210 1759330 ) ( 2904210 2663900 )
NEW met3 ( 1949249 1752700 ) ( 1964890 1752700 )
NEW met3 ( 2904210 2663900 ) ( 2915899 2663900 )
+ USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] )
+ ROUTED met1 ( 1963510 1835150 ) ( 2903290 1835150 )
NEW met2 ( 1963510 1828860 ) ( 1963510 1835150 )
NEW met2 ( 2903290 1835150 ) ( 2903290 2898500 )
NEW met3 ( 1949249 1828860 ) ( 1963510 1828860 )
NEW met3 ( 2903290 2898500 ) ( 2915899 2898500 )
+ USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] )
+ ROUTED met1 ( 1963050 1918110 ) ( 2902370 1918110 )
NEW met2 ( 1963050 1911820 ) ( 1963050 1918110 )
NEW met2 ( 2902370 1918110 ) ( 2902370 3133100 )
NEW met3 ( 1949249 1911820 ) ( 1963050 1911820 )
NEW met3 ( 2902370 3133100 ) ( 2915899 3133100 )
+ USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] )
+ ROUTED met1 ( 1963050 1993930 ) ( 2901450 1993930 )
NEW met2 ( 1963050 1987300 ) ( 1963050 1993930 )
NEW met2 ( 2901450 1993930 ) ( 2901450 3367700 )
NEW met3 ( 1949249 1987300 ) ( 1963050 1987300 )
NEW met3 ( 2901450 3367700 ) ( 2915899 3367700 )
+ USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] )
+ ROUTED met1 ( 1931770 3501490 ) ( 2794730 3501490 )
NEW met2 ( 1925330 2059649 ) ( 1925330 2073449 )
NEW met2 ( 1931770 2073449 ) ( 1931770 3501490 )
NEW met2 ( 2794730 3501490 ) ( 2794730 3516049 )
+ USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] )
+ ROUTED met1 ( 1800670 3502510 ) ( 2470430 3502510 )
NEW met2 ( 1794230 2059649 ) ( 1794230 2073449 )
NEW met2 ( 1800670 2073449 ) ( 1800670 3502510 )
NEW met2 ( 2470430 3502510 ) ( 2470430 3516049 )
+ USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] )
+ ROUTED met1 ( 1669570 3503530 ) ( 2146130 3503530 )
NEW met2 ( 1663130 2059649 ) ( 1663130 2073449 )
NEW met2 ( 1669570 2073449 ) ( 1669570 3503530 )
NEW met2 ( 2146130 3503530 ) ( 2146130 3516049 )
+ USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] )
+ ROUTED met1 ( 1538470 3501490 ) ( 1821830 3501490 )
NEW met2 ( 1532030 2059649 ) ( 1532030 2073449 )
NEW met2 ( 1538470 2073449 ) ( 1538470 3501490 )
NEW met2 ( 1821830 3501490 ) ( 1821830 3516049 )
+ USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] )
+ ROUTED met1 ( 1407370 3501490 ) ( 1497530 3501490 )
NEW met2 ( 1400930 2059649 ) ( 1400930 2073449 )
NEW met2 ( 1407370 2073449 ) ( 1407370 3501490 )
NEW met2 ( 1497530 3501490 ) ( 1497530 3516049 )
+ USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] )
+ ROUTED met1 ( 1969950 324190 ) ( 2901910 324190 )
NEW met1 ( 1963050 966110 ) ( 1969950 966110 )
NEW met2 ( 1963050 966110 ) ( 1963050 966620 )
NEW met2 ( 1969950 324190 ) ( 1969950 966110 )
NEW met2 ( 2901910 317900 ) ( 2901910 324190 )
NEW met3 ( 2901910 317900 ) ( 2915899 317900 )
NEW met3 ( 1949249 966620 ) ( 1963050 966620 )
+ USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] )
+ ROUTED met1 ( 1179670 2073490 ) ( 1269830 2073490 )
NEW met2 ( 1173230 3501749 ) ( 1173230 3516049 )
NEW met2 ( 1179670 2073490 ) ( 1179670 3501749 )
NEW met2 ( 1269830 2059649 ) ( 1269830 2073490 )
+ USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] )
+ ROUTED met1 ( 855370 2073490 ) ( 1138730 2073490 )
NEW met2 ( 848930 3501749 ) ( 848930 3516049 )
NEW met2 ( 855370 2073490 ) ( 855370 3501749 )
NEW met2 ( 1138730 2059649 ) ( 1138730 2073490 )
+ USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] )
+ ROUTED met1 ( 531070 2075190 ) ( 1007630 2075190 )
NEW met2 ( 524630 3501749 ) ( 524630 3516049 )
NEW met2 ( 531070 2075190 ) ( 531070 3501749 )
NEW met2 ( 1007630 2059649 ) ( 1007630 2075190 )
+ USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] )
+ ROUTED met1 ( 206770 2074170 ) ( 883430 2074170 )
NEW met2 ( 200330 3501749 ) ( 200330 3516049 )
NEW met2 ( 206770 2074170 ) ( 206770 3501749 )
NEW met2 ( 883430 2059649 ) ( 883430 2074170 )
+ USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] )
+ ROUTED met1 ( 31050 1993930 ) ( 762450 1993930 )
NEW met1 ( 17250 3408670 ) ( 31050 3408670 )
NEW met2 ( 17250 3408670 ) ( 17250 3409180 )
NEW met2 ( 31050 1993930 ) ( 31050 3408670 )
NEW met2 ( 762450 1987300 ) ( 762450 1993930 )
NEW met3 ( 762450 1987300 ) ( 776249 1987300 )
NEW met3 ( 3449 3409180 ) ( 17250 3409180 )
+ USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] )
+ ROUTED met1 ( 665850 1904170 ) ( 762450 1904170 )
NEW met1 ( 18170 3118990 ) ( 665850 3118990 )
NEW met2 ( 18170 3118820 ) ( 18170 3118990 )
NEW met2 ( 665850 1904170 ) ( 665850 3118990 )
NEW met2 ( 762450 1897540 ) ( 762450 1904170 )
NEW met3 ( 762450 1897540 ) ( 776249 1897540 )
NEW met3 ( 3449 3118820 ) ( 18170 3118820 )
+ USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] )
+ ROUTED met1 ( 79350 1821550 ) ( 762450 1821550 )
NEW met1 ( 19090 2836110 ) ( 79350 2836110 )
NEW met2 ( 19090 2835940 ) ( 19090 2836110 )
NEW met2 ( 79350 1821550 ) ( 79350 2836110 )
NEW met2 ( 762450 1815260 ) ( 762450 1821550 )
NEW met3 ( 762450 1815260 ) ( 776249 1815260 )
NEW met3 ( 3449 2835940 ) ( 19090 2835940 )
+ USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] )
+ ROUTED met1 ( 51750 1738590 ) ( 762450 1738590 )
NEW met1 ( 20010 2546430 ) ( 51750 2546430 )
NEW met2 ( 20010 2546260 ) ( 20010 2546430 )
NEW met2 ( 51750 1738590 ) ( 51750 2546430 )
NEW met2 ( 762450 1732300 ) ( 762450 1738590 )
NEW met3 ( 762450 1732300 ) ( 776249 1732300 )
NEW met3 ( 3449 2546260 ) ( 20010 2546260 )
+ USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] )
+ ROUTED met1 ( 58650 1655970 ) ( 762450 1655970 )
NEW met1 ( 16790 2256410 ) ( 58650 2256410 )
NEW met2 ( 16790 2256410 ) ( 16790 2256580 )
NEW met2 ( 58650 1655970 ) ( 58650 2256410 )
NEW met2 ( 762450 1649340 ) ( 762450 1655970 )
NEW met3 ( 762450 1649340 ) ( 776249 1649340 )
NEW met3 ( 3449 2256580 ) ( 16790 2256580 )
+ USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] )
+ ROUTED met1 ( 17250 1566210 ) ( 762450 1566210 )
NEW met2 ( 17250 1566210 ) ( 17250 1973700 )
NEW met2 ( 762450 1559580 ) ( 762450 1566210 )
NEW met3 ( 762450 1559580 ) ( 776249 1559580 )
NEW met3 ( 3449 1973700 ) ( 17250 1973700 )
+ USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] )
+ ROUTED met1 ( 1976850 558790 ) ( 2901910 558790 )
NEW met1 ( 1963510 1041930 ) ( 1976850 1041930 )
NEW met2 ( 1963510 1041930 ) ( 1963510 1042100 )
NEW met2 ( 1976850 558790 ) ( 1976850 1041930 )
NEW met2 ( 2901910 552500 ) ( 2901910 558790 )
NEW met3 ( 2901910 552500 ) ( 2915899 552500 )
NEW met3 ( 1949249 1042100 ) ( 1963510 1042100 )
+ USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] )
+ ROUTED met1 ( 18630 1483250 ) ( 762450 1483250 )
NEW met2 ( 18630 1483250 ) ( 18630 1684020 )
NEW met2 ( 762450 1476620 ) ( 762450 1483250 )
NEW met3 ( 762450 1476620 ) ( 776249 1476620 )
NEW met3 ( 3449 1684020 ) ( 18630 1684020 )
+ USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] )
+ ROUTED met1 ( 17710 1400630 ) ( 762450 1400630 )
NEW met2 ( 17710 1400630 ) ( 17710 1469820 )
NEW met2 ( 762450 1394340 ) ( 762450 1400630 )
NEW met3 ( 762450 1394340 ) ( 776249 1394340 )
NEW met3 ( 3449 1469820 ) ( 17710 1469820 )
+ USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] )
+ ROUTED met1 ( 17250 1311210 ) ( 762910 1311210 )
NEW met2 ( 17250 1256300 ) ( 17250 1311210 )
NEW met2 ( 762910 1311210 ) ( 762910 1311380 )
NEW met3 ( 3449 1256300 ) ( 17250 1256300 )
NEW met3 ( 762910 1311380 ) ( 776249 1311380 )
+ USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] )
+ ROUTED met1 ( 17710 1041590 ) ( 762910 1041590 )
NEW met2 ( 17710 1035300 ) ( 17710 1041590 )
NEW met2 ( 762910 1041590 ) ( 762910 1221620 )
NEW met3 ( 3449 1035300 ) ( 17710 1035300 )
NEW met3 ( 762910 1221620 ) ( 776249 1221620 )
+ USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] )
+ ROUTED met1 ( 19090 827730 ) ( 765670 827730 )
NEW met2 ( 19090 821100 ) ( 19090 827730 )
NEW met2 ( 765670 827730 ) ( 765670 1138660 )
NEW met3 ( 3449 821100 ) ( 19090 821100 )
NEW met3 ( 765670 1138660 ) ( 776249 1138660 )
+ USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] )
+ ROUTED met1 ( 18630 613870 ) ( 765210 613870 )
NEW met2 ( 18630 607580 ) ( 18630 613870 )
NEW met2 ( 765210 613870 ) ( 765210 1055700 )
NEW met3 ( 3449 607580 ) ( 18630 607580 )
NEW met3 ( 765210 1055700 ) ( 776249 1055700 )
+ USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] )
+ ROUTED met1 ( 18170 400010 ) ( 764290 400010 )
NEW met2 ( 18170 393380 ) ( 18170 400010 )
NEW met2 ( 764290 400010 ) ( 764290 973420 )
NEW met3 ( 3449 393380 ) ( 18170 393380 )
NEW met3 ( 764290 973420 ) ( 776249 973420 )
+ USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] )
+ ROUTED met1 ( 17710 179350 ) ( 763370 179350 )
NEW met2 ( 17710 173060 ) ( 17710 179350 )
NEW met2 ( 763370 179350 ) ( 763370 883660 )
NEW met3 ( 3449 173060 ) ( 17710 173060 )
NEW met3 ( 763370 883660 ) ( 776249 883660 )
+ USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] )
+ ROUTED met1 ( 1965810 793390 ) ( 2901910 793390 )
NEW met2 ( 1965810 793390 ) ( 1965810 1125060 )
NEW met2 ( 2901910 787100 ) ( 2901910 793390 )
NEW met3 ( 2901910 787100 ) ( 2915899 787100 )
NEW met3 ( 1949249 1125060 ) ( 1965810 1125060 )
+ USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] )
+ ROUTED met1 ( 1963050 1027990 ) ( 2901450 1027990 )
NEW met2 ( 1963050 1027990 ) ( 1963050 1201220 )
NEW met2 ( 2901450 1021700 ) ( 2901450 1027990 )
NEW met3 ( 2901450 1021700 ) ( 2915899 1021700 )
NEW met3 ( 1949249 1201220 ) ( 1963050 1201220 )
+ USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] )
+ ROUTED met1 ( 1963050 1262590 ) ( 2901450 1262590 )
NEW met2 ( 1963050 1262590 ) ( 1963050 1276700 )
NEW met2 ( 2901450 1256300 ) ( 2901450 1262590 )
NEW met3 ( 2901450 1256300 ) ( 2915899 1256300 )
NEW met3 ( 1949249 1276700 ) ( 1963050 1276700 )
+ USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] )
+ ROUTED met1 ( 1963510 1365950 ) ( 2901450 1365950 )
NEW met2 ( 1963510 1359660 ) ( 1963510 1365950 )
NEW met2 ( 2901450 1365950 ) ( 2901450 1490900 )
NEW met3 ( 1949249 1359660 ) ( 1963510 1359660 )
NEW met3 ( 2901450 1490900 ) ( 2915899 1490900 )
+ USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] )
+ ROUTED met1 ( 1963050 1441770 ) ( 2901910 1441770 )
NEW met2 ( 1963050 1435820 ) ( 1963050 1441770 )
NEW met2 ( 2901910 1441770 ) ( 2901910 1725500 )
NEW met3 ( 1949249 1435820 ) ( 1963050 1435820 )
NEW met3 ( 2901910 1725500 ) ( 2915899 1725500 )
+ USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] )
+ ROUTED met1 ( 1964890 1524730 ) ( 2901450 1524730 )
NEW met2 ( 1964890 1518100 ) ( 1964890 1524730 )
NEW met2 ( 2901450 1524730 ) ( 2901450 1960100 )
NEW met3 ( 1949249 1518100 ) ( 1964890 1518100 )
NEW met3 ( 2901450 1960100 ) ( 2915899 1960100 )
+ USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] )
+ ROUTED met1 ( 1964890 1600550 ) ( 2900990 1600550 )
NEW met2 ( 1964890 1594260 ) ( 1964890 1600550 )
NEW met2 ( 2900990 1600550 ) ( 2900990 2194700 )
NEW met3 ( 1949249 1594260 ) ( 1964890 1594260 )
NEW met3 ( 2900990 2194700 ) ( 2915899 2194700 )
+ USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] )
+ ROUTED met1 ( 1963510 206890 ) ( 2901910 206890 )
NEW met2 ( 1963510 206890 ) ( 1963510 938740 )
NEW met2 ( 2901910 200260 ) ( 2901910 206890 )
NEW met3 ( 2901910 200260 ) ( 2915899 200260 )
NEW met3 ( 1949249 938740 ) ( 1963510 938740 )
+ USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] )
+ ROUTED met1 ( 1964890 1731790 ) ( 2904670 1731790 )
NEW met2 ( 1964890 1725500 ) ( 1964890 1731790 )
NEW met2 ( 2904670 1731790 ) ( 2904670 2546260 )
NEW met3 ( 1949249 1725500 ) ( 1964890 1725500 )
NEW met3 ( 2904670 2546260 ) ( 2915899 2546260 )
+ USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] )
+ ROUTED met1 ( 1963510 1814410 ) ( 2903750 1814410 )
NEW met2 ( 1963510 1808460 ) ( 1963510 1814410 )
NEW met2 ( 2903750 1814410 ) ( 2903750 2780860 )
NEW met3 ( 1949249 1808460 ) ( 1963510 1808460 )
NEW met3 ( 2903750 2780860 ) ( 2915899 2780860 )
+ USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] )
+ ROUTED met1 ( 1963050 1890570 ) ( 2902830 1890570 )
NEW met2 ( 1963050 1883940 ) ( 1963050 1890570 )
NEW met2 ( 2902830 1890570 ) ( 2902830 3015460 )
NEW met3 ( 1949249 1883940 ) ( 1963050 1883940 )
NEW met3 ( 2902830 3015460 ) ( 2915899 3015460 )
+ USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] )
+ ROUTED met1 ( 1963050 1973190 ) ( 2901910 1973190 )
NEW met2 ( 1963050 1966900 ) ( 1963050 1973190 )
NEW met2 ( 2901910 1973190 ) ( 2901910 3250060 )
NEW met3 ( 1949249 1966900 ) ( 1963050 1966900 )
NEW met3 ( 2901910 3250060 ) ( 2915899 3250060 )
+ USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] )
+ ROUTED met1 ( 1964890 2049010 ) ( 1969950 2049010 )
NEW met1 ( 1969950 3484830 ) ( 2901450 3484830 )
NEW met2 ( 1964890 2043060 ) ( 1964890 2049010 )
NEW met2 ( 1969950 2049010 ) ( 1969950 3484830 )
NEW met2 ( 2901450 3484660 ) ( 2901450 3484830 )
NEW met3 ( 1949249 2043060 ) ( 1964890 2043060 )
NEW met3 ( 2901450 3484660 ) ( 2915899 3484660 )
+ USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] )
+ ROUTED met1 ( 1842070 3502170 ) ( 2636030 3502170 )
NEW met2 ( 1835630 2059649 ) ( 1835630 2073449 )
NEW met2 ( 1842070 2073449 ) ( 1842070 3502170 )
NEW met2 ( 2636030 3502170 ) ( 2636030 3516049 )
+ USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] )
+ ROUTED met1 ( 1710970 3503190 ) ( 2311730 3503190 )
NEW met2 ( 1704530 2059649 ) ( 1704530 2073449 )
NEW met2 ( 1710970 2073449 ) ( 1710970 3503190 )
NEW met2 ( 2311730 3503190 ) ( 2311730 3516049 )
+ USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] )
+ ROUTED met1 ( 1579870 3504210 ) ( 1987430 3504210 )
NEW met2 ( 1573430 2059649 ) ( 1573430 2073449 )
NEW met2 ( 1579870 2073449 ) ( 1579870 3504210 )
NEW met2 ( 1987430 3504210 ) ( 1987430 3516049 )
+ USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] )
+ ROUTED met1 ( 1448770 3502170 ) ( 1656230 3502170 )
NEW met2 ( 1442330 2059649 ) ( 1442330 2073449 )
NEW met2 ( 1448770 2073449 ) ( 1448770 3502170 )
NEW met2 ( 1656230 3502170 ) ( 1656230 3516049 )
+ USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] )
+ ROUTED met1 ( 1324570 3501490 ) ( 1331930 3501490 )
NEW met2 ( 1318130 2059649 ) ( 1318130 2073449 )
NEW met2 ( 1324570 2073449 ) ( 1324570 3501490 )
NEW met2 ( 1331930 3501490 ) ( 1331930 3516049 )
+ USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] )
+ ROUTED met1 ( 1964430 441490 ) ( 2901910 441490 )
NEW met2 ( 1964430 441490 ) ( 1964430 1014900 )
NEW met2 ( 2901910 434860 ) ( 2901910 441490 )
NEW met3 ( 2901910 434860 ) ( 2915899 434860 )
NEW met3 ( 1949249 1014900 ) ( 1964430 1014900 )
+ USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] )
+ ROUTED met1 ( 1014070 2073830 ) ( 1187030 2073830 )
NEW met2 ( 1007630 3501749 ) ( 1007630 3516049 )
NEW met2 ( 1014070 2073830 ) ( 1014070 3501749 )
NEW met2 ( 1187030 2059649 ) ( 1187030 2073830 )
+ USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] )
+ ROUTED met1 ( 689770 2075530 ) ( 1055930 2075530 )
NEW met2 ( 683330 3501749 ) ( 683330 3516049 )
NEW met2 ( 689770 2075530 ) ( 689770 3501749 )
NEW met2 ( 1055930 2059649 ) ( 1055930 2075530 )
+ USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] )
+ ROUTED met1 ( 365470 2074510 ) ( 924830 2074510 )
NEW met2 ( 359030 3501749 ) ( 359030 3516049 )
NEW met2 ( 365470 2074510 ) ( 365470 3501749 )
NEW met2 ( 924830 2059649 ) ( 924830 2074510 )
+ USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] )
+ ROUTED met1 ( 41170 2073490 ) ( 793730 2073490 )
NEW met2 ( 34730 3501749 ) ( 34730 3516049 )
NEW met2 ( 41170 2073490 ) ( 41170 3501749 )
NEW met2 ( 793730 2059649 ) ( 793730 2073490 )
+ USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] )
+ ROUTED met1 ( 17710 2049010 ) ( 762450 2049010 )
NEW met2 ( 17710 2049010 ) ( 17710 3264340 )
NEW met2 ( 762450 2043060 ) ( 762450 2049010 )
NEW met3 ( 762450 2043060 ) ( 776249 2043060 )
NEW met3 ( 3449 3264340 ) ( 17710 3264340 )
+ USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] )
+ ROUTED met1 ( 18630 1966390 ) ( 762450 1966390 )
NEW met2 ( 18630 1966390 ) ( 18630 2973980 )
NEW met2 ( 762450 1960100 ) ( 762450 1966390 )
NEW met3 ( 762450 1960100 ) ( 776249 1960100 )
NEW met3 ( 3449 2973980 ) ( 18630 2973980 )
+ USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] )
+ ROUTED met1 ( 19550 1876630 ) ( 762450 1876630 )
NEW met2 ( 19550 1876630 ) ( 19550 2691100 )
NEW met2 ( 762450 1870340 ) ( 762450 1876630 )
NEW met3 ( 762450 1870340 ) ( 776249 1870340 )
NEW met3 ( 3449 2691100 ) ( 19550 2691100 )
+ USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] )
+ ROUTED met1 ( 20470 1793670 ) ( 762450 1793670 )
NEW met2 ( 20470 1793670 ) ( 20470 2401420 )
NEW met2 ( 762450 1787380 ) ( 762450 1793670 )
NEW met3 ( 762450 1787380 ) ( 776249 1787380 )
NEW met3 ( 3449 2401420 ) ( 20470 2401420 )
+ USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] )
+ ROUTED met1 ( 16790 1711050 ) ( 762450 1711050 )
NEW met2 ( 16790 1711050 ) ( 16790 2118540 )
NEW met2 ( 762450 1704420 ) ( 762450 1711050 )
NEW met3 ( 762450 1704420 ) ( 776249 1704420 )
NEW met3 ( 3449 2118540 ) ( 16790 2118540 )
+ USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] )
+ ROUTED met1 ( 18170 1621290 ) ( 762450 1621290 )
NEW met2 ( 18170 1621290 ) ( 18170 1828860 )
NEW met2 ( 762450 1614660 ) ( 762450 1621290 )
NEW met3 ( 762450 1614660 ) ( 776249 1614660 )
NEW met3 ( 3449 1828860 ) ( 18170 1828860 )
+ USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] )
+ ROUTED met1 ( 1965350 676090 ) ( 2901910 676090 )
NEW met2 ( 1965350 676090 ) ( 1965350 1097180 )
NEW met2 ( 2901910 669460 ) ( 2901910 676090 )
NEW met3 ( 2901910 669460 ) ( 2915899 669460 )
NEW met3 ( 1949249 1097180 ) ( 1965350 1097180 )
+ USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] )
+ ROUTED met1 ( 17250 1538670 ) ( 762450 1538670 )
NEW met2 ( 17250 1538670 ) ( 17250 1539180 )
NEW met2 ( 762450 1532380 ) ( 762450 1538670 )
NEW met3 ( 762450 1532380 ) ( 776249 1532380 )
NEW met3 ( 3449 1539180 ) ( 17250 1539180 )
+ USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] )
+ ROUTED met1 ( 17250 1449250 ) ( 762450 1449250 )
NEW met2 ( 17250 1324980 ) ( 17250 1449250 )
NEW met2 ( 762450 1449250 ) ( 762450 1449420 )
NEW met3 ( 3449 1324980 ) ( 17250 1324980 )
NEW met3 ( 762450 1449420 ) ( 776249 1449420 )
+ USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] )
+ ROUTED met1 ( 17710 1117750 ) ( 762450 1117750 )
NEW met2 ( 17710 1111460 ) ( 17710 1117750 )
NEW met2 ( 762450 1117750 ) ( 762450 1366460 )
NEW met3 ( 3449 1111460 ) ( 17710 1111460 )
NEW met3 ( 762450 1366460 ) ( 776249 1366460 )
+ USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] )
+ ROUTED met1 ( 19090 903890 ) ( 763370 903890 )
NEW met2 ( 19090 897260 ) ( 19090 903890 )
NEW met2 ( 763370 903890 ) ( 763370 1283500 )
NEW met3 ( 3449 897260 ) ( 19090 897260 )
NEW met3 ( 763370 1283500 ) ( 776249 1283500 )
+ USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] )
+ ROUTED met1 ( 18630 682890 ) ( 764750 682890 )
NEW met2 ( 18630 676260 ) ( 18630 682890 )
NEW met2 ( 764750 682890 ) ( 764750 1193740 )
NEW met3 ( 3449 676260 ) ( 18630 676260 )
NEW met3 ( 764750 1193740 ) ( 776249 1193740 )
+ USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] )
+ ROUTED met1 ( 18170 469030 ) ( 763830 469030 )
NEW met2 ( 18170 462740 ) ( 18170 469030 )
NEW met2 ( 763830 469030 ) ( 763830 1111460 )
NEW met3 ( 3449 462740 ) ( 18170 462740 )
NEW met3 ( 763830 1111460 ) ( 776249 1111460 )
+ USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] )
+ ROUTED met1 ( 17710 255170 ) ( 762910 255170 )
NEW met2 ( 17710 248540 ) ( 17710 255170 )
NEW met2 ( 762910 255170 ) ( 762910 1028500 )
NEW met3 ( 3449 248540 ) ( 17710 248540 )
NEW met3 ( 762910 1028500 ) ( 776249 1028500 )
+ USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] )
+ ROUTED met1 ( 17250 41310 ) ( 762450 41310 )
NEW met2 ( 17250 35020 ) ( 17250 41310 )
NEW met2 ( 762450 41310 ) ( 762450 938740 )
NEW met3 ( 3449 35020 ) ( 17250 35020 )
NEW met3 ( 762450 938740 ) ( 776249 938740 )
+ USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] )
+ ROUTED met1 ( 1962590 910690 ) ( 2901450 910690 )
NEW met2 ( 1962590 910690 ) ( 1962590 1173340 )
NEW met2 ( 2901450 904060 ) ( 2901450 910690 )
NEW met3 ( 2901450 904060 ) ( 2915899 904060 )
NEW met3 ( 1949249 1173340 ) ( 1962590 1173340 )
+ USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] )
+ ROUTED met1 ( 1963970 1145290 ) ( 2901450 1145290 )
NEW met2 ( 1963970 1145290 ) ( 1963970 1256300 )
NEW met2 ( 2901450 1138660 ) ( 2901450 1145290 )
NEW met3 ( 2901450 1138660 ) ( 2915899 1138660 )
NEW met3 ( 1949249 1256300 ) ( 1963970 1256300 )
+ USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] )
+ ROUTED met1 ( 1963050 1373430 ) ( 2901910 1373430 )
NEW met2 ( 1963050 1331780 ) ( 1963050 1373430 )
NEW met2 ( 2901910 1373260 ) ( 2901910 1373430 )
NEW met3 ( 1949249 1331780 ) ( 1963050 1331780 )
NEW met3 ( 2901910 1373260 ) ( 2915899 1373260 )
+ USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] )
+ ROUTED met1 ( 1963970 1608030 ) ( 2902370 1608030 )
NEW met2 ( 1963970 1407940 ) ( 1963970 1608030 )
NEW met2 ( 2902370 1607860 ) ( 2902370 1608030 )
NEW met3 ( 1949249 1407940 ) ( 1963970 1407940 )
NEW met3 ( 2902370 1607860 ) ( 2915899 1607860 )
+ USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] )
+ ROUTED met1 ( 1963050 1842630 ) ( 2901910 1842630 )
NEW met2 ( 1963050 1490900 ) ( 1963050 1842630 )
NEW met2 ( 2901910 1842460 ) ( 2901910 1842630 )
NEW met3 ( 1949249 1490900 ) ( 1963050 1490900 )
NEW met3 ( 2901910 1842460 ) ( 2915899 1842460 )
+ USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] )
+ ROUTED met1 ( 1964430 2077230 ) ( 2900530 2077230 )
NEW met2 ( 1964430 1566380 ) ( 1964430 2077230 )
NEW met2 ( 2900530 2077060 ) ( 2900530 2077230 )
NEW met3 ( 1949249 1566380 ) ( 1964430 1566380 )
NEW met3 ( 2900530 2077060 ) ( 2915899 2077060 )
+ USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] )
+ ROUTED met1 ( 1963970 1655970 ) ( 1976850 1655970 )
NEW met1 ( 1976850 2311830 ) ( 2900990 2311830 )
NEW met2 ( 1963970 1649340 ) ( 1963970 1655970 )
NEW met2 ( 1976850 1655970 ) ( 1976850 2311830 )
NEW met2 ( 2900990 2311660 ) ( 2900990 2311830 )
NEW met3 ( 1949249 1649340 ) ( 1963970 1649340 )
NEW met3 ( 2900990 2311660 ) ( 2915899 2311660 )
+ USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] )
+ ROUTED met1 ( 1963050 151470 ) ( 2901910 151470 )
NEW met2 ( 1963050 151470 ) ( 1963050 910860 )
NEW met2 ( 2901910 145180 ) ( 2901910 151470 )
NEW met3 ( 2901910 145180 ) ( 2915899 145180 )
NEW met3 ( 1949249 910860 ) ( 1963050 910860 )
+ USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] )
+ ROUTED met1 ( 1963970 2491010 ) ( 2900990 2491010 )
NEW met2 ( 1963970 1697620 ) ( 1963970 2491010 )
NEW met2 ( 2900990 2491010 ) ( 2900990 2491180 )
NEW met3 ( 1949249 1697620 ) ( 1963970 1697620 )
NEW met3 ( 2900990 2491180 ) ( 2915899 2491180 )
+ USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] )
+ ROUTED met1 ( 1964890 1786870 ) ( 1983750 1786870 )
NEW met1 ( 1983750 2725610 ) ( 2904210 2725610 )
NEW met2 ( 1964890 1780580 ) ( 1964890 1786870 )
NEW met2 ( 1983750 1786870 ) ( 1983750 2725610 )
NEW met2 ( 2904210 2725610 ) ( 2904210 2725780 )
NEW met3 ( 1949249 1780580 ) ( 1964890 1780580 )
NEW met3 ( 2904210 2725780 ) ( 2915899 2725780 )
+ USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] )
+ ROUTED met1 ( 1963510 2960210 ) ( 2903290 2960210 )
NEW met2 ( 1963510 1856740 ) ( 1963510 2960210 )
NEW met2 ( 2903290 2960210 ) ( 2903290 2960380 )
NEW met3 ( 1949249 1856740 ) ( 1963510 1856740 )
NEW met3 ( 2903290 2960380 ) ( 2915899 2960380 )
+ USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] )
+ ROUTED met1 ( 1963050 1945650 ) ( 1990650 1945650 )
NEW met1 ( 1990650 3194810 ) ( 2902370 3194810 )
NEW met2 ( 1963050 1939020 ) ( 1963050 1945650 )
NEW met2 ( 1990650 1945650 ) ( 1990650 3194810 )
NEW met2 ( 2902370 3194810 ) ( 2902370 3194980 )
NEW met3 ( 1949249 1939020 ) ( 1963050 1939020 )
NEW met3 ( 2902370 3194980 ) ( 2915899 3194980 )
+ USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] )
+ ROUTED met1 ( 1963050 3429410 ) ( 2901450 3429410 )
NEW met2 ( 1963050 2015180 ) ( 1963050 3429410 )
NEW met2 ( 2901450 3429410 ) ( 2901450 3429580 )
NEW met3 ( 1949249 2015180 ) ( 1963050 2015180 )
NEW met3 ( 2901450 3429580 ) ( 2915899 3429580 )
+ USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] )
+ ROUTED met1 ( 1883470 3501830 ) ( 2711930 3501830 )
NEW met2 ( 1877030 2059649 ) ( 1877030 2073449 )
NEW met2 ( 1883470 2073449 ) ( 1883470 3501830 )
NEW met2 ( 2711930 3501830 ) ( 2711930 3516049 )
+ USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] )
+ ROUTED met1 ( 1759270 3502850 ) ( 2387630 3502850 )
NEW met2 ( 1752830 2059649 ) ( 1752830 2073449 )
NEW met2 ( 1759270 2073449 ) ( 1759270 3502850 )
NEW met2 ( 2387630 3502850 ) ( 2387630 3516049 )
+ USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] )
+ ROUTED met1 ( 1628170 3503870 ) ( 2063330 3503870 )
NEW met2 ( 1621730 2059649 ) ( 1621730 2073449 )
NEW met2 ( 1628170 2073449 ) ( 1628170 3503870 )
NEW met2 ( 2063330 3503870 ) ( 2063330 3516049 )
+ USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] )
+ ROUTED met1 ( 1497070 3501830 ) ( 1739030 3501830 )
NEW met2 ( 1490630 2059649 ) ( 1490630 2073449 )
NEW met2 ( 1497070 2073449 ) ( 1497070 3501830 )
NEW met2 ( 1739030 3501830 ) ( 1739030 3516049 )
+ USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] )
+ ROUTED met1 ( 1365970 3501830 ) ( 1414730 3501830 )
NEW met2 ( 1359530 2059649 ) ( 1359530 2073449 )
NEW met2 ( 1365970 2073449 ) ( 1365970 3501830 )
NEW met2 ( 1414730 3501830 ) ( 1414730 3516049 )
+ USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] )
+ ROUTED met1 ( 1963970 386070 ) ( 2901910 386070 )
NEW met2 ( 1963970 386070 ) ( 1963970 987020 )
NEW met2 ( 2901910 379780 ) ( 2901910 386070 )
NEW met3 ( 2901910 379780 ) ( 2915899 379780 )
NEW met3 ( 1949249 987020 ) ( 1963970 987020 )
+ USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] )
+ ROUTED met1 ( 1096870 2074170 ) ( 1228430 2074170 )
NEW met2 ( 1090430 3501749 ) ( 1090430 3516049 )
NEW met2 ( 1096870 2074170 ) ( 1096870 3501749 )
NEW met2 ( 1228430 2059649 ) ( 1228430 2074170 )
+ USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] )
+ ROUTED met1 ( 772570 2075870 ) ( 1097330 2075870 )
NEW met2 ( 766130 3501749 ) ( 766130 3516049 )
NEW met2 ( 772570 2075870 ) ( 772570 3501749 )
NEW met2 ( 1097330 2059649 ) ( 1097330 2075870 )
+ USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] )
+ ROUTED met1 ( 448270 2074850 ) ( 966230 2074850 )
NEW met2 ( 441830 3501749 ) ( 441830 3516049 )
NEW met2 ( 448270 2074850 ) ( 448270 3501749 )
NEW met2 ( 966230 2059649 ) ( 966230 2074850 )
+ USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] )
+ ROUTED met1 ( 123970 2073830 ) ( 835130 2073830 )
NEW met2 ( 117530 3501749 ) ( 117530 3516049 )
NEW met2 ( 123970 2073830 ) ( 123970 3501749 )
NEW met2 ( 835130 2059649 ) ( 835130 2073830 )
+ USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] )
+ ROUTED met1 ( 17250 2021470 ) ( 762450 2021470 )
NEW met2 ( 17250 2021470 ) ( 17250 3339820 )
NEW met2 ( 762450 2015180 ) ( 762450 2021470 )
NEW met3 ( 762450 2015180 ) ( 776249 2015180 )
NEW met3 ( 3449 3339820 ) ( 17250 3339820 )
+ USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] )
+ ROUTED met1 ( 18170 1931710 ) ( 762450 1931710 )
NEW met2 ( 18170 1931710 ) ( 18170 3050140 )
NEW met2 ( 762450 1925420 ) ( 762450 1931710 )
NEW met3 ( 762450 1925420 ) ( 776249 1925420 )
NEW met3 ( 3449 3050140 ) ( 18170 3050140 )
+ USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] )
+ ROUTED met1 ( 19090 1849090 ) ( 762450 1849090 )
NEW met2 ( 19090 1849090 ) ( 19090 2760460 )
NEW met2 ( 762450 1842460 ) ( 762450 1849090 )
NEW met3 ( 762450 1842460 ) ( 776249 1842460 )
NEW met3 ( 3449 2760460 ) ( 19090 2760460 )
+ USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] )
+ ROUTED met1 ( 20010 1766130 ) ( 762450 1766130 )
NEW met2 ( 20010 1766130 ) ( 20010 2477580 )
NEW met2 ( 762450 1759500 ) ( 762450 1766130 )
NEW met3 ( 762450 1759500 ) ( 776249 1759500 )
NEW met3 ( 3449 2477580 ) ( 20010 2477580 )
+ USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] )
+ ROUTED met1 ( 24150 1683510 ) ( 762450 1683510 )
NEW met1 ( 16790 2187390 ) ( 24150 2187390 )
NEW met2 ( 16790 2187390 ) ( 16790 2187900 )
NEW met2 ( 24150 1683510 ) ( 24150 2187390 )
NEW met2 ( 762450 1677220 ) ( 762450 1683510 )
NEW met3 ( 762450 1677220 ) ( 776249 1677220 )
NEW met3 ( 3449 2187900 ) ( 16790 2187900 )
+ USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] )
+ ROUTED met1 ( 17710 1593750 ) ( 762450 1593750 )
NEW met2 ( 17710 1593750 ) ( 17710 1897540 )
NEW met2 ( 762450 1587460 ) ( 762450 1593750 )
NEW met3 ( 762450 1587460 ) ( 776249 1587460 )
NEW met3 ( 3449 1897540 ) ( 17710 1897540 )
+ USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] )
+ ROUTED met1 ( 1964890 620670 ) ( 2901910 620670 )
NEW met2 ( 1964890 620670 ) ( 1964890 1069980 )
NEW met2 ( 2901910 614380 ) ( 2901910 620670 )
NEW met3 ( 2901910 614380 ) ( 2915899 614380 )
NEW met3 ( 1949249 1069980 ) ( 1964890 1069980 )
+ USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] )
+ ROUTED met1 ( 19090 1510790 ) ( 762450 1510790 )
NEW met2 ( 19090 1510790 ) ( 19090 1614660 )
NEW met2 ( 762450 1504500 ) ( 762450 1510790 )
NEW met3 ( 762450 1504500 ) ( 776249 1504500 )
NEW met3 ( 3449 1614660 ) ( 19090 1614660 )
+ USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] )
+ ROUTED met1 ( 18170 1421710 ) ( 762450 1421710 )
NEW met2 ( 18170 1394340 ) ( 18170 1421710 )
NEW met2 ( 762450 1421540 ) ( 762450 1421710 )
NEW met3 ( 3449 1394340 ) ( 18170 1394340 )
NEW met3 ( 762450 1421540 ) ( 776249 1421540 )
+ USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] )
+ ROUTED met1 ( 17710 1338750 ) ( 762910 1338750 )
NEW met2 ( 17710 1180140 ) ( 17710 1338750 )
NEW met2 ( 762910 1338750 ) ( 762910 1339260 )
NEW met3 ( 3449 1180140 ) ( 17710 1180140 )
NEW met3 ( 762910 1339260 ) ( 776249 1339260 )
+ USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] )
+ ROUTED met1 ( 17250 1248990 ) ( 762910 1248990 )
NEW met2 ( 17250 966620 ) ( 17250 1248990 )
NEW met2 ( 762910 1248990 ) ( 762910 1249500 )
NEW met3 ( 3449 966620 ) ( 17250 966620 )
NEW met3 ( 762910 1249500 ) ( 776249 1249500 )
+ USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] )
+ ROUTED met1 ( 18630 1166370 ) ( 763830 1166370 )
NEW met2 ( 18630 752420 ) ( 18630 1166370 )
NEW met2 ( 763830 1166370 ) ( 763830 1166540 )
NEW met3 ( 3449 752420 ) ( 18630 752420 )
NEW met3 ( 763830 1166540 ) ( 776249 1166540 )
+ USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] )
+ ROUTED met1 ( 18170 1083410 ) ( 762450 1083410 )
NEW met2 ( 18170 538220 ) ( 18170 1083410 )
NEW met2 ( 762450 1083410 ) ( 762450 1083580 )
NEW met3 ( 3449 538220 ) ( 18170 538220 )
NEW met3 ( 762450 1083580 ) ( 776249 1083580 )
+ USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] )
+ ROUTED met1 ( 17710 1000790 ) ( 762450 1000790 )
NEW met2 ( 17710 317900 ) ( 17710 1000790 )
NEW met2 ( 762450 1000620 ) ( 762450 1000790 )
NEW met3 ( 3449 317900 ) ( 17710 317900 )
NEW met3 ( 762450 1000620 ) ( 776249 1000620 )
+ USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] )
+ ROUTED met1 ( 17250 911030 ) ( 761990 911030 )
NEW met2 ( 17250 103700 ) ( 17250 911030 )
NEW met2 ( 761990 910860 ) ( 761990 911030 )
NEW met3 ( 3449 103700 ) ( 17250 103700 )
NEW met3 ( 761990 910860 ) ( 776249 910860 )
+ USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] )
+ ROUTED met1 ( 1966270 855270 ) ( 2901910 855270 )
NEW met2 ( 1966270 855270 ) ( 1966270 1145460 )
NEW met2 ( 2901910 848980 ) ( 2901910 855270 )
NEW met3 ( 2901910 848980 ) ( 2915899 848980 )
NEW met3 ( 1949249 1145460 ) ( 1966270 1145460 )
+ USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] )
+ ROUTED met1 ( 1963510 1089870 ) ( 2901450 1089870 )
NEW met2 ( 1963510 1089870 ) ( 1963510 1228420 )
NEW met2 ( 2901450 1083580 ) ( 2901450 1089870 )
NEW met3 ( 2901450 1083580 ) ( 2915899 1083580 )
NEW met3 ( 1949249 1228420 ) ( 1963510 1228420 )
+ USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] )
+ ROUTED met1 ( 1963050 1318010 ) ( 2901450 1318010 )
NEW met2 ( 1963050 1304580 ) ( 1963050 1318010 )
NEW met2 ( 2901450 1318010 ) ( 2901450 1318180 )
NEW met3 ( 1949249 1304580 ) ( 1963050 1304580 )
NEW met3 ( 2901450 1318180 ) ( 2915899 1318180 )
+ USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] )
+ ROUTED met1 ( 1964430 1552610 ) ( 2902370 1552610 )
NEW met2 ( 1964430 1387540 ) ( 1964430 1552610 )
NEW met2 ( 2902370 1552610 ) ( 2902370 1552780 )
NEW met3 ( 1949249 1387540 ) ( 1964430 1387540 )
NEW met3 ( 2902370 1552780 ) ( 2915899 1552780 )
+ USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] )
+ ROUTED met1 ( 1963510 1787210 ) ( 2901910 1787210 )
NEW met2 ( 1963510 1463020 ) ( 1963510 1787210 )
NEW met2 ( 2901910 1787210 ) ( 2901910 1787380 )
NEW met3 ( 1949249 1463020 ) ( 1963510 1463020 )
NEW met3 ( 2901910 1787380 ) ( 2915899 1787380 )
+ USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] )
+ ROUTED met1 ( 1964890 1552270 ) ( 1969950 1552270 )
NEW met1 ( 1969950 2021810 ) ( 2900530 2021810 )
NEW met2 ( 1964890 1545980 ) ( 1964890 1552270 )
NEW met2 ( 1969950 1552270 ) ( 1969950 2021810 )
NEW met2 ( 2900530 2021810 ) ( 2900530 2021980 )
NEW met3 ( 1949249 1545980 ) ( 1964890 1545980 )
NEW met3 ( 2900530 2021980 ) ( 2915899 2021980 )
+ USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] )
+ ROUTED met1 ( 1963970 1628090 ) ( 1997550 1628090 )
NEW met1 ( 1997550 2256410 ) ( 2900990 2256410 )
NEW met2 ( 1963970 1622140 ) ( 1963970 1628090 )
NEW met2 ( 1997550 1628090 ) ( 1997550 2256410 )
NEW met2 ( 2900990 2256410 ) ( 2900990 2256580 )
NEW met3 ( 1949249 1622140 ) ( 1963970 1622140 )
NEW met3 ( 2900990 2256580 ) ( 2915899 2256580 )
+ USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] )
+ ROUTED met1 ( 634570 859350 ) ( 1028330 859350 )
NEW met2 ( 628130 3449 ) ( 628130 17249 )
NEW met2 ( 634570 17249 ) ( 634570 859350 )
NEW met2 ( 1028330 859350 ) ( 1028330 872849 )
+ USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] )
+ ROUTED met1 ( 1752370 859010 ) ( 2415230 859010 )
NEW met2 ( 1745930 865949 ) ( 1745930 872849 )
NEW met2 ( 1752370 859010 ) ( 1752370 865949 )
NEW met2 ( 2415230 3449 ) ( 2415230 859010 )
+ USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] )
+ ROUTED met1 ( 1758350 845070 ) ( 2429030 845070 )
NEW met2 ( 1753290 865949 ) ( 1753290 872849 )
NEW met2 ( 1758350 845070 ) ( 1758350 865949 )
NEW met2 ( 2429030 3449 ) ( 2429030 845070 )
+ USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] )
+ ROUTED met1 ( 1765250 838270 ) ( 2449730 838270 )
NEW met2 ( 1760190 865949 ) ( 1760190 872849 )
NEW met2 ( 1765250 838270 ) ( 1765250 865949 )
NEW met2 ( 2449730 3449 ) ( 2449730 838270 )
+ USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] )
+ ROUTED met1 ( 1772150 831470 ) ( 2470430 831470 )
NEW met2 ( 1767090 865949 ) ( 1767090 872849 )
NEW met2 ( 1772150 831470 ) ( 1772150 865949 )
NEW met2 ( 2470430 3449 ) ( 2470430 831470 )
+ USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] )
+ ROUTED met1 ( 1779050 824330 ) ( 2484230 824330 )
NEW met2 ( 1773990 865949 ) ( 1773990 872849 )
NEW met2 ( 1779050 824330 ) ( 1779050 865949 )
NEW met2 ( 2484230 3449 ) ( 2484230 824330 )
+ USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] )
+ ROUTED met1 ( 1785950 817530 ) ( 2504930 817530 )
NEW met2 ( 1780890 865949 ) ( 1780890 872849 )
NEW met2 ( 1785950 817530 ) ( 1785950 865949 )
NEW met2 ( 2504930 3449 ) ( 2504930 817530 )
+ USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] )
+ ROUTED met1 ( 1792850 810730 ) ( 2518730 810730 )
NEW met2 ( 1787790 865949 ) ( 1787790 872849 )
NEW met2 ( 1792850 810730 ) ( 1792850 865949 )
NEW met2 ( 2518730 3449 ) ( 2518730 810730 )
+ USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] )
+ ROUTED met1 ( 1800210 803590 ) ( 2539430 803590 )
NEW met2 ( 1794230 865949 ) ( 1794230 872849 )
NEW met2 ( 1800210 803590 ) ( 1800210 865949 )
NEW met2 ( 2539430 3449 ) ( 2539430 803590 )
+ USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] )
+ ROUTED met1 ( 1806650 796790 ) ( 2560130 796790 )
NEW met2 ( 1801590 865949 ) ( 1801590 872849 )
NEW met2 ( 1806650 796790 ) ( 1806650 865949 )
NEW met2 ( 2560130 3449 ) ( 2560130 796790 )
+ USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] )
+ ROUTED met1 ( 1814470 21250 ) ( 2573930 21250 )
NEW met2 ( 1808030 865949 ) ( 1808030 872849 )
NEW met2 ( 1814470 21250 ) ( 1814470 865949 )
NEW met2 ( 2573930 3449 ) ( 2573930 21250 )
+ USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] )
+ ROUTED met1 ( 813970 44710 ) ( 1097790 44710 )
NEW met2 ( 807530 3449 ) ( 807530 17249 )
NEW met2 ( 813970 17249 ) ( 813970 44710 )
NEW met2 ( 1097790 44710 ) ( 1097790 872849 )
+ USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] )
+ ROUTED met1 ( 1821370 21590 ) ( 2594630 21590 )
NEW met2 ( 1815850 865949 ) ( 1815850 872849 )
NEW met2 ( 1821370 21590 ) ( 1821370 865949 )
NEW met2 ( 2594630 3449 ) ( 2594630 21590 )
+ USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] )
+ ROUTED met1 ( 1828270 21930 ) ( 2608430 21930 )
NEW met2 ( 1822750 865949 ) ( 1822750 872849 )
NEW met2 ( 1828270 21930 ) ( 1828270 865949 )
NEW met2 ( 2608430 3449 ) ( 2608430 21930 )
+ USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] )
+ ROUTED met1 ( 1835170 22270 ) ( 2629130 22270 )
NEW met2 ( 1829650 865949 ) ( 1829650 872849 )
NEW met2 ( 1835170 22270 ) ( 1835170 865949 )
NEW met2 ( 2629130 3449 ) ( 2629130 22270 )
+ USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] )
+ ROUTED met1 ( 1842070 22610 ) ( 2643390 22610 )
NEW met2 ( 1836550 865949 ) ( 1836550 872849 )
NEW met2 ( 1842070 22610 ) ( 1842070 865949 )
NEW met2 ( 2643390 3449 ) ( 2643390 22610 )
+ USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] )
+ ROUTED met1 ( 1848970 22950 ) ( 2663630 22950 )
NEW met2 ( 1842990 865949 ) ( 1842990 872849 )
NEW met2 ( 1848970 22950 ) ( 1848970 865949 )
NEW met2 ( 2663630 3449 ) ( 2663630 22950 )
+ USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] )
+ ROUTED met1 ( 1855870 23630 ) ( 2684790 23630 )
NEW met2 ( 1849890 865949 ) ( 1849890 872849 )
NEW met2 ( 1855870 23630 ) ( 1855870 865949 )
NEW met2 ( 2684790 3449 ) ( 2684790 23630 )
+ USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] )
+ ROUTED met1 ( 1869670 23290 ) ( 2698130 23290 )
NEW met2 ( 1864150 865949 ) ( 1864150 872849 )
NEW met2 ( 1869670 23290 ) ( 1869670 865949 )
NEW met2 ( 2698130 3449 ) ( 2698130 23290 )
+ USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] )
+ ROUTED met1 ( 1876110 27370 ) ( 2718830 27370 )
NEW met2 ( 1871050 865949 ) ( 1871050 872849 )
NEW met2 ( 1876110 27370 ) ( 1876110 865949 )
NEW met2 ( 2718830 3449 ) ( 2718830 27370 )
+ USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] )
+ ROUTED met1 ( 1883010 27030 ) ( 2733090 27030 )
NEW met2 ( 1877030 865949 ) ( 1877030 872849 )
NEW met2 ( 1883010 27030 ) ( 1883010 865949 )
NEW met2 ( 2733090 3449 ) ( 2733090 27030 )
+ USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] )
+ ROUTED met1 ( 1889910 26690 ) ( 2753330 26690 )
NEW met2 ( 1883930 865949 ) ( 1883930 872849 )
NEW met2 ( 1889910 26690 ) ( 1889910 865949 )
NEW met2 ( 2753330 3449 ) ( 2753330 26690 )
+ USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] )
+ ROUTED met1 ( 834670 51510 ) ( 1105150 51510 )
NEW met2 ( 828230 3449 ) ( 828230 17249 )
NEW met2 ( 834670 17249 ) ( 834670 51510 )
NEW met2 ( 1105150 51510 ) ( 1105150 872849 )
+ USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] )
+ ROUTED met1 ( 1896810 26350 ) ( 2774490 26350 )
NEW met2 ( 1891290 865949 ) ( 1891290 872849 )
NEW met2 ( 1896810 26350 ) ( 1896810 865949 )
NEW met2 ( 2774490 3449 ) ( 2774490 26350 )
+ USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] )
+ ROUTED met1 ( 1903710 26010 ) ( 2787830 26010 )
NEW met2 ( 1898650 865949 ) ( 1898650 872849 )
NEW met2 ( 1903710 26010 ) ( 1903710 865949 )
NEW met2 ( 2787830 3449 ) ( 2787830 26010 )
+ USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] )
+ ROUTED met1 ( 1910610 25670 ) ( 2808530 25670 )
NEW met2 ( 1905550 865949 ) ( 1905550 872849 )
NEW met2 ( 1910610 25670 ) ( 1910610 865949 )
NEW met2 ( 2808530 3449 ) ( 2808530 25670 )
+ USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] )
+ ROUTED met1 ( 1917970 25330 ) ( 2822330 25330 )
NEW met2 ( 1911530 865949 ) ( 1911530 872849 )
NEW met2 ( 1917970 25330 ) ( 1917970 865949 )
NEW met2 ( 2822330 3449 ) ( 2822330 25330 )
+ USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] )
+ ROUTED met1 ( 1924410 24990 ) ( 2843030 24990 )
NEW met2 ( 1918890 865949 ) ( 1918890 872849 )
NEW met2 ( 1924410 24990 ) ( 1924410 865949 )
NEW met2 ( 2843030 3449 ) ( 2843030 24990 )
+ USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] )
+ ROUTED met1 ( 1931310 24650 ) ( 2856830 24650 )
NEW met2 ( 1925790 865949 ) ( 1925790 872849 )
NEW met2 ( 1931310 24650 ) ( 1931310 865949 )
NEW met2 ( 2856830 3449 ) ( 2856830 24650 )
+ USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] )
+ ROUTED met1 ( 1938210 24310 ) ( 2877530 24310 )
NEW met2 ( 1932690 865949 ) ( 1932690 872849 )
NEW met2 ( 1938210 24310 ) ( 1938210 865949 )
NEW met2 ( 2877530 3449 ) ( 2877530 24310 )
+ USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] )
+ ROUTED met1 ( 1945110 23970 ) ( 2898230 23970 )
NEW met2 ( 1939590 865949 ) ( 1939590 872849 )
NEW met2 ( 1945110 23970 ) ( 1945110 865949 )
NEW met2 ( 2898230 3449 ) ( 2898230 23970 )
+ USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] )
+ ROUTED met1 ( 848470 58650 ) ( 1112050 58650 )
NEW met2 ( 842030 3449 ) ( 842030 17249 )
NEW met2 ( 848470 17249 ) ( 848470 58650 )
NEW met2 ( 1112050 58650 ) ( 1112050 872849 )
+ USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] )
+ ROUTED met1 ( 869170 92990 ) ( 1118950 92990 )
NEW met2 ( 862730 3449 ) ( 862730 17249 )
NEW met2 ( 869170 17249 ) ( 869170 92990 )
NEW met2 ( 1118950 92990 ) ( 1118950 872849 )
+ USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] )
+ ROUTED met1 ( 882970 65450 ) ( 1125850 65450 )
NEW met2 ( 876990 3449 ) ( 876990 17249 )
NEW met2 ( 882970 17249 ) ( 882970 65450 )
NEW met2 ( 1125850 65450 ) ( 1125850 872849 )
+ USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] )
+ ROUTED met1 ( 903670 99790 ) ( 1132750 99790 )
NEW met2 ( 897230 3449 ) ( 897230 17249 )
NEW met2 ( 903670 17249 ) ( 903670 99790 )
NEW met2 ( 1132750 99790 ) ( 1132750 872849 )
+ USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] )
+ ROUTED met1 ( 924370 72250 ) ( 1139190 72250 )
NEW met2 ( 917930 3449 ) ( 917930 17249 )
NEW met2 ( 924370 17249 ) ( 924370 72250 )
NEW met2 ( 1139190 72250 ) ( 1139190 872849 )
+ USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] )
+ ROUTED met1 ( 938170 79390 ) ( 1146090 79390 )
NEW met2 ( 931730 3449 ) ( 931730 17249 )
NEW met2 ( 938170 17249 ) ( 938170 79390 )
NEW met2 ( 1146090 79390 ) ( 1146090 872849 )
+ USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] )
+ ROUTED met1 ( 958870 27030 ) ( 1152990 27030 )
NEW met2 ( 952430 3449 ) ( 952430 17249 )
NEW met2 ( 958870 17249 ) ( 958870 27030 )
NEW met2 ( 1152990 27030 ) ( 1152990 872849 )
+ USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] )
+ ROUTED met1 ( 972670 26690 ) ( 1166790 26690 )
NEW met2 ( 966230 3449 ) ( 966230 17249 )
NEW met2 ( 972670 17249 ) ( 972670 26690 )
NEW met2 ( 1166790 26690 ) ( 1166790 872849 )
+ USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] )
+ ROUTED met1 ( 655270 852210 ) ( 1035230 852210 )
NEW met2 ( 648830 3449 ) ( 648830 17249 )
NEW met2 ( 655270 17249 ) ( 655270 852210 )
NEW met2 ( 1035230 852210 ) ( 1035230 872849 )
+ USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] )
+ ROUTED met1 ( 993370 27370 ) ( 1173690 27370 )
NEW met2 ( 986930 3449 ) ( 986930 17249 )
NEW met2 ( 993370 17249 ) ( 993370 27370 )
NEW met2 ( 1173690 27370 ) ( 1173690 872849 )
+ USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] )
+ ROUTED met1 ( 1013610 37910 ) ( 1180590 37910 )
NEW met2 ( 1007630 3449 ) ( 1007630 17249 )
NEW met2 ( 1013610 17249 ) ( 1013610 37910 )
NEW met2 ( 1180590 37910 ) ( 1180590 872849 )
+ USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] )
+ ROUTED met1 ( 1021890 17850 ) ( 1187950 17850 )
NEW met2 ( 1021890 3449 ) ( 1021890 17850 )
NEW met2 ( 1187950 17850 ) ( 1187950 872849 )
+ USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] )
+ ROUTED met1 ( 1042130 18530 ) ( 1194390 18530 )
NEW met2 ( 1042130 3449 ) ( 1042130 18530 )
NEW met2 ( 1194390 18530 ) ( 1194390 872849 )
+ USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] )
+ ROUTED met1 ( 1055930 18870 ) ( 1201290 18870 )
NEW met2 ( 1055930 3449 ) ( 1055930 18870 )
NEW met2 ( 1201290 18870 ) ( 1201290 872849 )
+ USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] )
+ ROUTED met1 ( 1083070 868870 ) ( 1207730 868870 )
NEW met2 ( 1076630 3449 ) ( 1076630 17249 )
NEW met2 ( 1083070 17249 ) ( 1083070 868870 )
NEW met2 ( 1207730 868870 ) ( 1207730 872849 )
+ USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] )
+ ROUTED met1 ( 1096870 869210 ) ( 1214630 869210 )
NEW met2 ( 1090430 3449 ) ( 1090430 17249 )
NEW met2 ( 1096870 17249 ) ( 1096870 869210 )
NEW met2 ( 1214630 869210 ) ( 1214630 872849 )
+ USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] )
+ ROUTED met1 ( 1117570 865130 ) ( 1221530 865130 )
NEW met2 ( 1111130 3449 ) ( 1111130 17249 )
NEW met2 ( 1117570 17249 ) ( 1117570 865130 )
NEW met2 ( 1221530 865130 ) ( 1221530 872849 )
+ USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] )
+ ROUTED met1 ( 1138270 864790 ) ( 1228430 864790 )
NEW met2 ( 1131830 3449 ) ( 1131830 17249 )
NEW met2 ( 1138270 17249 ) ( 1138270 864790 )
NEW met2 ( 1228430 864790 ) ( 1228430 872849 )
+ USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] )
+ ROUTED met1 ( 1145630 16150 ) ( 1155750 16150 )
NEW met1 ( 1155750 864450 ) ( 1236250 864450 )
NEW met2 ( 1145630 3449 ) ( 1145630 16150 )
NEW met2 ( 1155750 16150 ) ( 1155750 864450 )
NEW met2 ( 1236250 864450 ) ( 1236250 872849 )
+ USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] )
+ ROUTED met1 ( 669070 831810 ) ( 1042590 831810 )
NEW met2 ( 662630 3449 ) ( 662630 17249 )
NEW met2 ( 669070 17249 ) ( 669070 831810 )
NEW met2 ( 1042590 831810 ) ( 1042590 872849 )
+ USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] )
+ ROUTED met1 ( 1172770 865810 ) ( 1243150 865810 )
NEW met2 ( 1166330 3449 ) ( 1166330 17249 )
NEW met2 ( 1172770 17249 ) ( 1172770 865810 )
NEW met2 ( 1243150 865810 ) ( 1243150 872849 )
+ USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] )
+ ROUTED met1 ( 1186570 866150 ) ( 1250050 866150 )
NEW met2 ( 1180590 3449 ) ( 1180590 17249 )
NEW met2 ( 1186570 17249 ) ( 1186570 866150 )
NEW met2 ( 1250050 866150 ) ( 1250050 872849 )
+ USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] )
+ ROUTED met1 ( 1207270 867510 ) ( 1256490 867510 )
NEW met2 ( 1200830 3449 ) ( 1200830 17249 )
NEW met2 ( 1207270 17249 ) ( 1207270 867510 )
NEW met2 ( 1256490 867510 ) ( 1256490 872849 )
+ USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] )
+ ROUTED met1 ( 1227970 868530 ) ( 1263850 868530 )
NEW met2 ( 1221530 3449 ) ( 1221530 17249 )
NEW met2 ( 1227970 17249 ) ( 1227970 868530 )
NEW met2 ( 1263850 868530 ) ( 1263850 872849 )
+ USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] )
+ ROUTED met1 ( 1241770 867170 ) ( 1270290 867170 )
NEW met2 ( 1235330 3449 ) ( 1235330 17249 )
NEW met2 ( 1241770 17249 ) ( 1241770 867170 )
NEW met2 ( 1270290 867170 ) ( 1270290 872849 )
+ USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] )
+ ROUTED met1 ( 1256490 17850 ) ( 1273050 17850 )
NEW met1 ( 1273050 867170 ) ( 1276730 867170 )
NEW met2 ( 1256490 3449 ) ( 1256490 17510 )
NEW met2 ( 1273050 17510 ) ( 1273050 867170 )
NEW met2 ( 1276730 867170 ) ( 1276730 872849 )
+ USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] )
+ ROUTED met1 ( 1276270 866830 ) ( 1283630 866830 )
NEW met2 ( 1269830 3449 ) ( 1269830 17249 )
NEW met2 ( 1276270 17249 ) ( 1276270 866830 )
NEW met2 ( 1283630 866830 ) ( 1283630 872849 )
+ USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] )
+ ROUTED met2 ( 1290530 3449 ) ( 1290530 17249 )
NEW met2 ( 1290990 17249 ) ( 1290990 872849 )
+ USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] )
+ ROUTED met1 ( 1303870 17170 ) ( 1311230 17170 )
NEW met2 ( 1297430 865949 ) ( 1297430 872849 )
NEW met2 ( 1303870 17170 ) ( 1303870 865949 )
NEW met2 ( 1311230 3449 ) ( 1311230 17170 )
+ USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] )
+ ROUTED met1 ( 1305250 867170 ) ( 1326410 867170 )
NEW met2 ( 1305250 866150 ) ( 1305250 872849 )
NEW met2 ( 1326410 3449 ) ( 1326410 866150 )
+ USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] )
+ ROUTED met1 ( 689770 845410 ) ( 1049030 845410 )
NEW met2 ( 683330 3449 ) ( 683330 17249 )
NEW met2 ( 689770 17249 ) ( 689770 845410 )
NEW met2 ( 1049030 845410 ) ( 1049030 872849 )
+ USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] )
+ ROUTED met1 ( 1317670 19210 ) ( 1345730 19210 )
NEW met2 ( 1311230 865949 ) ( 1311230 872849 )
NEW met2 ( 1317670 19210 ) ( 1317670 865949 )
NEW met2 ( 1345730 3449 ) ( 1345730 19210 )
+ USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] )
+ ROUTED met1 ( 1324570 18530 ) ( 1359530 18530 )
NEW met2 ( 1319050 865949 ) ( 1319050 872849 )
NEW met2 ( 1324570 18530 ) ( 1324570 865949 )
NEW met2 ( 1359530 3449 ) ( 1359530 18530 )
+ USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] )
+ ROUTED met1 ( 1331470 17850 ) ( 1380230 17850 )
NEW met2 ( 1325030 865949 ) ( 1325030 872849 )
NEW met2 ( 1331470 17850 ) ( 1331470 865949 )
NEW met2 ( 1380230 3449 ) ( 1380230 17850 )
+ USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] )
+ ROUTED met1 ( 1344810 16150 ) ( 1394030 16150 )
NEW met2 ( 1339290 865949 ) ( 1339290 872849 )
NEW met2 ( 1344810 16150 ) ( 1344810 865949 )
NEW met2 ( 1394030 3449 ) ( 1394030 16150 )
+ USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] )
+ ROUTED met1 ( 1351250 23970 ) ( 1414730 23970 )
NEW met2 ( 1346190 865949 ) ( 1346190 872849 )
NEW met2 ( 1351250 23970 ) ( 1351250 865949 )
NEW met2 ( 1414730 3449 ) ( 1414730 23970 )
+ USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] )
+ ROUTED met1 ( 1358150 38250 ) ( 1435890 38250 )
NEW met2 ( 1352630 865949 ) ( 1352630 872849 )
NEW met2 ( 1358150 38250 ) ( 1358150 865949 )
NEW met2 ( 1435890 3449 ) ( 1435890 38250 )
+ USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] )
+ ROUTED met1 ( 1365050 30770 ) ( 1449230 30770 )
NEW met2 ( 1359990 865949 ) ( 1359990 872849 )
NEW met2 ( 1365050 30770 ) ( 1365050 865949 )
NEW met2 ( 1449230 3449 ) ( 1449230 30770 )
+ USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] )
+ ROUTED met1 ( 1438650 31450 ) ( 1469930 31450 )
NEW met1 ( 1366890 866490 ) ( 1438650 866490 )
NEW met2 ( 1366890 866490 ) ( 1366890 872849 )
NEW met2 ( 1438650 31450 ) ( 1438650 866490 )
NEW met2 ( 1469930 3449 ) ( 1469930 31450 )
+ USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] )
+ ROUTED met1 ( 1379310 44710 ) ( 1484190 44710 )
NEW met2 ( 1373790 865949 ) ( 1373790 872849 )
NEW met2 ( 1379310 44710 ) ( 1379310 865949 )
NEW met2 ( 1484190 3449 ) ( 1484190 44710 )
+ USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] )
+ ROUTED met1 ( 1385750 79390 ) ( 1504430 79390 )
NEW met2 ( 1380230 865949 ) ( 1380230 872849 )
NEW met2 ( 1385750 79390 ) ( 1385750 865949 )
NEW met2 ( 1504430 3449 ) ( 1504430 79390 )
+ USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] )
+ ROUTED met1 ( 710470 838610 ) ( 1055930 838610 )
NEW met2 ( 704030 3449 ) ( 704030 17249 )
NEW met2 ( 710470 17249 ) ( 710470 838610 )
NEW met2 ( 1055930 838610 ) ( 1055930 872849 )
+ USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] )
+ ROUTED met1 ( 1393570 51850 ) ( 1525590 51850 )
NEW met2 ( 1387130 865949 ) ( 1387130 872849 )
NEW met2 ( 1393570 51850 ) ( 1393570 865949 )
NEW met2 ( 1525590 3449 ) ( 1525590 51850 )
+ USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] )
+ ROUTED met1 ( 1400010 58990 ) ( 1538930 58990 )
NEW met2 ( 1394030 865949 ) ( 1394030 872849 )
NEW met2 ( 1400010 58990 ) ( 1400010 865949 )
NEW met2 ( 1538930 3449 ) ( 1538930 58990 )
+ USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] )
+ ROUTED met1 ( 1406910 86190 ) ( 1559630 86190 )
NEW met2 ( 1400930 865949 ) ( 1400930 872849 )
NEW met2 ( 1406910 86190 ) ( 1406910 865949 )
NEW met2 ( 1559630 3449 ) ( 1559630 86190 )
+ USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] )
+ ROUTED met1 ( 1413350 65450 ) ( 1573430 65450 )
NEW met2 ( 1407830 865949 ) ( 1407830 872849 )
NEW met2 ( 1413350 65450 ) ( 1413350 865949 )
NEW met2 ( 1573430 3449 ) ( 1573430 65450 )
+ USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] )
+ ROUTED met1 ( 1452450 30770 ) ( 1594130 30770 )
NEW met1 ( 1415190 866830 ) ( 1452450 866830 )
NEW met2 ( 1415190 866830 ) ( 1415190 872849 )
NEW met2 ( 1452450 30770 ) ( 1452450 866830 )
NEW met2 ( 1594130 3449 ) ( 1594130 30770 )
+ USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] )
+ ROUTED met1 ( 1427150 72250 ) ( 1608390 72250 )
NEW met2 ( 1421630 865949 ) ( 1421630 872849 )
NEW met2 ( 1427150 72250 ) ( 1427150 865949 )
NEW met2 ( 1608390 3449 ) ( 1608390 72250 )
+ USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] )
+ ROUTED met1 ( 1434050 92990 ) ( 1628630 92990 )
NEW met2 ( 1428530 865949 ) ( 1428530 872849 )
NEW met2 ( 1434050 92990 ) ( 1434050 865949 )
NEW met2 ( 1628630 3449 ) ( 1628630 92990 )
+ USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] )
+ ROUTED met1 ( 1441410 51510 ) ( 1649330 51510 )
NEW met2 ( 1435430 865949 ) ( 1435430 872849 )
NEW met2 ( 1441410 51510 ) ( 1441410 865949 )
NEW met2 ( 1649330 3449 ) ( 1649330 51510 )
+ USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] )
+ ROUTED met1 ( 1447850 58650 ) ( 1663130 58650 )
NEW met2 ( 1442790 865949 ) ( 1442790 872849 )
NEW met2 ( 1447850 58650 ) ( 1447850 865949 )
NEW met2 ( 1663130 3449 ) ( 1663130 58650 )
+ USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] )
+ ROUTED met1 ( 1455210 99790 ) ( 1683830 99790 )
NEW met2 ( 1449690 865949 ) ( 1449690 872849 )
NEW met2 ( 1455210 99790 ) ( 1455210 865949 )
NEW met2 ( 1683830 3449 ) ( 1683830 99790 )
+ USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] )
+ ROUTED met1 ( 724270 824330 ) ( 1063750 824330 )
NEW met2 ( 717830 3449 ) ( 717830 17249 )
NEW met2 ( 724270 17249 ) ( 724270 824330 )
NEW met2 ( 1063750 824330 ) ( 1063750 872849 )
+ USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] )
+ ROUTED met1 ( 1461650 106930 ) ( 1698090 106930 )
NEW met2 ( 1456130 865949 ) ( 1456130 872849 )
NEW met2 ( 1461650 106930 ) ( 1461650 865949 )
NEW met2 ( 1698090 3449 ) ( 1698090 106930 )
+ USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] )
+ ROUTED met1 ( 1468550 22610 ) ( 1718330 22610 )
NEW met2 ( 1463490 865949 ) ( 1463490 872849 )
NEW met2 ( 1468550 22610 ) ( 1468550 865949 )
NEW met2 ( 1718330 3449 ) ( 1718330 22610 )
+ USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] )
+ ROUTED met1 ( 1475910 23290 ) ( 1739490 23290 )
NEW met2 ( 1469930 865949 ) ( 1469930 872849 )
NEW met2 ( 1475910 23290 ) ( 1475910 865949 )
NEW met2 ( 1739490 3449 ) ( 1739490 23290 )
+ USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] )
+ ROUTED met1 ( 1482350 27370 ) ( 1752830 27370 )
NEW met2 ( 1477290 865949 ) ( 1477290 872849 )
NEW met2 ( 1482350 27370 ) ( 1482350 865949 )
NEW met2 ( 1752830 3449 ) ( 1752830 27370 )
+ USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] )
+ ROUTED met1 ( 1489250 27030 ) ( 1773530 27030 )
NEW met2 ( 1483730 865949 ) ( 1483730 872849 )
NEW met2 ( 1489250 27030 ) ( 1489250 865949 )
NEW met2 ( 1773530 3449 ) ( 1773530 27030 )
+ USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] )
+ ROUTED met1 ( 1496610 26690 ) ( 1787330 26690 )
NEW met2 ( 1490630 865949 ) ( 1490630 872849 )
NEW met2 ( 1496610 26690 ) ( 1496610 865949 )
NEW met2 ( 1787330 3449 ) ( 1787330 26690 )
+ USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] )
+ ROUTED met1 ( 1503510 26350 ) ( 1808030 26350 )
NEW met2 ( 1497990 865949 ) ( 1497990 872849 )
NEW met2 ( 1503510 26350 ) ( 1503510 865949 )
NEW met2 ( 1808030 3449 ) ( 1808030 26350 )
+ USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] )
+ ROUTED met1 ( 1517310 26010 ) ( 1829190 26010 )
NEW met2 ( 1512250 865949 ) ( 1512250 872849 )
NEW met2 ( 1517310 26010 ) ( 1517310 865949 )
NEW met2 ( 1829190 3449 ) ( 1829190 26010 )
+ USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] )
+ ROUTED met1 ( 1524210 25670 ) ( 1842530 25670 )
NEW met2 ( 1519150 865949 ) ( 1519150 872849 )
NEW met2 ( 1524210 25670 ) ( 1524210 865949 )
NEW met2 ( 1842530 3449 ) ( 1842530 25670 )
+ USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] )
+ ROUTED met1 ( 1531110 25330 ) ( 1863230 25330 )
NEW met2 ( 1526050 865949 ) ( 1526050 872849 )
NEW met2 ( 1531110 25330 ) ( 1531110 865949 )
NEW met2 ( 1863230 3449 ) ( 1863230 25330 )
+ USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] )
+ ROUTED met1 ( 744970 817870 ) ( 1070650 817870 )
NEW met2 ( 738530 3449 ) ( 738530 17249 )
NEW met2 ( 744970 17249 ) ( 744970 817870 )
NEW met2 ( 1070650 817870 ) ( 1070650 872849 )
+ USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] )
+ ROUTED met1 ( 1538470 24990 ) ( 1877030 24990 )
NEW met2 ( 1532950 865949 ) ( 1532950 872849 )
NEW met2 ( 1538470 24990 ) ( 1538470 865949 )
NEW met2 ( 1877030 3449 ) ( 1877030 24990 )
+ USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] )
+ ROUTED met1 ( 1544910 24650 ) ( 1897730 24650 )
NEW met2 ( 1538930 865949 ) ( 1538930 872849 )
NEW met2 ( 1544910 24650 ) ( 1544910 865949 )
NEW met2 ( 1897730 3449 ) ( 1897730 24650 )
+ USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] )
+ ROUTED met1 ( 1552270 24310 ) ( 1911990 24310 )
NEW met2 ( 1545830 865949 ) ( 1545830 872849 )
NEW met2 ( 1552270 24310 ) ( 1552270 865949 )
NEW met2 ( 1911990 3449 ) ( 1911990 24310 )
+ USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] )
+ ROUTED met1 ( 1558710 23970 ) ( 1932230 23970 )
NEW met2 ( 1553190 865949 ) ( 1553190 872849 )
NEW met2 ( 1558710 23970 ) ( 1558710 865949 )
NEW met2 ( 1932230 3449 ) ( 1932230 23970 )
+ USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] )
+ ROUTED met1 ( 1565610 851870 ) ( 1952930 851870 )
NEW met2 ( 1559630 865949 ) ( 1559630 872849 )
NEW met2 ( 1565610 851870 ) ( 1565610 865949 )
NEW met2 ( 1952930 3449 ) ( 1952930 851870 )
+ USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] )
+ ROUTED met1 ( 1572050 783530 ) ( 1966730 783530 )
NEW met2 ( 1566990 865949 ) ( 1566990 872849 )
NEW met2 ( 1572050 783530 ) ( 1572050 865949 )
NEW met2 ( 1966730 3449 ) ( 1966730 783530 )
+ USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] )
+ ROUTED met1 ( 1579410 776390 ) ( 1987430 776390 )
NEW met2 ( 1573890 865949 ) ( 1573890 872849 )
NEW met2 ( 1579410 776390 ) ( 1579410 865949 )
NEW met2 ( 1987430 3449 ) ( 1987430 776390 )
+ USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] )
+ ROUTED met1 ( 1585850 769590 ) ( 2001690 769590 )
NEW met2 ( 1580790 865949 ) ( 1580790 872849 )
NEW met2 ( 1585850 769590 ) ( 1585850 865949 )
NEW met2 ( 2001690 3449 ) ( 2001690 769590 )
+ USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] )
+ ROUTED met1 ( 1593210 762790 ) ( 2021930 762790 )
NEW met2 ( 1588150 865949 ) ( 1588150 872849 )
NEW met2 ( 1593210 762790 ) ( 1593210 865949 )
NEW met2 ( 2021930 3449 ) ( 2021930 762790 )
+ USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] )
+ ROUTED met1 ( 1599650 755650 ) ( 2042630 755650 )
NEW met2 ( 1595050 865949 ) ( 1595050 872849 )
NEW met2 ( 1599650 755650 ) ( 1599650 865949 )
NEW met2 ( 2042630 3449 ) ( 2042630 755650 )
+ USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] )
+ ROUTED met1 ( 758770 811070 ) ( 1077090 811070 )
NEW met2 ( 752330 3449 ) ( 752330 17249 )
NEW met2 ( 758770 17249 ) ( 758770 811070 )
NEW met2 ( 1077090 811070 ) ( 1077090 872849 )
+ USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] )
+ ROUTED met1 ( 1607010 748850 ) ( 2056430 748850 )
NEW met2 ( 1601030 865949 ) ( 1601030 872849 )
NEW met2 ( 1607010 748850 ) ( 1607010 865949 )
NEW met2 ( 2056430 3449 ) ( 2056430 748850 )
+ USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] )
+ ROUTED met1 ( 1613450 742050 ) ( 2077130 742050 )
NEW met2 ( 1608850 865949 ) ( 1608850 872849 )
NEW met2 ( 1613450 742050 ) ( 1613450 865949 )
NEW met2 ( 2077130 3449 ) ( 2077130 742050 )
+ USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] )
+ ROUTED met1 ( 1620810 734910 ) ( 2090930 734910 )
NEW met2 ( 1615750 865949 ) ( 1615750 872849 )
NEW met2 ( 1620810 734910 ) ( 1620810 865949 )
NEW met2 ( 2090930 3449 ) ( 2090930 734910 )
+ USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] )
+ ROUTED met1 ( 1627710 28050 ) ( 2111630 28050 )
NEW met2 ( 1622190 865949 ) ( 1622190 872849 )
NEW met2 ( 1627710 28050 ) ( 1627710 865949 )
NEW met2 ( 2111630 3449 ) ( 2111630 28050 )
+ USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] )
+ ROUTED met1 ( 1635070 28390 ) ( 2125890 28390 )
NEW met2 ( 1629550 865949 ) ( 1629550 872849 )
NEW met2 ( 1635070 28390 ) ( 1635070 865949 )
NEW met2 ( 2125890 3449 ) ( 2125890 28390 )
+ USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] )
+ ROUTED met1 ( 1641510 28730 ) ( 2146130 28730 )
NEW met2 ( 1636450 865949 ) ( 1636450 872849 )
NEW met2 ( 1641510 28730 ) ( 1641510 865949 )
NEW met2 ( 2146130 3449 ) ( 2146130 28730 )
+ USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] )
+ ROUTED met1 ( 1648870 29070 ) ( 2167290 29070 )
NEW met2 ( 1642430 865949 ) ( 1642430 872849 )
NEW met2 ( 1648870 29070 ) ( 1648870 865949 )
NEW met2 ( 2167290 3449 ) ( 2167290 29070 )
+ USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] )
+ ROUTED met1 ( 1655310 29410 ) ( 2180630 29410 )
NEW met2 ( 1649790 865949 ) ( 1649790 872849 )
NEW met2 ( 1655310 29410 ) ( 1655310 865949 )
NEW met2 ( 2180630 3449 ) ( 2180630 29410 )
+ USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] )
+ ROUTED met1 ( 1662670 29750 ) ( 2201330 29750 )
NEW met2 ( 1657150 865949 ) ( 1657150 872849 )
NEW met2 ( 1662670 29750 ) ( 1662670 865949 )
NEW met2 ( 2201330 3449 ) ( 2201330 29750 )
+ USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] )
+ ROUTED met1 ( 1669110 30090 ) ( 2215590 30090 )
NEW met2 ( 1663590 865949 ) ( 1663590 872849 )
NEW met2 ( 1669110 30090 ) ( 1669110 865949 )
NEW met2 ( 2215590 3449 ) ( 2215590 30090 )
+ USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] )
+ ROUTED met1 ( 779470 23970 ) ( 1084450 23970 )
NEW met2 ( 773030 3449 ) ( 773030 17249 )
NEW met2 ( 779470 17249 ) ( 779470 23970 )
NEW met2 ( 1084450 23970 ) ( 1084450 872849 )
+ USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] )
+ ROUTED met1 ( 1676470 30430 ) ( 2235830 30430 )
NEW met2 ( 1670030 865949 ) ( 1670030 872849 )
NEW met2 ( 1676470 30430 ) ( 1676470 865949 )
NEW met2 ( 2235830 3449 ) ( 2235830 30430 )
+ USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] )
+ ROUTED met1 ( 1690270 34170 ) ( 2256990 34170 )
NEW met2 ( 1683830 865949 ) ( 1683830 872849 )
NEW met2 ( 1690270 34170 ) ( 1690270 865949 )
NEW met2 ( 2256990 3449 ) ( 2256990 34170 )
+ USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] )
+ ROUTED met1 ( 1696710 33830 ) ( 2270330 33830 )
NEW met2 ( 1690730 865949 ) ( 1690730 872849 )
NEW met2 ( 1696710 33830 ) ( 1696710 865949 )
NEW met2 ( 2270330 3449 ) ( 2270330 33830 )
+ USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] )
+ ROUTED met1 ( 1704070 33490 ) ( 2291030 33490 )
NEW met2 ( 1697630 865949 ) ( 1697630 872849 )
NEW met2 ( 1704070 33490 ) ( 1704070 865949 )
NEW met2 ( 2291030 3449 ) ( 2291030 33490 )
+ USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] )
+ ROUTED met1 ( 1710510 33150 ) ( 2304830 33150 )
NEW met2 ( 1704530 865949 ) ( 1704530 872849 )
NEW met2 ( 1710510 33150 ) ( 1710510 865949 )
NEW met2 ( 2304830 3449 ) ( 2304830 33150 )
+ USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] )
+ ROUTED met1 ( 1717870 32810 ) ( 2325530 32810 )
NEW met2 ( 1711430 865949 ) ( 1711430 872849 )
NEW met2 ( 1717870 32810 ) ( 1717870 865949 )
NEW met2 ( 2325530 3449 ) ( 2325530 32810 )
+ USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] )
+ ROUTED met1 ( 1724310 32470 ) ( 2339790 32470 )
NEW met2 ( 1719250 865949 ) ( 1719250 872849 )
NEW met2 ( 1724310 32470 ) ( 1724310 865949 )
NEW met2 ( 2339790 3449 ) ( 2339790 32470 )
+ USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] )
+ ROUTED met1 ( 1731670 32130 ) ( 2360030 32130 )
NEW met2 ( 1726150 865949 ) ( 1726150 872849 )
NEW met2 ( 1731670 32130 ) ( 1731670 865949 )
NEW met2 ( 2360030 3449 ) ( 2360030 32130 )
+ USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] )
+ ROUTED met1 ( 1738110 31790 ) ( 2380730 31790 )
NEW met2 ( 1733050 865949 ) ( 1733050 872849 )
NEW met2 ( 1738110 31790 ) ( 1738110 865949 )
NEW met2 ( 2380730 3449 ) ( 2380730 31790 )
+ USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] )
+ ROUTED met1 ( 1745470 31450 ) ( 2394530 31450 )
NEW met2 ( 1739030 865949 ) ( 1739030 872849 )
NEW met2 ( 1745470 31450 ) ( 1745470 865949 )
NEW met2 ( 2394530 3449 ) ( 2394530 31450 )
+ USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] )
+ ROUTED met1 ( 800170 24310 ) ( 1090430 24310 )
NEW met2 ( 794190 3449 ) ( 794190 17249 )
NEW met2 ( 800170 17249 ) ( 800170 24310 )
NEW met2 ( 1090430 24310 ) ( 1090430 872849 )
+ USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] )
+ ROUTED met1 ( 641470 796790 ) ( 1028790 796790 )
NEW met2 ( 635030 3449 ) ( 635030 17249 )
NEW met2 ( 641470 17249 ) ( 641470 796790 )
NEW met2 ( 1028790 796790 ) ( 1028790 872849 )
+ USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] )
+ ROUTED met1 ( 1751910 31110 ) ( 2422130 31110 )
NEW met2 ( 1746850 865949 ) ( 1746850 872849 )
NEW met2 ( 1751910 31110 ) ( 1751910 865949 )
NEW met2 ( 2422130 3449 ) ( 2422130 31110 )
+ USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] )
+ ROUTED met1 ( 1759270 30770 ) ( 2435930 30770 )
NEW met2 ( 1753750 865949 ) ( 1753750 872849 )
NEW met2 ( 1759270 30770 ) ( 1759270 865949 )
NEW met2 ( 2435930 3449 ) ( 2435930 30770 )
+ USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] )
+ ROUTED met1 ( 1765710 727770 ) ( 2456630 727770 )
NEW met2 ( 1760650 865949 ) ( 1760650 872849 )
NEW met2 ( 1765710 727770 ) ( 1765710 865949 )
NEW met2 ( 2456630 3449 ) ( 2456630 727770 )
+ USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] )
+ ROUTED met1 ( 1773070 72250 ) ( 2470890 72250 )
NEW met2 ( 1767550 865949 ) ( 1767550 872849 )
NEW met2 ( 1773070 72250 ) ( 1773070 865949 )
NEW met2 ( 2470890 3449 ) ( 2470890 72250 )
+ USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] )
+ ROUTED met1 ( 1779510 707030 ) ( 2491130 707030 )
NEW met2 ( 1773530 865949 ) ( 1773530 872849 )
NEW met2 ( 1779510 707030 ) ( 1779510 865949 )
NEW met2 ( 2491130 3449 ) ( 2491130 707030 )
+ USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] )
+ ROUTED met1 ( 1786410 714170 ) ( 2511830 714170 )
NEW met2 ( 1780430 865949 ) ( 1780430 872849 )
NEW met2 ( 1786410 714170 ) ( 1786410 865949 )
NEW met2 ( 2511830 3449 ) ( 2511830 714170 )
+ USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] )
+ ROUTED met1 ( 1793310 720970 ) ( 2525630 720970 )
NEW met2 ( 1787330 865949 ) ( 1787330 872849 )
NEW met2 ( 1793310 720970 ) ( 1793310 865949 )
NEW met2 ( 2525630 3449 ) ( 2525630 720970 )
+ USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] )
+ ROUTED met1 ( 1807110 693430 ) ( 2546330 693430 )
NEW met2 ( 1801130 865949 ) ( 1801130 872849 )
NEW met2 ( 1807110 693430 ) ( 1807110 865949 )
NEW met2 ( 2546330 3449 ) ( 2546330 693430 )
+ USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] )
+ ROUTED met1 ( 1814010 700230 ) ( 2560590 700230 )
NEW met2 ( 1808950 865949 ) ( 1808950 872849 )
NEW met2 ( 1814010 700230 ) ( 1814010 865949 )
NEW met2 ( 2560590 3449 ) ( 2560590 700230 )
+ USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] )
+ ROUTED met1 ( 1820450 783190 ) ( 2580830 783190 )
NEW met2 ( 1815390 865949 ) ( 1815390 872849 )
NEW met2 ( 1820450 783190 ) ( 1820450 865949 )
NEW met2 ( 2580830 3449 ) ( 2580830 783190 )
+ USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] )
+ ROUTED met1 ( 820870 24650 ) ( 1104230 24650 )
NEW met2 ( 814430 3449 ) ( 814430 17249 )
NEW met2 ( 820870 17249 ) ( 820870 24650 )
NEW met2 ( 1104230 24650 ) ( 1104230 872849 )
+ USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] )
+ ROUTED met1 ( 1827350 776050 ) ( 2601530 776050 )
NEW met2 ( 1822290 865949 ) ( 1822290 872849 )
NEW met2 ( 1827350 776050 ) ( 1827350 865949 )
NEW met2 ( 2601530 3449 ) ( 2601530 776050 )
+ USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] )
+ ROUTED met1 ( 1834710 35870 ) ( 2615330 35870 )
NEW met2 ( 1829190 865949 ) ( 1829190 872849 )
NEW met2 ( 1834710 35870 ) ( 1834710 865949 )
NEW met2 ( 2615330 3449 ) ( 2615330 35870 )
+ USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] )
+ ROUTED met1 ( 1841610 36210 ) ( 2636030 36210 )
NEW met2 ( 1835630 865949 ) ( 1835630 872849 )
NEW met2 ( 1841610 36210 ) ( 1841610 865949 )
NEW met2 ( 2636030 3449 ) ( 2636030 36210 )
+ USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] )
+ ROUTED met1 ( 1848510 36550 ) ( 2649830 36550 )
NEW met2 ( 1842530 865949 ) ( 1842530 872849 )
NEW met2 ( 1848510 36550 ) ( 1848510 865949 )
NEW met2 ( 2649830 3449 ) ( 2649830 36550 )
+ USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] )
+ ROUTED met1 ( 1855410 36890 ) ( 2670530 36890 )
NEW met2 ( 1849430 865949 ) ( 1849430 872849 )
NEW met2 ( 1855410 36890 ) ( 1855410 865949 )
NEW met2 ( 2670530 3449 ) ( 2670530 36890 )
+ USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] )
+ ROUTED met1 ( 1862770 37230 ) ( 2684330 37230 )
NEW met2 ( 1856330 865949 ) ( 1856330 872849 )
NEW met2 ( 1862770 37230 ) ( 1862770 865949 )
NEW met2 ( 2684330 3449 ) ( 2684330 37230 )
+ USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] )
+ ROUTED met1 ( 1869210 37570 ) ( 2705030 37570 )
NEW met2 ( 1863230 865949 ) ( 1863230 872849 )
NEW met2 ( 1869210 37570 ) ( 1869210 865949 )
NEW met2 ( 2705030 3449 ) ( 2705030 37570 )
+ USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] )
+ ROUTED met1 ( 1875650 41310 ) ( 2725730 41310 )
NEW met2 ( 1870590 865949 ) ( 1870590 872849 )
NEW met2 ( 1875650 41310 ) ( 1875650 865949 )
NEW met2 ( 2725730 3449 ) ( 2725730 41310 )
+ USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] )
+ ROUTED met1 ( 1882550 40970 ) ( 2739530 40970 )
NEW met2 ( 1877490 865949 ) ( 1877490 872849 )
NEW met2 ( 1882550 40970 ) ( 1882550 865949 )
NEW met2 ( 2739530 3449 ) ( 2739530 40970 )
+ USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] )
+ ROUTED met1 ( 1889450 40630 ) ( 2760230 40630 )
NEW met2 ( 1884850 865949 ) ( 1884850 872849 )
NEW met2 ( 1889450 40630 ) ( 1889450 865949 )
NEW met2 ( 2760230 3449 ) ( 2760230 40630 )
+ USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] )
+ ROUTED met1 ( 841570 24990 ) ( 1111130 24990 )
NEW met2 ( 835130 3449 ) ( 835130 17249 )
NEW met2 ( 841570 17249 ) ( 841570 24990 )
NEW met2 ( 1111130 24990 ) ( 1111130 872849 )
+ USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] )
+ ROUTED met1 ( 1896350 40290 ) ( 2774030 40290 )
NEW met2 ( 1891750 865949 ) ( 1891750 872849 )
NEW met2 ( 1896350 40290 ) ( 1896350 865949 )
NEW met2 ( 2774030 3449 ) ( 2774030 40290 )
+ USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] )
+ ROUTED met1 ( 1903250 39950 ) ( 2794730 39950 )
NEW met2 ( 1897730 865949 ) ( 1897730 872849 )
NEW met2 ( 1903250 39950 ) ( 1903250 865949 )
NEW met2 ( 2794730 3449 ) ( 2794730 39950 )
+ USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] )
+ ROUTED met1 ( 1910150 39610 ) ( 2815430 39610 )
NEW met2 ( 1904630 865949 ) ( 1904630 872849 )
NEW met2 ( 1910150 39610 ) ( 1910150 865949 )
NEW met2 ( 2815430 3449 ) ( 2815430 39610 )
+ USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] )
+ ROUTED met1 ( 1917510 39270 ) ( 2829230 39270 )
NEW met2 ( 1911990 865949 ) ( 1911990 872849 )
NEW met2 ( 1917510 39270 ) ( 1917510 865949 )
NEW met2 ( 2829230 3449 ) ( 2829230 39270 )
+ USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] )
+ ROUTED met1 ( 1923950 38930 ) ( 2849930 38930 )
NEW met2 ( 1918430 865949 ) ( 1918430 872849 )
NEW met2 ( 1923950 38930 ) ( 1923950 865949 )
NEW met2 ( 2849930 3449 ) ( 2849930 38930 )
+ USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] )
+ ROUTED met1 ( 1930850 38590 ) ( 2863730 38590 )
NEW met2 ( 1925330 865949 ) ( 1925330 872849 )
NEW met2 ( 1930850 38590 ) ( 1930850 865949 )
NEW met2 ( 2863730 3449 ) ( 2863730 38590 )
+ USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] )
+ ROUTED met1 ( 1937750 38250 ) ( 2884430 38250 )
NEW met2 ( 1932230 865949 ) ( 1932230 872849 )
NEW met2 ( 1937750 38250 ) ( 1937750 865949 )
NEW met2 ( 2884430 3449 ) ( 2884430 38250 )
+ USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] )
+ ROUTED met1 ( 1944650 37910 ) ( 2905590 37910 )
NEW met2 ( 1940050 865949 ) ( 1940050 872849 )
NEW met2 ( 1944650 37910 ) ( 1944650 865949 )
NEW met2 ( 2905590 3449 ) ( 2905590 37910 )
+ USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] )
+ ROUTED met1 ( 855370 25330 ) ( 1118030 25330 )
NEW met2 ( 848930 3449 ) ( 848930 17249 )
NEW met2 ( 855370 17249 ) ( 855370 25330 )
NEW met2 ( 1118030 25330 ) ( 1118030 872849 )
+ USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] )
+ ROUTED met1 ( 876070 25670 ) ( 1124930 25670 )
NEW met2 ( 869630 3449 ) ( 869630 17249 )
NEW met2 ( 876070 17249 ) ( 876070 25670 )
NEW met2 ( 1124930 25670 ) ( 1124930 872849 )
+ USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] )
+ ROUTED met1 ( 889870 26010 ) ( 1131830 26010 )
NEW met2 ( 883430 3449 ) ( 883430 17249 )
NEW met2 ( 889870 17249 ) ( 889870 26010 )
NEW met2 ( 1131830 26010 ) ( 1131830 872849 )
+ USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] )
+ ROUTED met1 ( 910570 26350 ) ( 1138730 26350 )
NEW met2 ( 904130 3449 ) ( 904130 17249 )
NEW met2 ( 910570 17249 ) ( 910570 26350 )
NEW met2 ( 1138730 26350 ) ( 1138730 872849 )
+ USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] )
+ ROUTED met1 ( 923910 45050 ) ( 1145630 45050 )
NEW met2 ( 918390 3449 ) ( 918390 17249 )
NEW met2 ( 923910 17249 ) ( 923910 45050 )
NEW met2 ( 1145630 45050 ) ( 1145630 872849 )
+ USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] )
+ ROUTED met1 ( 945070 106930 ) ( 1153450 106930 )
NEW met2 ( 938630 3449 ) ( 938630 17249 )
NEW met2 ( 945070 17249 ) ( 945070 106930 )
NEW met2 ( 1153450 106930 ) ( 1153450 872849 )
+ USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] )
+ ROUTED met1 ( 965770 51850 ) ( 1159890 51850 )
NEW met2 ( 959330 3449 ) ( 959330 17249 )
NEW met2 ( 965770 17249 ) ( 965770 51850 )
NEW met2 ( 1159890 51850 ) ( 1159890 872849 )
+ USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] )
+ ROUTED met1 ( 973590 17510 ) ( 1167250 17510 )
NEW met2 ( 973590 3449 ) ( 973590 17510 )
NEW met2 ( 1167250 17510 ) ( 1167250 872849 )
+ USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] )
+ ROUTED met1 ( 662170 803930 ) ( 1035690 803930 )
NEW met2 ( 655730 3449 ) ( 655730 17249 )
NEW met2 ( 662170 17249 ) ( 662170 803930 )
NEW met2 ( 1035690 803930 ) ( 1035690 872849 )
+ USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] )
+ ROUTED met1 ( 1000270 866490 ) ( 1174150 866490 )
NEW met2 ( 993830 3449 ) ( 993830 17249 )
NEW met2 ( 1000270 17249 ) ( 1000270 866490 )
NEW met2 ( 1174150 866490 ) ( 1174150 872849 )
+ USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] )
+ ROUTED met1 ( 1014070 866830 ) ( 1181050 866830 )
NEW met2 ( 1008090 3449 ) ( 1008090 17249 )
NEW met2 ( 1014070 17249 ) ( 1014070 866830 )
NEW met2 ( 1181050 866830 ) ( 1181050 872849 )
+ USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] )
+ ROUTED met1 ( 1034770 867850 ) ( 1187030 867850 )
NEW met2 ( 1028330 3449 ) ( 1028330 17249 )
NEW met2 ( 1034770 17249 ) ( 1034770 867850 )
NEW met2 ( 1187030 867850 ) ( 1187030 872849 )
+ USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] )
+ ROUTED met1 ( 1049030 19210 ) ( 1194850 19210 )
NEW met2 ( 1049030 3449 ) ( 1049030 19210 )
NEW met2 ( 1194850 19210 ) ( 1194850 872849 )
+ USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] )
+ ROUTED met1 ( 1069270 868530 ) ( 1200830 868530 )
NEW met2 ( 1062830 3449 ) ( 1062830 17249 )
NEW met2 ( 1069270 17249 ) ( 1069270 868530 )
NEW met2 ( 1200830 868530 ) ( 1200830 872849 )
+ USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] )
+ ROUTED met1 ( 1089970 865470 ) ( 1208650 865470 )
NEW met2 ( 1083530 3449 ) ( 1083530 17249 )
NEW met2 ( 1089970 17249 ) ( 1089970 865470 )
NEW met2 ( 1208650 865470 ) ( 1208650 872849 )
+ USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] )
+ ROUTED met1 ( 1097330 19890 ) ( 1215090 19890 )
NEW met2 ( 1097330 3449 ) ( 1097330 19890 )
NEW met2 ( 1215090 19890 ) ( 1215090 872849 )
+ USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] )
+ ROUTED met1 ( 1118030 15810 ) ( 1162650 15810 )
NEW met1 ( 1162650 863770 ) ( 1222450 863770 )
NEW met2 ( 1118030 3449 ) ( 1118030 15810 )
NEW met2 ( 1162650 15810 ) ( 1162650 863770 )
NEW met2 ( 1222450 863770 ) ( 1222450 872849 )
+ USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] )
+ ROUTED met1 ( 1145170 864110 ) ( 1229350 864110 )
NEW met2 ( 1139190 3449 ) ( 1139190 17249 )
NEW met2 ( 1145170 17249 ) ( 1145170 864110 )
NEW met2 ( 1229350 864110 ) ( 1229350 872849 )
+ USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] )
+ ROUTED met1 ( 1152990 17170 ) ( 1176450 17170 )
NEW met1 ( 1176450 867170 ) ( 1235330 867170 )
NEW met2 ( 1152990 3449 ) ( 1152990 17170 )
NEW met2 ( 1176450 17170 ) ( 1176450 867170 )
NEW met2 ( 1235330 867170 ) ( 1235330 872849 )
+ USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] )
+ ROUTED met1 ( 675970 86190 ) ( 1042130 86190 )
NEW met2 ( 669530 3449 ) ( 669530 17249 )
NEW met2 ( 675970 17249 ) ( 675970 86190 )
NEW met2 ( 1042130 86190 ) ( 1042130 872849 )
+ USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] )
+ ROUTED met1 ( 1179670 866490 ) ( 1242230 866490 )
NEW met2 ( 1173230 3449 ) ( 1173230 17249 )
NEW met2 ( 1179670 17249 ) ( 1179670 866490 )
NEW met2 ( 1242230 866490 ) ( 1242230 872849 )
+ USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] )
+ ROUTED met1 ( 1193470 866830 ) ( 1249130 866830 )
NEW met2 ( 1187030 3449 ) ( 1187030 17249 )
NEW met2 ( 1193470 17249 ) ( 1193470 866830 )
NEW met2 ( 1249130 866830 ) ( 1249130 872849 )
+ USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] )
+ ROUTED met1 ( 1214170 868190 ) ( 1256030 868190 )
NEW met2 ( 1207730 3449 ) ( 1207730 17249 )
NEW met2 ( 1214170 17249 ) ( 1214170 868190 )
NEW met2 ( 1256030 868190 ) ( 1256030 872849 )
+ USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] )
+ ROUTED met1 ( 1221990 18190 ) ( 1231650 18190 )
NEW met1 ( 1231650 869210 ) ( 1262930 869210 )
NEW met2 ( 1221990 3449 ) ( 1221990 18190 )
NEW met2 ( 1231650 18190 ) ( 1231650 869210 )
NEW met2 ( 1262930 869210 ) ( 1262930 872849 )
+ USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] )
+ ROUTED met1 ( 1248670 865810 ) ( 1277190 865810 )
NEW met2 ( 1242230 3449 ) ( 1242230 17249 )
NEW met2 ( 1248670 17249 ) ( 1248670 865810 )
NEW met2 ( 1277190 865810 ) ( 1277190 872849 )
+ USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] )
+ ROUTED met1 ( 1269370 866150 ) ( 1284550 866150 )
NEW met2 ( 1263390 3449 ) ( 1263390 17249 )
NEW met2 ( 1269370 17249 ) ( 1269370 866150 )
NEW met2 ( 1284550 866150 ) ( 1284550 872849 )
+ USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] )
+ ROUTED met1 ( 1283170 865810 ) ( 1291450 865810 )
NEW met2 ( 1276730 3449 ) ( 1276730 17249 )
NEW met2 ( 1283170 17249 ) ( 1283170 865810 )
NEW met2 ( 1291450 865810 ) ( 1291450 872849 )
+ USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] )
+ ROUTED met2 ( 1297430 3449 ) ( 1297430 17249 )
NEW met2 ( 1298350 17249 ) ( 1298350 872849 )
+ USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] )
+ ROUTED met1 ( 1310770 17850 ) ( 1311690 17850 )
NEW met2 ( 1304330 865949 ) ( 1304330 872849 )
NEW met2 ( 1310770 17850 ) ( 1310770 865949 )
NEW met2 ( 1311690 3449 ) ( 1311690 17850 )
+ USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] )
+ ROUTED met1 ( 1312150 865810 ) ( 1332850 865810 )
NEW met2 ( 1312150 865810 ) ( 1312150 872849 )
NEW met2 ( 1332850 3449 ) ( 1332850 865810 )
+ USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] )
+ ROUTED met1 ( 696670 783530 ) ( 1049490 783530 )
NEW met2 ( 690230 3449 ) ( 690230 17249 )
NEW met2 ( 696670 17249 ) ( 696670 783530 )
NEW met2 ( 1049490 783530 ) ( 1049490 872849 )
+ USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] )
+ ROUTED met1 ( 1335150 19890 ) ( 1353090 19890 )
NEW met1 ( 1318130 866490 ) ( 1335150 866490 )
NEW met2 ( 1318130 866490 ) ( 1318130 872849 )
NEW met2 ( 1335150 19890 ) ( 1335150 866490 )
NEW met2 ( 1353090 3449 ) ( 1353090 19890 )
+ USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] )
+ ROUTED met1 ( 1331010 18190 ) ( 1366430 18190 )
NEW met2 ( 1325950 865949 ) ( 1325950 872849 )
NEW met2 ( 1331010 18190 ) ( 1331010 865949 )
NEW met2 ( 1366430 3449 ) ( 1366430 18190 )
+ USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] )
+ ROUTED met1 ( 1338370 17510 ) ( 1387130 17510 )
NEW met2 ( 1331930 865949 ) ( 1331930 872849 )
NEW met2 ( 1338370 17510 ) ( 1338370 865949 )
NEW met2 ( 1387130 3449 ) ( 1387130 17510 )
+ USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] )
+ ROUTED met1 ( 1345270 16490 ) ( 1400930 16490 )
NEW met2 ( 1339750 865949 ) ( 1339750 872849 )
NEW met2 ( 1345270 16490 ) ( 1345270 865949 )
NEW met2 ( 1400930 3449 ) ( 1400930 16490 )
+ USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] )
+ ROUTED met1 ( 1351710 20570 ) ( 1421630 20570 )
NEW met2 ( 1345730 865949 ) ( 1345730 872849 )
NEW met2 ( 1351710 20570 ) ( 1351710 865949 )
NEW met2 ( 1421630 3449 ) ( 1421630 20570 )
+ USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] )
+ ROUTED met1 ( 1358610 19890 ) ( 1435430 19890 )
NEW met2 ( 1353090 865949 ) ( 1353090 872849 )
NEW met2 ( 1358610 19890 ) ( 1358610 865949 )
NEW met2 ( 1435430 3449 ) ( 1435430 19890 )
+ USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] )
+ ROUTED met1 ( 1365510 19210 ) ( 1456130 19210 )
NEW met2 ( 1360450 865949 ) ( 1360450 872849 )
NEW met2 ( 1365510 19210 ) ( 1365510 865949 )
NEW met2 ( 1456130 3449 ) ( 1456130 19210 )
+ USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] )
+ ROUTED met1 ( 1372410 37910 ) ( 1476830 37910 )
NEW met2 ( 1366430 865949 ) ( 1366430 872849 )
NEW met2 ( 1372410 37910 ) ( 1372410 865949 )
NEW met2 ( 1476830 3449 ) ( 1476830 37910 )
+ USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] )
+ ROUTED met1 ( 1390350 45050 ) ( 1490630 45050 )
NEW met1 ( 1374250 865810 ) ( 1390350 865810 )
NEW met2 ( 1374250 865810 ) ( 1374250 872849 )
NEW met2 ( 1390350 45050 ) ( 1390350 865810 )
NEW met2 ( 1490630 3449 ) ( 1490630 45050 )
+ USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] )
+ ROUTED met1 ( 1386210 31110 ) ( 1511330 31110 )
NEW met2 ( 1381150 865949 ) ( 1381150 872849 )
NEW met2 ( 1386210 31110 ) ( 1386210 865949 )
NEW met2 ( 1511330 3449 ) ( 1511330 31110 )
+ USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] )
+ ROUTED met1 ( 710010 113730 ) ( 1056390 113730 )
NEW met2 ( 704490 3449 ) ( 704490 17249 )
NEW met2 ( 710010 17249 ) ( 710010 113730 )
NEW met2 ( 1056390 113730 ) ( 1056390 872849 )
+ USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] )
+ ROUTED met1 ( 1393110 65790 ) ( 1525130 65790 )
NEW met2 ( 1387590 865949 ) ( 1387590 872849 )
NEW met2 ( 1393110 65790 ) ( 1393110 865949 )
NEW met2 ( 1525130 3449 ) ( 1525130 65790 )
+ USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] )
+ ROUTED met1 ( 1399550 755310 ) ( 1545830 755310 )
NEW met2 ( 1394950 865949 ) ( 1394950 872849 )
NEW met2 ( 1399550 755310 ) ( 1399550 865949 )
NEW met2 ( 1545830 3449 ) ( 1545830 755310 )
+ USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] )
+ ROUTED met1 ( 1562850 44710 ) ( 1566990 44710 )
NEW met1 ( 1401850 866150 ) ( 1562850 866150 )
NEW met2 ( 1401850 866150 ) ( 1401850 872849 )
NEW met2 ( 1562850 44710 ) ( 1562850 866150 )
NEW met2 ( 1566990 3449 ) ( 1566990 44710 )
+ USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] )
+ ROUTED met1 ( 1413810 14790 ) ( 1580330 14790 )
NEW met2 ( 1408750 865949 ) ( 1408750 872849 )
NEW met2 ( 1413810 14790 ) ( 1413810 865949 )
NEW met2 ( 1580330 3449 ) ( 1580330 14790 )
+ USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] )
+ ROUTED met1 ( 1420710 15130 ) ( 1601030 15130 )
NEW met2 ( 1415650 865949 ) ( 1415650 872849 )
NEW met2 ( 1420710 15130 ) ( 1420710 865949 )
NEW met2 ( 1601030 3449 ) ( 1601030 15130 )
+ USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] )
+ ROUTED met1 ( 1428070 16150 ) ( 1614830 16150 )
NEW met2 ( 1422550 865949 ) ( 1422550 872849 )
NEW met2 ( 1428070 16150 ) ( 1428070 865949 )
NEW met2 ( 1614830 3449 ) ( 1614830 16150 )
+ USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] )
+ ROUTED met1 ( 1434510 16830 ) ( 1635530 16830 )
NEW met2 ( 1429450 865949 ) ( 1429450 872849 )
NEW met2 ( 1434510 16830 ) ( 1434510 865949 )
NEW met2 ( 1635530 3449 ) ( 1635530 16830 )
+ USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] )
+ ROUTED met1 ( 1436350 865810 ) ( 1656690 865810 )
NEW met2 ( 1436350 865810 ) ( 1436350 872849 )
NEW met2 ( 1656690 3449 ) ( 1656690 865810 )
+ USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] )
+ ROUTED met1 ( 1448310 21250 ) ( 1670030 21250 )
NEW met2 ( 1443250 865949 ) ( 1443250 872849 )
NEW met2 ( 1448310 21250 ) ( 1448310 865949 )
NEW met2 ( 1670030 3449 ) ( 1670030 21250 )
+ USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] )
+ ROUTED met1 ( 1462110 21590 ) ( 1690730 21590 )
NEW met2 ( 1457050 865949 ) ( 1457050 872849 )
NEW met2 ( 1462110 21590 ) ( 1462110 865949 )
NEW met2 ( 1690730 3449 ) ( 1690730 21590 )
+ USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] )
+ ROUTED met1 ( 731170 790330 ) ( 1063290 790330 )
NEW met2 ( 724730 3449 ) ( 724730 17249 )
NEW met2 ( 731170 17249 ) ( 731170 790330 )
NEW met2 ( 1063290 790330 ) ( 1063290 872849 )
+ USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] )
+ ROUTED met1 ( 1469010 21930 ) ( 1704530 21930 )
NEW met2 ( 1463950 865949 ) ( 1463950 872849 )
NEW met2 ( 1469010 21930 ) ( 1469010 865949 )
NEW met2 ( 1704530 3449 ) ( 1704530 21930 )
+ USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] )
+ ROUTED met1 ( 1475450 22270 ) ( 1725230 22270 )
NEW met2 ( 1470850 865949 ) ( 1470850 872849 )
NEW met2 ( 1475450 22270 ) ( 1475450 865949 )
NEW met2 ( 1725230 3449 ) ( 1725230 22270 )
+ USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] )
+ ROUTED met1 ( 1482810 22950 ) ( 1739030 22950 )
NEW met2 ( 1477750 865949 ) ( 1477750 872849 )
NEW met2 ( 1482810 22950 ) ( 1482810 865949 )
NEW met2 ( 1739030 3449 ) ( 1739030 22950 )
+ USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] )
+ ROUTED met1 ( 1489710 23630 ) ( 1759730 23630 )
NEW met2 ( 1484650 865949 ) ( 1484650 872849 )
NEW met2 ( 1489710 23630 ) ( 1489710 865949 )
NEW met2 ( 1759730 3449 ) ( 1759730 23630 )
+ USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] )
+ ROUTED met1 ( 1496150 40970 ) ( 1780430 40970 )
NEW met2 ( 1491550 865949 ) ( 1491550 872849 )
NEW met2 ( 1496150 40970 ) ( 1496150 865949 )
NEW met2 ( 1780430 3449 ) ( 1780430 40970 )
+ USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] )
+ ROUTED met1 ( 1503050 40630 ) ( 1794230 40630 )
NEW met2 ( 1498450 865949 ) ( 1498450 872849 )
NEW met2 ( 1503050 40630 ) ( 1503050 865949 )
NEW met2 ( 1794230 3449 ) ( 1794230 40630 )
+ USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] )
+ ROUTED met1 ( 1510410 40290 ) ( 1814930 40290 )
NEW met2 ( 1504890 865949 ) ( 1504890 872849 )
NEW met2 ( 1510410 40290 ) ( 1510410 865949 )
NEW met2 ( 1814930 3449 ) ( 1814930 40290 )
+ USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] )
+ ROUTED met1 ( 1516850 39950 ) ( 1828730 39950 )
NEW met2 ( 1511790 865949 ) ( 1511790 872849 )
NEW met2 ( 1516850 39950 ) ( 1516850 865949 )
NEW met2 ( 1828730 3449 ) ( 1828730 39950 )
+ USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] )
+ ROUTED met1 ( 1523750 39610 ) ( 1849430 39610 )
NEW met2 ( 1518690 865949 ) ( 1518690 872849 )
NEW met2 ( 1523750 39610 ) ( 1523750 865949 )
NEW met2 ( 1849430 3449 ) ( 1849430 39610 )
+ USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] )
+ ROUTED met1 ( 1530650 39270 ) ( 1870130 39270 )
NEW met2 ( 1525590 865949 ) ( 1525590 872849 )
NEW met2 ( 1530650 39270 ) ( 1530650 865949 )
NEW met2 ( 1870130 3449 ) ( 1870130 39270 )
+ USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] )
+ ROUTED met1 ( 751870 776390 ) ( 1070190 776390 )
NEW met2 ( 745430 3449 ) ( 745430 17249 )
NEW met2 ( 751870 17249 ) ( 751870 776390 )
NEW met2 ( 1070190 776390 ) ( 1070190 872849 )
+ USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] )
+ ROUTED met1 ( 1538010 38930 ) ( 1883930 38930 )
NEW met2 ( 1532030 865949 ) ( 1532030 872849 )
NEW met2 ( 1538010 38930 ) ( 1538010 865949 )
NEW met2 ( 1883930 3449 ) ( 1883930 38930 )
+ USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] )
+ ROUTED met1 ( 1544450 38590 ) ( 1904630 38590 )
NEW met2 ( 1539850 865949 ) ( 1539850 872849 )
NEW met2 ( 1544450 38590 ) ( 1544450 865949 )
NEW met2 ( 1904630 3449 ) ( 1904630 38590 )
+ USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] )
+ ROUTED met1 ( 1551810 38250 ) ( 1918430 38250 )
NEW met2 ( 1546750 865949 ) ( 1546750 872849 )
NEW met2 ( 1551810 38250 ) ( 1551810 865949 )
NEW met2 ( 1918430 3449 ) ( 1918430 38250 )
+ USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] )
+ ROUTED met1 ( 1558250 37910 ) ( 1939130 37910 )
NEW met2 ( 1553650 865949 ) ( 1553650 872849 )
NEW met2 ( 1558250 37910 ) ( 1558250 865949 )
NEW met2 ( 1939130 3449 ) ( 1939130 37910 )
+ USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] )
+ ROUTED met1 ( 1566070 35190 ) ( 1953390 35190 )
NEW met2 ( 1560090 865949 ) ( 1560090 872849 )
NEW met2 ( 1566070 35190 ) ( 1566070 865949 )
NEW met2 ( 1953390 3449 ) ( 1953390 35190 )
+ USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] )
+ ROUTED met1 ( 1572510 35530 ) ( 1973630 35530 )
NEW met2 ( 1566530 865949 ) ( 1566530 872849 )
NEW met2 ( 1572510 35530 ) ( 1572510 865949 )
NEW met2 ( 1973630 3449 ) ( 1973630 35530 )
+ USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] )
+ ROUTED met1 ( 1579870 42330 ) ( 1994330 42330 )
NEW met2 ( 1573430 865949 ) ( 1573430 872849 )
NEW met2 ( 1579870 42330 ) ( 1579870 865949 )
NEW met2 ( 1994330 3449 ) ( 1994330 42330 )
+ USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] )
+ ROUTED met1 ( 1586310 42670 ) ( 2008130 42670 )
NEW met2 ( 1580330 865949 ) ( 1580330 872849 )
NEW met2 ( 1586310 42670 ) ( 1586310 865949 )
NEW met2 ( 2008130 3449 ) ( 2008130 42670 )
+ USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] )
+ ROUTED met1 ( 1593670 43010 ) ( 2028830 43010 )
NEW met2 ( 1587230 865949 ) ( 1587230 872849 )
NEW met2 ( 1593670 43010 ) ( 1593670 865949 )
NEW met2 ( 2028830 3449 ) ( 2028830 43010 )
+ USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] )
+ ROUTED met1 ( 1600110 43350 ) ( 2043090 43350 )
NEW met2 ( 1594130 865949 ) ( 1594130 872849 )
NEW met2 ( 1600110 43350 ) ( 1600110 865949 )
NEW met2 ( 2043090 3449 ) ( 2043090 43350 )
+ USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] )
+ ROUTED met1 ( 765670 120530 ) ( 1072950 120530 )
NEW met1 ( 1072950 868870 ) ( 1076630 868870 )
NEW met2 ( 759230 3449 ) ( 759230 17249 )
NEW met2 ( 765670 17249 ) ( 765670 120530 )
NEW met2 ( 1072950 120530 ) ( 1072950 868870 )
NEW met2 ( 1076630 868870 ) ( 1076630 872849 )
+ USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] )
+ ROUTED met1 ( 1607470 43690 ) ( 2063330 43690 )
NEW met2 ( 1601950 865949 ) ( 1601950 872849 )
NEW met2 ( 1607470 43690 ) ( 1607470 865949 )
NEW met2 ( 2063330 3449 ) ( 2063330 43690 )
+ USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] )
+ ROUTED met1 ( 1613910 44030 ) ( 2084490 44030 )
NEW met2 ( 1608390 865949 ) ( 1608390 872849 )
NEW met2 ( 1613910 44030 ) ( 1613910 865949 )
NEW met2 ( 2084490 3449 ) ( 2084490 44030 )
+ USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] )
+ ROUTED met1 ( 1621270 44370 ) ( 2097830 44370 )
NEW met2 ( 1614830 865949 ) ( 1614830 872849 )
NEW met2 ( 1621270 44370 ) ( 1621270 865949 )
NEW met2 ( 2097830 3449 ) ( 2097830 44370 )
+ USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] )
+ ROUTED met1 ( 1634610 48110 ) ( 2118530 48110 )
NEW met2 ( 1628630 865949 ) ( 1628630 872849 )
NEW met2 ( 1634610 48110 ) ( 1634610 865949 )
NEW met2 ( 2118530 3449 ) ( 2118530 48110 )
+ USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] )
+ ROUTED met1 ( 1641050 47770 ) ( 2132330 47770 )
NEW met2 ( 1635990 865949 ) ( 1635990 872849 )
NEW met2 ( 1641050 47770 ) ( 1641050 865949 )
NEW met2 ( 2132330 3449 ) ( 2132330 47770 )
+ USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] )
+ ROUTED met1 ( 1648410 47430 ) ( 2153030 47430 )
NEW met2 ( 1643350 865949 ) ( 1643350 872849 )
NEW met2 ( 1648410 47430 ) ( 1648410 865949 )
NEW met2 ( 2153030 3449 ) ( 2153030 47430 )
+ USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] )
+ ROUTED met1 ( 1654850 47090 ) ( 2166830 47090 )
NEW met2 ( 1650250 865949 ) ( 1650250 872849 )
NEW met2 ( 1654850 47090 ) ( 1654850 865949 )
NEW met2 ( 2166830 3449 ) ( 2166830 47090 )
+ USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] )
+ ROUTED met1 ( 1662210 46750 ) ( 2187530 46750 )
NEW met2 ( 1656230 865949 ) ( 1656230 872849 )
NEW met2 ( 1662210 46750 ) ( 1662210 865949 )
NEW met2 ( 2187530 3449 ) ( 2187530 46750 )
+ USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] )
+ ROUTED met1 ( 1668650 46410 ) ( 2208230 46410 )
NEW met2 ( 1663130 865949 ) ( 1663130 872849 )
NEW met2 ( 1668650 46410 ) ( 1668650 865949 )
NEW met2 ( 2208230 3449 ) ( 2208230 46410 )
+ USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] )
+ ROUTED met1 ( 1676010 46070 ) ( 2222030 46070 )
NEW met2 ( 1670950 865949 ) ( 1670950 872849 )
NEW met2 ( 1676010 46070 ) ( 1676010 865949 )
NEW met2 ( 2222030 3449 ) ( 2222030 46070 )
+ USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] )
+ ROUTED met1 ( 786370 127670 ) ( 1079850 127670 )
NEW met1 ( 1079850 869210 ) ( 1083530 869210 )
NEW met2 ( 779930 3449 ) ( 779930 17249 )
NEW met2 ( 786370 17249 ) ( 786370 127670 )
NEW met2 ( 1079850 127670 ) ( 1079850 869210 )
NEW met2 ( 1083530 869210 ) ( 1083530 872849 )
+ USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] )
+ ROUTED met1 ( 1682910 45730 ) ( 2242730 45730 )
NEW met2 ( 1677390 865949 ) ( 1677390 872849 )
NEW met2 ( 1682910 45730 ) ( 1682910 865949 )
NEW met2 ( 2242730 3449 ) ( 2242730 45730 )
+ USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] )
+ ROUTED met1 ( 1689810 45390 ) ( 2256530 45390 )
NEW met2 ( 1684750 865949 ) ( 1684750 872849 )
NEW met2 ( 1689810 45390 ) ( 1689810 865949 )
NEW met2 ( 2256530 3449 ) ( 2256530 45390 )
+ USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] )
+ ROUTED met1 ( 1696250 45050 ) ( 2277230 45050 )
NEW met2 ( 1691650 865949 ) ( 1691650 872849 )
NEW met2 ( 1696250 45050 ) ( 1696250 865949 )
NEW met2 ( 2277230 3449 ) ( 2277230 45050 )
+ USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] )
+ ROUTED met1 ( 1703610 44710 ) ( 2298390 44710 )
NEW met2 ( 1698550 865949 ) ( 1698550 872849 )
NEW met2 ( 1703610 44710 ) ( 1703610 865949 )
NEW met2 ( 2298390 3449 ) ( 2298390 44710 )
+ USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] )
+ ROUTED met1 ( 1710050 686290 ) ( 2311730 686290 )
NEW met2 ( 1705450 865949 ) ( 1705450 872849 )
NEW met2 ( 1710050 686290 ) ( 1710050 865949 )
NEW met2 ( 2311730 3449 ) ( 2311730 686290 )
+ USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] )
+ ROUTED met1 ( 1717410 665890 ) ( 2332430 665890 )
NEW met2 ( 1712350 865949 ) ( 1712350 872849 )
NEW met2 ( 1717410 665890 ) ( 1717410 865949 )
NEW met2 ( 2332430 3449 ) ( 2332430 665890 )
+ USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] )
+ ROUTED met1 ( 1723850 679490 ) ( 2346230 679490 )
NEW met2 ( 1718330 865949 ) ( 1718330 872849 )
NEW met2 ( 1723850 679490 ) ( 1723850 865949 )
NEW met2 ( 2346230 3449 ) ( 2346230 679490 )
+ USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] )
+ ROUTED met1 ( 1731210 651950 ) ( 2366930 651950 )
NEW met2 ( 1725230 865949 ) ( 1725230 872849 )
NEW met2 ( 1731210 651950 ) ( 1731210 865949 )
NEW met2 ( 2366930 3449 ) ( 2366930 651950 )
+ USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] )
+ ROUTED met1 ( 1737650 658750 ) ( 2388090 658750 )
NEW met2 ( 1732130 865949 ) ( 1732130 872849 )
NEW met2 ( 1737650 658750 ) ( 1737650 865949 )
NEW met2 ( 2388090 3449 ) ( 2388090 658750 )
+ USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] )
+ ROUTED met1 ( 1745010 638010 ) ( 2401430 638010 )
NEW met2 ( 1739490 865949 ) ( 1739490 872849 )
NEW met2 ( 1745010 638010 ) ( 1745010 865949 )
NEW met2 ( 2401430 3449 ) ( 2401430 638010 )
+ USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] )
+ ROUTED met1 ( 799710 148070 ) ( 1091350 148070 )
NEW met2 ( 793730 3449 ) ( 793730 17249 )
NEW met2 ( 799710 17249 ) ( 799710 148070 )
NEW met2 ( 1091350 148070 ) ( 1091350 872849 )
+ USE SIGNAL ;
- la_oen[0] ( PIN la_oen[0] ) ( mprj la_oen[0] )
+ ROUTED met1 ( 648370 33830 ) ( 1029250 33830 )
NEW met2 ( 641930 3449 ) ( 641930 17249 )
NEW met2 ( 648370 17249 ) ( 648370 33830 )
NEW met2 ( 1029250 33830 ) ( 1029250 872849 )
+ USE SIGNAL ;
- la_oen[100] ( PIN la_oen[100] ) ( mprj la_oen[100] )
+ ROUTED met1 ( 1758810 58650 ) ( 2429490 58650 )
NEW met2 ( 1752830 865949 ) ( 1752830 872849 )
NEW met2 ( 1758810 58650 ) ( 1758810 865949 )
NEW met2 ( 2429490 3449 ) ( 2429490 58650 )
+ USE SIGNAL ;
- la_oen[101] ( PIN la_oen[101] ) ( mprj la_oen[101] )
+ ROUTED met1 ( 1766170 51510 ) ( 2442830 51510 )
NEW met2 ( 1759730 865949 ) ( 1759730 872849 )
NEW met2 ( 1766170 51510 ) ( 1766170 865949 )
NEW met2 ( 2442830 3449 ) ( 2442830 51510 )
+ USE SIGNAL ;
- la_oen[102] ( PIN la_oen[102] ) ( mprj la_oen[102] )
+ ROUTED met1 ( 1772610 645150 ) ( 2463530 645150 )
NEW met2 ( 1766630 865949 ) ( 1766630 872849 )
NEW met2 ( 1772610 645150 ) ( 1772610 865949 )
NEW met2 ( 2463530 3449 ) ( 2463530 645150 )
+ USE SIGNAL ;
- la_oen[103] ( PIN la_oen[103] ) ( mprj la_oen[103] )
+ ROUTED met1 ( 1779970 65450 ) ( 2477330 65450 )
NEW met2 ( 1774450 865949 ) ( 1774450 872849 )
NEW met2 ( 1779970 65450 ) ( 1779970 865949 )
NEW met2 ( 2477330 3449 ) ( 2477330 65450 )
+ USE SIGNAL ;
- la_oen[104] ( PIN la_oen[104] ) ( mprj la_oen[104] )
+ ROUTED met1 ( 1786870 79390 ) ( 2498030 79390 )
NEW met2 ( 1781350 865949 ) ( 1781350 872849 )
NEW met2 ( 1786870 79390 ) ( 1786870 865949 )
NEW met2 ( 2498030 3449 ) ( 2498030 79390 )
+ USE SIGNAL ;
- la_oen[105] ( PIN la_oen[105] ) ( mprj la_oen[105] )
+ ROUTED met1 ( 1793770 86190 ) ( 2512290 86190 )
NEW met2 ( 1788250 865949 ) ( 1788250 872849 )
NEW met2 ( 1793770 86190 ) ( 1793770 865949 )
NEW met2 ( 2512290 3449 ) ( 2512290 86190 )
+ USE SIGNAL ;
- la_oen[106] ( PIN la_oen[106] ) ( mprj la_oen[106] )
+ ROUTED met1 ( 1800670 92990 ) ( 2532530 92990 )
NEW met2 ( 1794690 865949 ) ( 1794690 872849 )
NEW met2 ( 1800670 92990 ) ( 1800670 865949 )
NEW met2 ( 2532530 3449 ) ( 2532530 92990 )
+ USE SIGNAL ;
- la_oen[107] ( PIN la_oen[107] ) ( mprj la_oen[107] )
+ ROUTED met1 ( 1807570 99790 ) ( 2553230 99790 )
NEW met2 ( 1802050 865949 ) ( 1802050 872849 )
NEW met2 ( 1807570 99790 ) ( 1807570 865949 )
NEW met2 ( 2553230 3449 ) ( 2553230 99790 )
+ USE SIGNAL ;
- la_oen[108] ( PIN la_oen[108] ) ( mprj la_oen[108] )
+ ROUTED met1 ( 1813550 769250 ) ( 2567030 769250 )
NEW met2 ( 1808490 865949 ) ( 1808490 872849 )
NEW met2 ( 1813550 769250 ) ( 1813550 865949 )
NEW met2 ( 2567030 3449 ) ( 2567030 769250 )
+ USE SIGNAL ;
- la_oen[109] ( PIN la_oen[109] ) ( mprj la_oen[109] )
+ ROUTED met1 ( 1820910 624410 ) ( 2587730 624410 )
NEW met2 ( 1814930 865949 ) ( 1814930 872849 )
NEW met2 ( 1820910 624410 ) ( 1820910 865949 )
NEW met2 ( 2587730 3449 ) ( 2587730 624410 )
+ USE SIGNAL ;
- la_oen[10] ( PIN la_oen[10] ) ( mprj la_oen[10] )
+ ROUTED met1 ( 827770 28390 ) ( 1104690 28390 )
NEW met2 ( 821330 3449 ) ( 821330 17249 )
NEW met2 ( 827770 17249 ) ( 827770 28390 )
NEW met2 ( 1104690 28390 ) ( 1104690 872849 )
+ USE SIGNAL ;
- la_oen[110] ( PIN la_oen[110] ) ( mprj la_oen[110] )
+ ROUTED met1 ( 1827810 106930 ) ( 2601990 106930 )
NEW met2 ( 1821830 865949 ) ( 1821830 872849 )
NEW met2 ( 1827810 106930 ) ( 1827810 865949 )
NEW met2 ( 2601990 3449 ) ( 2601990 106930 )
+ USE SIGNAL ;
- la_oen[111] ( PIN la_oen[111] ) ( mprj la_oen[111] )
+ ROUTED met1 ( 1834250 762450 ) ( 2622230 762450 )
NEW met2 ( 1828730 865949 ) ( 1828730 872849 )
NEW met2 ( 1834250 762450 ) ( 1834250 865949 )
NEW met2 ( 2622230 3449 ) ( 2622230 762450 )
+ USE SIGNAL ;
- la_oen[112] ( PIN la_oen[112] ) ( mprj la_oen[112] )
+ ROUTED met1 ( 1841150 755310 ) ( 2642930 755310 )
NEW met2 ( 1836090 865949 ) ( 1836090 872849 )
NEW met2 ( 1841150 755310 ) ( 1841150 865949 )
NEW met2 ( 2642930 3449 ) ( 2642930 755310 )
+ USE SIGNAL ;
- la_oen[113] ( PIN la_oen[113] ) ( mprj la_oen[113] )
+ ROUTED met1 ( 1848050 748510 ) ( 2656730 748510 )
NEW met2 ( 1843450 865949 ) ( 1843450 872849 )
NEW met2 ( 1848050 748510 ) ( 1848050 865949 )
NEW met2 ( 2656730 3449 ) ( 2656730 748510 )
+ USE SIGNAL ;
- la_oen[114] ( PIN la_oen[114] ) ( mprj la_oen[114] )
+ ROUTED met1 ( 1854950 741710 ) ( 2677430 741710 )
NEW met2 ( 1850350 865949 ) ( 1850350 872849 )
NEW met2 ( 1854950 741710 ) ( 1854950 865949 )
NEW met2 ( 2677430 3449 ) ( 2677430 741710 )
+ USE SIGNAL ;
- la_oen[115] ( PIN la_oen[115] ) ( mprj la_oen[115] )
+ ROUTED met1 ( 1862310 631210 ) ( 2691230 631210 )
NEW met2 ( 1856790 865949 ) ( 1856790 872849 )
NEW met2 ( 1862310 631210 ) ( 1862310 865949 )
NEW met2 ( 2691230 3449 ) ( 2691230 631210 )
+ USE SIGNAL ;
- la_oen[116] ( PIN la_oen[116] ) ( mprj la_oen[116] )
+ ROUTED met1 ( 1868750 734570 ) ( 2711930 734570 )
NEW met2 ( 1863690 865949 ) ( 1863690 872849 )
NEW met2 ( 1868750 734570 ) ( 1868750 865949 )
NEW met2 ( 2711930 3449 ) ( 2711930 734570 )
+ USE SIGNAL ;
- la_oen[117] ( PIN la_oen[117] ) ( mprj la_oen[117] )
+ ROUTED met1 ( 1876570 19210 ) ( 2732630 19210 )
NEW met2 ( 1870130 865949 ) ( 1870130 872849 )
NEW met2 ( 1876570 19210 ) ( 1876570 865949 )
NEW met2 ( 2732630 3449 ) ( 2732630 19210 )
+ USE SIGNAL ;
- la_oen[118] ( PIN la_oen[118] ) ( mprj la_oen[118] )
+ ROUTED met1 ( 1883470 18870 ) ( 2746430 18870 )
NEW met2 ( 1877950 865949 ) ( 1877950 872849 )
NEW met2 ( 1883470 18870 ) ( 1883470 865949 )
NEW met2 ( 2746430 3449 ) ( 2746430 18870 )
+ USE SIGNAL ;
- la_oen[119] ( PIN la_oen[119] ) ( mprj la_oen[119] )
+ ROUTED met1 ( 1890370 18530 ) ( 2767130 18530 )
NEW met2 ( 1884390 865949 ) ( 1884390 872849 )
NEW met2 ( 1890370 18530 ) ( 1890370 865949 )
NEW met2 ( 2767130 3449 ) ( 2767130 18530 )
+ USE SIGNAL ;
- la_oen[11] ( PIN la_oen[11] ) ( mprj la_oen[11] )
+ ROUTED met1 ( 841110 28050 ) ( 1111590 28050 )
NEW met2 ( 835590 3449 ) ( 835590 17249 )
NEW met2 ( 841110 17249 ) ( 841110 28050 )
NEW met2 ( 1111590 28050 ) ( 1111590 872849 )
+ USE SIGNAL ;
- la_oen[120] ( PIN la_oen[120] ) ( mprj la_oen[120] )
+ ROUTED met1 ( 1897270 18190 ) ( 2780930 18190 )
NEW met2 ( 1890830 865949 ) ( 1890830 872849 )
NEW met2 ( 1897270 18190 ) ( 1897270 865949 )
NEW met2 ( 2780930 3449 ) ( 2780930 18190 )
+ USE SIGNAL ;
- la_oen[121] ( PIN la_oen[121] ) ( mprj la_oen[121] )
+ ROUTED met1 ( 1904170 17850 ) ( 2801630 17850 )
NEW met2 ( 1898190 865949 ) ( 1898190 872849 )
NEW met2 ( 1904170 17850 ) ( 1904170 865949 )
NEW met2 ( 2801630 3449 ) ( 2801630 17850 )
+ USE SIGNAL ;
- la_oen[122] ( PIN la_oen[122] ) ( mprj la_oen[122] )
+ ROUTED met2 ( 1905090 865949 ) ( 1905090 872849 )
NEW met2 ( 1911070 18700 ) ( 1911070 865949 )
NEW met2 ( 2815890 3449 ) ( 2815890 18700 )
NEW met3 ( 1911070 18700 ) ( 2815890 18700 )
+ USE SIGNAL ;
- la_oen[123] ( PIN la_oen[123] ) ( mprj la_oen[123] )
+ ROUTED met1 ( 1924870 17510 ) ( 2836130 17510 )
NEW met2 ( 1919350 865949 ) ( 1919350 872849 )
NEW met2 ( 1924870 17510 ) ( 1924870 865949 )
NEW met2 ( 2836130 3449 ) ( 2836130 17510 )
+ USE SIGNAL ;
- la_oen[124] ( PIN la_oen[124] ) ( mprj la_oen[124] )
+ ROUTED met2 ( 1926250 865949 ) ( 1926250 872849 )
NEW met2 ( 1931770 18020 ) ( 1931770 865949 )
NEW met2 ( 2857290 3449 ) ( 2857290 18020 )
NEW met3 ( 1931770 18020 ) ( 2857290 18020 )
+ USE SIGNAL ;
- la_oen[125] ( PIN la_oen[125] ) ( mprj la_oen[125] )
+ ROUTED met2 ( 1933150 865949 ) ( 1933150 872849 )
NEW met2 ( 1938670 17340 ) ( 1938670 865949 )
NEW met2 ( 2870630 3449 ) ( 2870630 17340 )
NEW met3 ( 1938670 17340 ) ( 2870630 17340 )
+ USE SIGNAL ;
- la_oen[126] ( PIN la_oen[126] ) ( mprj la_oen[126] )
+ ROUTED met2 ( 1939130 865949 ) ( 1939130 872849 )
NEW met2 ( 1945570 16660 ) ( 1945570 865949 )
NEW met2 ( 2891330 3449 ) ( 2891330 16660 )
NEW met3 ( 1945570 16660 ) ( 2891330 16660 )
+ USE SIGNAL ;
- la_oen[127] ( PIN la_oen[127] ) ( mprj la_oen[127] )
+ ROUTED met1 ( 1952470 17170 ) ( 2905130 17170 )
NEW met2 ( 1946030 865949 ) ( 1946030 872849 )
NEW met2 ( 1952470 17170 ) ( 1952470 865949 )
NEW met2 ( 2905130 3449 ) ( 2905130 17170 )
+ USE SIGNAL ;
- la_oen[12] ( PIN la_oen[12] ) ( mprj la_oen[12] )
+ ROUTED met1 ( 862270 31450 ) ( 1118490 31450 )
NEW met2 ( 855830 3449 ) ( 855830 17249 )
NEW met2 ( 862270 17249 ) ( 862270 31450 )
NEW met2 ( 1118490 31450 ) ( 1118490 872849 )
+ USE SIGNAL ;
- la_oen[13] ( PIN la_oen[13] ) ( mprj la_oen[13] )
+ ROUTED met1 ( 882510 31790 ) ( 1125390 31790 )
NEW met2 ( 876530 3449 ) ( 876530 17249 )
NEW met2 ( 882510 17249 ) ( 882510 31790 )
NEW met2 ( 1125390 31790 ) ( 1125390 872849 )
+ USE SIGNAL ;
- la_oen[14] ( PIN la_oen[14] ) ( mprj la_oen[14] )
+ ROUTED met1 ( 896770 32130 ) ( 1132290 32130 )
NEW met2 ( 890330 3449 ) ( 890330 17249 )
NEW met2 ( 896770 17249 ) ( 896770 32130 )
NEW met2 ( 1132290 32130 ) ( 1132290 872849 )
+ USE SIGNAL ;
- la_oen[15] ( PIN la_oen[15] ) ( mprj la_oen[15] )
+ ROUTED met1 ( 917470 141270 ) ( 1139650 141270 )
NEW met2 ( 911030 3449 ) ( 911030 17249 )
NEW met2 ( 917470 17249 ) ( 917470 141270 )
NEW met2 ( 1139650 141270 ) ( 1139650 872849 )
+ USE SIGNAL ;
- la_oen[16] ( PIN la_oen[16] ) ( mprj la_oen[16] )
+ ROUTED met1 ( 931270 134470 ) ( 1146550 134470 )
NEW met2 ( 924830 3449 ) ( 924830 17249 )
NEW met2 ( 931270 17249 ) ( 931270 134470 )
NEW met2 ( 1146550 134470 ) ( 1146550 872849 )
+ USE SIGNAL ;
- la_oen[17] ( PIN la_oen[17] ) ( mprj la_oen[17] )
+ ROUTED met1 ( 945990 17170 ) ( 1152530 17170 )
NEW met2 ( 945990 3449 ) ( 945990 17170 )
NEW met2 ( 1152530 17170 ) ( 1152530 872849 )
+ USE SIGNAL ;
- la_oen[18] ( PIN la_oen[18] ) ( mprj la_oen[18] )
+ ROUTED met1 ( 972210 865810 ) ( 1159430 865810 )
NEW met2 ( 966690 3449 ) ( 966690 17249 )
NEW met2 ( 972210 17249 ) ( 972210 865810 )
NEW met2 ( 1159430 865810 ) ( 1159430 872849 )
+ USE SIGNAL ;
- la_oen[19] ( PIN la_oen[19] ) ( mprj la_oen[19] )
+ ROUTED met1 ( 986470 866150 ) ( 1166330 866150 )
NEW met2 ( 980030 3449 ) ( 980030 17249 )
NEW met2 ( 986470 17249 ) ( 986470 866150 )
NEW met2 ( 1166330 866150 ) ( 1166330 872849 )
+ USE SIGNAL ;
- la_oen[1] ( PIN la_oen[1] ) ( mprj la_oen[1] )
+ ROUTED met1 ( 668610 34170 ) ( 1036150 34170 )
NEW met2 ( 663090 3449 ) ( 663090 17249 )
NEW met2 ( 668610 17249 ) ( 668610 34170 )
NEW met2 ( 1036150 34170 ) ( 1036150 872849 )
+ USE SIGNAL ;
- la_oen[20] ( PIN la_oen[20] ) ( mprj la_oen[20] )
+ ROUTED met1 ( 1007170 867170 ) ( 1173230 867170 )
NEW met2 ( 1000730 3449 ) ( 1000730 17249 )
NEW met2 ( 1007170 17249 ) ( 1007170 867170 )
NEW met2 ( 1173230 867170 ) ( 1173230 872849 )
+ USE SIGNAL ;
- la_oen[21] ( PIN la_oen[21] ) ( mprj la_oen[21] )
+ ROUTED met1 ( 1020970 867510 ) ( 1180130 867510 )
NEW met2 ( 1014530 3449 ) ( 1014530 17249 )
NEW met2 ( 1020970 17249 ) ( 1020970 867510 )
NEW met2 ( 1180130 867510 ) ( 1180130 872849 )
+ USE SIGNAL ;
- la_oen[22] ( PIN la_oen[22] ) ( mprj la_oen[22] )
+ ROUTED met1 ( 1035230 18190 ) ( 1187490 18190 )
NEW met2 ( 1035230 3449 ) ( 1035230 18190 )
NEW met2 ( 1187490 18190 ) ( 1187490 872849 )
+ USE SIGNAL ;
- la_oen[23] ( PIN la_oen[23] ) ( mprj la_oen[23] )
+ ROUTED met1 ( 1055470 868190 ) ( 1193930 868190 )
NEW met2 ( 1049490 3449 ) ( 1049490 17249 )
NEW met2 ( 1055470 17249 ) ( 1055470 868190 )
NEW met2 ( 1193930 868190 ) ( 1193930 872849 )
+ USE SIGNAL ;
- la_oen[24] ( PIN la_oen[24] ) ( mprj la_oen[24] )
+ ROUTED met1 ( 1069730 19550 ) ( 1201750 19550 )
NEW met2 ( 1069730 3449 ) ( 1069730 19550 )
NEW met2 ( 1201750 19550 ) ( 1201750 872849 )
+ USE SIGNAL ;
- la_oen[25] ( PIN la_oen[25] ) ( mprj la_oen[25] )
+ ROUTED met1 ( 1090890 20570 ) ( 1208190 20570 )
NEW met2 ( 1090890 3449 ) ( 1090890 20570 )
NEW met2 ( 1208190 20570 ) ( 1208190 872849 )
+ USE SIGNAL ;
- la_oen[26] ( PIN la_oen[26] ) ( mprj la_oen[26] )
+ ROUTED met1 ( 1104230 20230 ) ( 1221990 20230 )
NEW met2 ( 1104230 3449 ) ( 1104230 20230 )
NEW met2 ( 1221990 20230 ) ( 1221990 872849 )
+ USE SIGNAL ;
- la_oen[27] ( PIN la_oen[27] ) ( mprj la_oen[27] )
+ ROUTED met1 ( 1124930 16830 ) ( 1228890 16830 )
NEW met2 ( 1124930 3449 ) ( 1124930 16830 )
NEW met2 ( 1228890 16830 ) ( 1228890 872849 )
+ USE SIGNAL ;
- la_oen[28] ( PIN la_oen[28] ) ( mprj la_oen[28] )
+ ROUTED met1 ( 1138730 16490 ) ( 1235790 16490 )
NEW met2 ( 1138730 3449 ) ( 1138730 16490 )
NEW met2 ( 1235790 16490 ) ( 1235790 872849 )
+ USE SIGNAL ;
- la_oen[29] ( PIN la_oen[29] ) ( mprj la_oen[29] )
+ ROUTED met1 ( 1159430 16150 ) ( 1217850 16150 )
NEW met1 ( 1217850 863430 ) ( 1242690 863430 )
NEW met2 ( 1159430 3449 ) ( 1159430 16150 )
NEW met2 ( 1217850 16150 ) ( 1217850 863430 )
NEW met2 ( 1242690 863430 ) ( 1242690 872849 )
+ USE SIGNAL ;
- la_oen[2] ( PIN la_oen[2] ) ( mprj la_oen[2] )
+ ROUTED met1 ( 682870 30430 ) ( 1049950 30430 )
NEW met2 ( 676430 3449 ) ( 676430 17249 )
NEW met2 ( 682870 17249 ) ( 682870 30430 )
NEW met2 ( 1049950 30430 ) ( 1049950 872849 )
+ USE SIGNAL ;
- la_oen[30] ( PIN la_oen[30] ) ( mprj la_oen[30] )
+ ROUTED met1 ( 1180130 17170 ) ( 1249590 17170 )
NEW met2 ( 1180130 3449 ) ( 1180130 17170 )
NEW met2 ( 1249590 17170 ) ( 1249590 872849 )
+ USE SIGNAL ;
- la_oen[31] ( PIN la_oen[31] ) ( mprj la_oen[31] )
+ ROUTED met1 ( 1194850 17510 ) ( 1256950 17510 )
NEW met2 ( 1194850 3449 ) ( 1194850 17510 )
NEW met2 ( 1256950 17510 ) ( 1256950 872849 )
+ USE SIGNAL ;
- la_oen[32] ( PIN la_oen[32] ) ( mprj la_oen[32] )
+ ROUTED met1 ( 1215090 17850 ) ( 1224750 17850 )
NEW met1 ( 1224750 867850 ) ( 1263390 867850 )
NEW met2 ( 1215090 3449 ) ( 1215090 17850 )
NEW met2 ( 1224750 17850 ) ( 1224750 867850 )
NEW met2 ( 1263390 867850 ) ( 1263390 872849 )
+ USE SIGNAL ;
- la_oen[33] ( PIN la_oen[33] ) ( mprj la_oen[33] )
+ ROUTED met1 ( 1234870 868870 ) ( 1269830 868870 )
NEW met2 ( 1228430 3449 ) ( 1228430 17249 )
NEW met2 ( 1234870 17249 ) ( 1234870 868870 )
NEW met2 ( 1269830 868870 ) ( 1269830 872849 )
+ USE SIGNAL ;
- la_oen[34] ( PIN la_oen[34] ) ( mprj la_oen[34] )
+ ROUTED met1 ( 1255570 866490 ) ( 1277650 866490 )
NEW met2 ( 1249130 3449 ) ( 1249130 17249 )
NEW met2 ( 1255570 17249 ) ( 1255570 866490 )
NEW met2 ( 1277650 866490 ) ( 1277650 872849 )
+ USE SIGNAL ;
- la_oen[35] ( PIN la_oen[35] ) ( mprj la_oen[35] )
+ ROUTED met1 ( 1262930 17170 ) ( 1284090 17170 )
NEW met2 ( 1262930 3449 ) ( 1262930 17170 )
NEW met2 ( 1284090 17170 ) ( 1284090 872849 )
+ USE SIGNAL ;
- la_oen[36] ( PIN la_oen[36] ) ( mprj la_oen[36] )
+ ROUTED met1 ( 1290070 866490 ) ( 1290530 866490 )
NEW met2 ( 1283630 3449 ) ( 1283630 17249 )
NEW met2 ( 1290070 17249 ) ( 1290070 866490 )
NEW met2 ( 1290530 866490 ) ( 1290530 872849 )
+ USE SIGNAL ;
- la_oen[37] ( PIN la_oen[37] ) ( mprj la_oen[37] )
+ ROUTED met1 ( 1297890 865810 ) ( 1304790 865810 )
NEW met2 ( 1297890 865810 ) ( 1297890 872849 )
NEW met2 ( 1304790 3449 ) ( 1304790 865810 )
+ USE SIGNAL ;
- la_oen[38] ( PIN la_oen[38] ) ( mprj la_oen[38] )
+ ROUTED met1 ( 1314450 17170 ) ( 1318130 17170 )
NEW met1 ( 1304790 866490 ) ( 1314450 866490 )
NEW met2 ( 1304790 866490 ) ( 1304790 872849 )
NEW met2 ( 1314450 17170 ) ( 1314450 866490 )
NEW met2 ( 1318130 3449 ) ( 1318130 17170 )
+ USE SIGNAL ;
- la_oen[39] ( PIN la_oen[39] ) ( mprj la_oen[39] )
+ ROUTED met1 ( 1321350 19550 ) ( 1338830 19550 )
NEW met1 ( 1311690 866830 ) ( 1321350 866830 )
NEW met2 ( 1311690 866830 ) ( 1311690 872849 )
NEW met2 ( 1321350 19550 ) ( 1321350 866830 )
NEW met2 ( 1338830 3449 ) ( 1338830 19550 )
+ USE SIGNAL ;
- la_oen[3] ( PIN la_oen[3] ) ( mprj la_oen[3] )
+ ROUTED met1 ( 703570 30090 ) ( 1056850 30090 )
NEW met2 ( 697130 3449 ) ( 697130 17249 )
NEW met2 ( 703570 17249 ) ( 703570 30090 )
NEW met2 ( 1056850 30090 ) ( 1056850 872849 )
+ USE SIGNAL ;
- la_oen[40] ( PIN la_oen[40] ) ( mprj la_oen[40] )
+ ROUTED met1 ( 1324110 18870 ) ( 1352630 18870 )
NEW met2 ( 1318590 865949 ) ( 1318590 872849 )
NEW met2 ( 1324110 18870 ) ( 1324110 865949 )
NEW met2 ( 1352630 3449 ) ( 1352630 18870 )
+ USE SIGNAL ;
- la_oen[41] ( PIN la_oen[41] ) ( mprj la_oen[41] )
+ ROUTED met1 ( 1342050 15810 ) ( 1373330 15810 )
NEW met1 ( 1325490 866150 ) ( 1342050 866150 )
NEW met2 ( 1325490 866150 ) ( 1325490 872849 )
NEW met2 ( 1342050 15810 ) ( 1342050 866150 )
NEW met2 ( 1373330 3449 ) ( 1373330 15810 )
+ USE SIGNAL ;
- la_oen[42] ( PIN la_oen[42] ) ( mprj la_oen[42] )
+ ROUTED met1 ( 1337910 17170 ) ( 1394490 17170 )
NEW met2 ( 1332390 865949 ) ( 1332390 872849 )
NEW met2 ( 1337910 17170 ) ( 1337910 865949 )
NEW met2 ( 1394490 3449 ) ( 1394490 17170 )
+ USE SIGNAL ;
- la_oen[43] ( PIN la_oen[43] ) ( mprj la_oen[43] )
+ ROUTED met1 ( 1344350 16830 ) ( 1407830 16830 )
NEW met2 ( 1338830 865949 ) ( 1338830 872849 )
NEW met2 ( 1344350 16830 ) ( 1344350 865949 )
NEW met2 ( 1407830 3449 ) ( 1407830 16830 )
+ USE SIGNAL ;
- la_oen[44] ( PIN la_oen[44] ) ( mprj la_oen[44] )
+ ROUTED met1 ( 1352170 20230 ) ( 1428530 20230 )
NEW met2 ( 1346650 865949 ) ( 1346650 872849 )
NEW met2 ( 1352170 20230 ) ( 1352170 865949 )
NEW met2 ( 1428530 3449 ) ( 1428530 20230 )
+ USE SIGNAL ;
- la_oen[45] ( PIN la_oen[45] ) ( mprj la_oen[45] )
+ ROUTED met1 ( 1359070 19550 ) ( 1442330 19550 )
NEW met2 ( 1353550 865949 ) ( 1353550 872849 )
NEW met2 ( 1359070 19550 ) ( 1359070 865949 )
NEW met2 ( 1442330 3449 ) ( 1442330 19550 )
+ USE SIGNAL ;
- la_oen[46] ( PIN la_oen[46] ) ( mprj la_oen[46] )
+ ROUTED met1 ( 1365970 18870 ) ( 1463030 18870 )
NEW met2 ( 1359530 865949 ) ( 1359530 872849 )
NEW met2 ( 1365970 18870 ) ( 1365970 865949 )
NEW met2 ( 1463030 3449 ) ( 1463030 18870 )
+ USE SIGNAL ;
- la_oen[47] ( PIN la_oen[47] ) ( mprj la_oen[47] )
+ ROUTED met1 ( 1372870 18530 ) ( 1483730 18530 )
NEW met2 ( 1367350 865949 ) ( 1367350 872849 )
NEW met2 ( 1372870 18530 ) ( 1372870 865949 )
NEW met2 ( 1483730 3449 ) ( 1483730 18530 )
+ USE SIGNAL ;
- la_oen[48] ( PIN la_oen[48] ) ( mprj la_oen[48] )
+ ROUTED met1 ( 1379770 18190 ) ( 1497530 18190 )
NEW met2 ( 1373330 865949 ) ( 1373330 872849 )
NEW met2 ( 1379770 18190 ) ( 1379770 865949 )
NEW met2 ( 1497530 3449 ) ( 1497530 18190 )
+ USE SIGNAL ;
- la_oen[49] ( PIN la_oen[49] ) ( mprj la_oen[49] )
+ ROUTED met1 ( 1386670 17850 ) ( 1518230 17850 )
NEW met2 ( 1380690 865949 ) ( 1380690 872849 )
NEW met2 ( 1386670 17850 ) ( 1386670 865949 )
NEW met2 ( 1518230 3449 ) ( 1518230 17850 )
+ USE SIGNAL ;
- la_oen[4] ( PIN la_oen[4] ) ( mprj la_oen[4] )
+ ROUTED met1 ( 717370 29750 ) ( 1062830 29750 )
NEW met2 ( 710930 3449 ) ( 710930 17249 )
NEW met2 ( 717370 17249 ) ( 717370 29750 )
NEW met2 ( 1062830 29750 ) ( 1062830 872849 )
+ USE SIGNAL ;
- la_oen[50] ( PIN la_oen[50] ) ( mprj la_oen[50] )
+ ROUTED met1 ( 1400470 17510 ) ( 1532030 17510 )
NEW met2 ( 1394490 865949 ) ( 1394490 872849 )
NEW met2 ( 1400470 17510 ) ( 1400470 865949 )
NEW met2 ( 1532030 3449 ) ( 1532030 17510 )
+ USE SIGNAL ;
- la_oen[51] ( PIN la_oen[51] ) ( mprj la_oen[51] )
+ ROUTED met1 ( 1407370 17170 ) ( 1552730 17170 )
NEW met2 ( 1401390 865949 ) ( 1401390 872849 )
NEW met2 ( 1407370 17170 ) ( 1407370 865949 )
NEW met2 ( 1552730 3449 ) ( 1552730 17170 )
+ USE SIGNAL ;
- la_oen[52] ( PIN la_oen[52] ) ( mprj la_oen[52] )
+ ROUTED met1 ( 1414270 14110 ) ( 1566530 14110 )
NEW met2 ( 1408290 865949 ) ( 1408290 872849 )
NEW met2 ( 1414270 14110 ) ( 1414270 865949 )
NEW met2 ( 1566530 3449 ) ( 1566530 14110 )
+ USE SIGNAL ;
- la_oen[53] ( PIN la_oen[53] ) ( mprj la_oen[53] )
+ ROUTED met1 ( 1421170 14450 ) ( 1587230 14450 )
NEW met2 ( 1414730 865949 ) ( 1414730 872849 )
NEW met2 ( 1421170 14450 ) ( 1421170 865949 )
NEW met2 ( 1587230 3449 ) ( 1587230 14450 )
+ USE SIGNAL ;
- la_oen[54] ( PIN la_oen[54] ) ( mprj la_oen[54] )
+ ROUTED met1 ( 1427610 15470 ) ( 1607930 15470 )
NEW met2 ( 1422090 865949 ) ( 1422090 872849 )
NEW met2 ( 1427610 15470 ) ( 1427610 865949 )
NEW met2 ( 1607930 3449 ) ( 1607930 15470 )
+ USE SIGNAL ;
- la_oen[55] ( PIN la_oen[55] ) ( mprj la_oen[55] )
+ ROUTED met1 ( 1434970 15810 ) ( 1621730 15810 )
NEW met2 ( 1428990 865949 ) ( 1428990 872849 )
NEW met2 ( 1434970 15810 ) ( 1434970 865949 )
NEW met2 ( 1621730 3449 ) ( 1621730 15810 )
+ USE SIGNAL ;
- la_oen[56] ( PIN la_oen[56] ) ( mprj la_oen[56] )
+ ROUTED met1 ( 1441870 16490 ) ( 1642430 16490 )
NEW met2 ( 1435890 865949 ) ( 1435890 872849 )
NEW met2 ( 1441870 16490 ) ( 1441870 865949 )
NEW met2 ( 1642430 3449 ) ( 1642430 16490 )
+ USE SIGNAL ;
- la_oen[57] ( PIN la_oen[57] ) ( mprj la_oen[57] )
+ ROUTED met1 ( 1448770 20570 ) ( 1656230 20570 )
NEW met2 ( 1442330 865949 ) ( 1442330 872849 )
NEW met2 ( 1448770 20570 ) ( 1448770 865949 )
NEW met2 ( 1656230 3449 ) ( 1656230 20570 )
+ USE SIGNAL ;
- la_oen[58] ( PIN la_oen[58] ) ( mprj la_oen[58] )
+ ROUTED met1 ( 1455670 20230 ) ( 1676930 20230 )
NEW met2 ( 1449230 865949 ) ( 1449230 872849 )
NEW met2 ( 1455670 20230 ) ( 1455670 865949 )
NEW met2 ( 1676930 3449 ) ( 1676930 20230 )
+ USE SIGNAL ;
- la_oen[59] ( PIN la_oen[59] ) ( mprj la_oen[59] )
+ ROUTED met1 ( 1462570 19890 ) ( 1697630 19890 )
NEW met2 ( 1456590 865949 ) ( 1456590 872849 )
NEW met2 ( 1462570 19890 ) ( 1462570 865949 )
NEW met2 ( 1697630 3449 ) ( 1697630 19890 )
+ USE SIGNAL ;
- la_oen[5] ( PIN la_oen[5] ) ( mprj la_oen[5] )
+ ROUTED met1 ( 738070 29410 ) ( 1069730 29410 )
NEW met2 ( 731630 3449 ) ( 731630 17249 )
NEW met2 ( 738070 17249 ) ( 738070 29410 )
NEW met2 ( 1069730 29410 ) ( 1069730 872849 )
+ USE SIGNAL ;
- la_oen[60] ( PIN la_oen[60] ) ( mprj la_oen[60] )
+ ROUTED met1 ( 1469470 19550 ) ( 1711430 19550 )
NEW met2 ( 1463030 865949 ) ( 1463030 872849 )
NEW met2 ( 1469470 19550 ) ( 1469470 865949 )
NEW met2 ( 1711430 3449 ) ( 1711430 19550 )
+ USE SIGNAL ;
- la_oen[61] ( PIN la_oen[61] ) ( mprj la_oen[61] )
+ ROUTED met1 ( 1476370 19210 ) ( 1732130 19210 )
NEW met2 ( 1470390 865949 ) ( 1470390 872849 )
NEW met2 ( 1476370 19210 ) ( 1476370 865949 )
NEW met2 ( 1732130 3449 ) ( 1732130 19210 )
+ USE SIGNAL ;
- la_oen[62] ( PIN la_oen[62] ) ( mprj la_oen[62] )
+ ROUTED met1 ( 1483270 18870 ) ( 1745930 18870 )
NEW met2 ( 1476830 865949 ) ( 1476830 872849 )
NEW met2 ( 1483270 18870 ) ( 1483270 865949 )
NEW met2 ( 1745930 3449 ) ( 1745930 18870 )
+ USE SIGNAL ;
- la_oen[63] ( PIN la_oen[63] ) ( mprj la_oen[63] )
+ ROUTED met1 ( 1490170 18530 ) ( 1766630 18530 )
NEW met2 ( 1484190 865949 ) ( 1484190 872849 )
NEW met2 ( 1490170 18530 ) ( 1490170 865949 )
NEW met2 ( 1766630 3449 ) ( 1766630 18530 )
+ USE SIGNAL ;
- la_oen[64] ( PIN la_oen[64] ) ( mprj la_oen[64] )
+ ROUTED met2 ( 1491090 865949 ) ( 1491090 872849 )
NEW met2 ( 1497070 18700 ) ( 1497070 865949 )
NEW met2 ( 1780890 3449 ) ( 1780890 18700 )
NEW met3 ( 1497070 18700 ) ( 1780890 18700 )
+ USE SIGNAL ;
- la_oen[65] ( PIN la_oen[65] ) ( mprj la_oen[65] )
+ ROUTED met1 ( 1503970 18190 ) ( 1801130 18190 )
NEW met2 ( 1497530 865949 ) ( 1497530 872849 )
NEW met2 ( 1503970 18190 ) ( 1503970 865949 )
NEW met2 ( 1801130 3449 ) ( 1801130 18190 )
+ USE SIGNAL ;
- la_oen[66] ( PIN la_oen[66] ) ( mprj la_oen[66] )
+ ROUTED met2 ( 1504430 865949 ) ( 1504430 872849 )
NEW met2 ( 1510870 18020 ) ( 1510870 865949 )
NEW met2 ( 1821830 3449 ) ( 1821830 18020 )
NEW met3 ( 1510870 18020 ) ( 1821830 18020 )
+ USE SIGNAL ;
- la_oen[67] ( PIN la_oen[67] ) ( mprj la_oen[67] )
+ ROUTED met2 ( 1511330 865949 ) ( 1511330 872849 )
NEW met2 ( 1517770 17340 ) ( 1517770 865949 )
NEW met2 ( 1835630 3449 ) ( 1835630 17340 )
NEW met3 ( 1517770 17340 ) ( 1835630 17340 )
+ USE SIGNAL ;
- la_oen[68] ( PIN la_oen[68] ) ( mprj la_oen[68] )
+ ROUTED met1 ( 1524670 17850 ) ( 1856330 17850 )
NEW met2 ( 1518230 865949 ) ( 1518230 872849 )
NEW met2 ( 1524670 17850 ) ( 1524670 865949 )
NEW met2 ( 1856330 3449 ) ( 1856330 17850 )
+ USE SIGNAL ;
- la_oen[69] ( PIN la_oen[69] ) ( mprj la_oen[69] )
+ ROUTED met2 ( 1525130 865949 ) ( 1525130 872849 )
NEW met2 ( 1531570 16660 ) ( 1531570 865949 )
NEW met2 ( 1870590 3449 ) ( 1870590 16660 )
NEW met3 ( 1531570 16660 ) ( 1870590 16660 )
+ USE SIGNAL ;
- la_oen[6] ( PIN la_oen[6] ) ( mprj la_oen[6] )
+ ROUTED met1 ( 758310 29070 ) ( 1077550 29070 )
NEW met2 ( 752790 3449 ) ( 752790 17249 )
NEW met2 ( 758310 17249 ) ( 758310 29070 )
NEW met2 ( 1077550 29070 ) ( 1077550 872849 )
+ USE SIGNAL ;
- la_oen[70] ( PIN la_oen[70] ) ( mprj la_oen[70] )
+ ROUTED met1 ( 1532490 864450 ) ( 1890830 864450 )
NEW met2 ( 1532490 864450 ) ( 1532490 872849 )
NEW met2 ( 1890830 3449 ) ( 1890830 864450 )
+ USE SIGNAL ;
- la_oen[71] ( PIN la_oen[71] ) ( mprj la_oen[71] )
+ ROUTED met1 ( 1545370 17510 ) ( 1911530 17510 )
NEW met2 ( 1539390 865949 ) ( 1539390 872849 )
NEW met2 ( 1545370 17510 ) ( 1545370 865949 )
NEW met2 ( 1911530 3449 ) ( 1911530 17510 )
+ USE SIGNAL ;
- la_oen[72] ( PIN la_oen[72] ) ( mprj la_oen[72] )
+ ROUTED met1 ( 1546290 864790 ) ( 1925330 864790 )
NEW met2 ( 1546290 864790 ) ( 1546290 872849 )
NEW met2 ( 1925330 3449 ) ( 1925330 864790 )
+ USE SIGNAL ;
- la_oen[73] ( PIN la_oen[73] ) ( mprj la_oen[73] )
+ ROUTED met1 ( 1559170 17170 ) ( 1946030 17170 )
NEW met2 ( 1552730 865949 ) ( 1552730 872849 )
NEW met2 ( 1559170 17170 ) ( 1559170 865949 )
NEW met2 ( 1946030 3449 ) ( 1946030 17170 )
+ USE SIGNAL ;
- la_oen[74] ( PIN la_oen[74] ) ( mprj la_oen[74] )
+ ROUTED met1 ( 1572970 14110 ) ( 1959830 14110 )
NEW met2 ( 1567450 865949 ) ( 1567450 872849 )
NEW met2 ( 1572970 14110 ) ( 1572970 865949 )
NEW met2 ( 1959830 3449 ) ( 1959830 14110 )
+ USE SIGNAL ;
- la_oen[75] ( PIN la_oen[75] ) ( mprj la_oen[75] )
+ ROUTED met1 ( 1574350 865130 ) ( 1980530 865130 )
NEW met2 ( 1574350 865130 ) ( 1574350 872849 )
NEW met2 ( 1980530 3449 ) ( 1980530 865130 )
+ USE SIGNAL ;
- la_oen[76] ( PIN la_oen[76] ) ( mprj la_oen[76] )
+ ROUTED met1 ( 1586770 14450 ) ( 2001230 14450 )
NEW met2 ( 1581250 865949 ) ( 1581250 872849 )
NEW met2 ( 1586770 14450 ) ( 1586770 865949 )
NEW met2 ( 2001230 3449 ) ( 2001230 14450 )
+ USE SIGNAL ;
- la_oen[77] ( PIN la_oen[77] ) ( mprj la_oen[77] )
+ ROUTED met1 ( 1587690 865470 ) ( 2015030 865470 )
NEW met2 ( 1587690 865470 ) ( 1587690 872849 )
NEW met2 ( 2015030 3449 ) ( 2015030 865470 )
+ USE SIGNAL ;
- la_oen[78] ( PIN la_oen[78] ) ( mprj la_oen[78] )
+ ROUTED met1 ( 1600570 14790 ) ( 2035730 14790 )
NEW met2 ( 1594590 865949 ) ( 1594590 872849 )
NEW met2 ( 1600570 14790 ) ( 1600570 865949 )
NEW met2 ( 2035730 3449 ) ( 2035730 14790 )
+ USE SIGNAL ;
- la_oen[79] ( PIN la_oen[79] ) ( mprj la_oen[79] )
+ ROUTED met1 ( 1601490 869210 ) ( 2049530 869210 )
NEW met2 ( 1601490 869210 ) ( 1601490 872849 )
NEW met2 ( 2049530 3449 ) ( 2049530 869210 )
+ USE SIGNAL ;
- la_oen[7] ( PIN la_oen[7] ) ( mprj la_oen[7] )
+ ROUTED met1 ( 772570 28730 ) ( 1083990 28730 )
NEW met2 ( 766130 3449 ) ( 766130 17249 )
NEW met2 ( 772570 17249 ) ( 772570 28730 )
NEW met2 ( 1083990 28730 ) ( 1083990 872849 )
+ USE SIGNAL ;
- la_oen[80] ( PIN la_oen[80] ) ( mprj la_oen[80] )
+ ROUTED met1 ( 1614370 15130 ) ( 2070230 15130 )
NEW met2 ( 1607930 865949 ) ( 1607930 872849 )
NEW met2 ( 1614370 15130 ) ( 1614370 865949 )
NEW met2 ( 2070230 3449 ) ( 2070230 15130 )
+ USE SIGNAL ;
- la_oen[81] ( PIN la_oen[81] ) ( mprj la_oen[81] )
+ ROUTED met1 ( 1615290 868870 ) ( 2084030 868870 )
NEW met2 ( 1615290 868870 ) ( 1615290 872849 )
NEW met2 ( 2084030 3449 ) ( 2084030 868870 )
+ USE SIGNAL ;
- la_oen[82] ( PIN la_oen[82] ) ( mprj la_oen[82] )
+ ROUTED met1 ( 1628170 15470 ) ( 2104730 15470 )
NEW met2 ( 1621730 865949 ) ( 1621730 872849 )
NEW met2 ( 1628170 15470 ) ( 1628170 865949 )
NEW met2 ( 2104730 3449 ) ( 2104730 15470 )
+ USE SIGNAL ;
- la_oen[83] ( PIN la_oen[83] ) ( mprj la_oen[83] )
+ ROUTED met1 ( 1629090 868530 ) ( 2125430 868530 )
NEW met2 ( 1629090 868530 ) ( 1629090 872849 )
NEW met2 ( 2125430 3449 ) ( 2125430 868530 )
+ USE SIGNAL ;
- la_oen[84] ( PIN la_oen[84] ) ( mprj la_oen[84] )
+ ROUTED met1 ( 1641970 15810 ) ( 2139230 15810 )
NEW met2 ( 1635530 865949 ) ( 1635530 872849 )
NEW met2 ( 1641970 15810 ) ( 1641970 865949 )
NEW met2 ( 2139230 3449 ) ( 2139230 15810 )
+ USE SIGNAL ;
- la_oen[85] ( PIN la_oen[85] ) ( mprj la_oen[85] )
+ ROUTED met1 ( 1642890 868190 ) ( 2159930 868190 )
NEW met2 ( 1642890 868190 ) ( 1642890 872849 )
NEW met2 ( 2159930 3449 ) ( 2159930 868190 )
+ USE SIGNAL ;
- la_oen[86] ( PIN la_oen[86] ) ( mprj la_oen[86] )
+ ROUTED met1 ( 1655770 16150 ) ( 2173730 16150 )
NEW met2 ( 1649330 865949 ) ( 1649330 872849 )
NEW met2 ( 1655770 16150 ) ( 1655770 865949 )
NEW met2 ( 2173730 3449 ) ( 2173730 16150 )
+ USE SIGNAL ;
- la_oen[87] ( PIN la_oen[87] ) ( mprj la_oen[87] )
+ ROUTED met1 ( 1656690 867850 ) ( 2194430 867850 )
NEW met2 ( 1656690 867850 ) ( 1656690 872849 )
NEW met2 ( 2194430 3449 ) ( 2194430 867850 )
+ USE SIGNAL ;
- la_oen[88] ( PIN la_oen[88] ) ( mprj la_oen[88] )
+ ROUTED met1 ( 1669570 16490 ) ( 2215130 16490 )
NEW met2 ( 1664050 865949 ) ( 1664050 872849 )
NEW met2 ( 1669570 16490 ) ( 1669570 865949 )
NEW met2 ( 2215130 3449 ) ( 2215130 16490 )
+ USE SIGNAL ;
- la_oen[89] ( PIN la_oen[89] ) ( mprj la_oen[89] )
+ ROUTED met1 ( 1670490 867510 ) ( 2228930 867510 )
NEW met2 ( 1670490 867510 ) ( 1670490 872849 )
NEW met2 ( 2228930 3449 ) ( 2228930 867510 )
+ USE SIGNAL ;
- la_oen[8] ( PIN la_oen[8] ) ( mprj la_oen[8] )
+ ROUTED met1 ( 793270 30770 ) ( 1090890 30770 )
NEW met2 ( 786830 3449 ) ( 786830 17249 )
NEW met2 ( 793270 17249 ) ( 793270 30770 )
NEW met2 ( 1090890 30770 ) ( 1090890 872849 )
+ USE SIGNAL ;
- la_oen[90] ( PIN la_oen[90] ) ( mprj la_oen[90] )
+ ROUTED met1 ( 1683370 16830 ) ( 2249630 16830 )
NEW met2 ( 1676930 865949 ) ( 1676930 872849 )
NEW met2 ( 1683370 16830 ) ( 1683370 865949 )
NEW met2 ( 2249630 3449 ) ( 2249630 16830 )
+ USE SIGNAL ;
- la_oen[91] ( PIN la_oen[91] ) ( mprj la_oen[91] )
+ ROUTED met1 ( 1684290 867170 ) ( 2263430 867170 )
NEW met2 ( 1684290 867170 ) ( 1684290 872849 )
NEW met2 ( 2263430 3449 ) ( 2263430 867170 )
+ USE SIGNAL ;
- la_oen[92] ( PIN la_oen[92] ) ( mprj la_oen[92] )
+ ROUTED met1 ( 1697170 20570 ) ( 2284130 20570 )
NEW met2 ( 1691190 865949 ) ( 1691190 872849 )
NEW met2 ( 1697170 20570 ) ( 1697170 865949 )
NEW met2 ( 2284130 3449 ) ( 2284130 20570 )
+ USE SIGNAL ;
- la_oen[93] ( PIN la_oen[93] ) ( mprj la_oen[93] )
+ ROUTED met1 ( 1698090 866830 ) ( 2297930 866830 )
NEW met2 ( 1698090 866830 ) ( 1698090 872849 )
NEW met2 ( 2297930 3449 ) ( 2297930 866830 )
+ USE SIGNAL ;
- la_oen[94] ( PIN la_oen[94] ) ( mprj la_oen[94] )
+ ROUTED met1 ( 1710970 20230 ) ( 2318630 20230 )
NEW met2 ( 1704990 865949 ) ( 1704990 872849 )
NEW met2 ( 1710970 20230 ) ( 1710970 865949 )
NEW met2 ( 2318630 3449 ) ( 2318630 20230 )
+ USE SIGNAL ;
- la_oen[95] ( PIN la_oen[95] ) ( mprj la_oen[95] )
+ ROUTED met1 ( 1711890 866490 ) ( 2339330 866490 )
NEW met2 ( 1711890 866490 ) ( 1711890 872849 )
NEW met2 ( 2339330 3449 ) ( 2339330 866490 )
+ USE SIGNAL ;
- la_oen[96] ( PIN la_oen[96] ) ( mprj la_oen[96] )
+ ROUTED met1 ( 1724770 19890 ) ( 2353130 19890 )
NEW met2 ( 1718790 865949 ) ( 1718790 872849 )
NEW met2 ( 1724770 19890 ) ( 1724770 865949 )
NEW met2 ( 2353130 3449 ) ( 2353130 19890 )
+ USE SIGNAL ;
- la_oen[97] ( PIN la_oen[97] ) ( mprj la_oen[97] )
+ ROUTED met1 ( 1725690 866150 ) ( 2373830 866150 )
NEW met2 ( 1725690 866150 ) ( 1725690 872849 )
NEW met2 ( 2373830 3449 ) ( 2373830 866150 )
+ USE SIGNAL ;
- la_oen[98] ( PIN la_oen[98] ) ( mprj la_oen[98] )
+ ROUTED met1 ( 1738570 19550 ) ( 2387630 19550 )
NEW met2 ( 1732590 865949 ) ( 1732590 872849 )
NEW met2 ( 1738570 19550 ) ( 1738570 865949 )
NEW met2 ( 2387630 3449 ) ( 2387630 19550 )
+ USE SIGNAL ;
- la_oen[99] ( PIN la_oen[99] ) ( mprj la_oen[99] )
+ ROUTED met1 ( 1746390 865810 ) ( 2408330 865810 )
NEW met2 ( 1746390 865810 ) ( 1746390 872849 )
NEW met2 ( 2408330 3449 ) ( 2408330 865810 )
+ USE SIGNAL ;
- la_oen[9] ( PIN la_oen[9] ) ( mprj la_oen[9] )
+ ROUTED met1 ( 807070 31110 ) ( 1097330 31110 )
NEW met2 ( 800630 3449 ) ( 800630 17249 )
NEW met2 ( 807070 17249 ) ( 807070 31110 )
NEW met2 ( 1097330 31110 ) ( 1097330 872849 )
+ USE SIGNAL ;
- user_clock2 ( PIN user_clock2 )
+ USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i )
+ ROUTED met1 ( 6670 23970 ) ( 773490 23970 )
NEW met2 ( 230 3449 ) ( 230 17249 )
NEW met2 ( 6670 17249 ) ( 6670 23970 )
NEW met2 ( 773490 23970 ) ( 773490 872849 )
+ USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i )
+ ROUTED met1 ( 13570 24650 ) ( 773030 24650 )
NEW met2 ( 7130 3449 ) ( 7130 17249 )
NEW met2 ( 13570 17249 ) ( 13570 24650 )
NEW met2 ( 773030 24650 ) ( 773030 872849 )
+ USE SIGNAL ;
- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o )
+ ROUTED met1 ( 20470 24310 ) ( 780390 24310 )
NEW met2 ( 14490 3449 ) ( 14490 17249 )
NEW met2 ( 20470 17249 ) ( 20470 24310 )
NEW met2 ( 780390 24310 ) ( 780390 872849 )
+ USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] )
+ ROUTED met1 ( 41170 24990 ) ( 787750 24990 )
NEW met2 ( 34730 3449 ) ( 34730 17249 )
NEW met2 ( 41170 17249 ) ( 41170 24990 )
NEW met2 ( 787750 24990 ) ( 787750 872849 )
+ USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] )
+ ROUTED met1 ( 241270 27030 ) ( 870090 27030 )
NEW met2 ( 235290 3449 ) ( 235290 17249 )
NEW met2 ( 241270 17249 ) ( 241270 27030 )
NEW met2 ( 870090 27030 ) ( 870090 872849 )
+ USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] )
+ ROUTED met1 ( 261970 27370 ) ( 876530 27370 )
NEW met2 ( 255530 3449 ) ( 255530 17249 )
NEW met2 ( 261970 17249 ) ( 261970 27370 )
NEW met2 ( 876530 27370 ) ( 876530 872849 )
+ USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] )
+ ROUTED met1 ( 282670 23630 ) ( 883430 23630 )
NEW met2 ( 276230 3449 ) ( 276230 17249 )
NEW met2 ( 282670 17249 ) ( 282670 23630 )
NEW met2 ( 883430 23630 ) ( 883430 872849 )
+ USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] )
+ ROUTED met1 ( 296470 23290 ) ( 890330 23290 )
NEW met2 ( 290030 3449 ) ( 290030 17249 )
NEW met2 ( 296470 17249 ) ( 296470 23290 )
NEW met2 ( 890330 23290 ) ( 890330 872849 )
+ USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] )
+ ROUTED met1 ( 317170 22950 ) ( 897230 22950 )
NEW met2 ( 310730 3449 ) ( 310730 17249 )
NEW met2 ( 317170 17249 ) ( 317170 22950 )
NEW met2 ( 897230 22950 ) ( 897230 872849 )
+ USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] )
+ ROUTED met1 ( 330970 22610 ) ( 904590 22610 )
NEW met2 ( 324530 3449 ) ( 324530 17249 )
NEW met2 ( 330970 17249 ) ( 330970 22610 )
NEW met2 ( 904590 22610 ) ( 904590 872849 )
+ USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] )
+ ROUTED met1 ( 351670 22270 ) ( 911490 22270 )
NEW met2 ( 345230 3449 ) ( 345230 17249 )
NEW met2 ( 351670 17249 ) ( 351670 22270 )
NEW met2 ( 911490 22270 ) ( 911490 872849 )
+ USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] )
+ ROUTED met1 ( 365470 21930 ) ( 918390 21930 )
NEW met2 ( 359490 3449 ) ( 359490 17249 )
NEW met2 ( 365470 17249 ) ( 365470 21930 )
NEW met2 ( 918390 21930 ) ( 918390 872849 )
+ USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] )
+ ROUTED met1 ( 386170 21590 ) ( 925290 21590 )
NEW met2 ( 379730 3449 ) ( 379730 17249 )
NEW met2 ( 386170 17249 ) ( 386170 21590 )
NEW met2 ( 925290 21590 ) ( 925290 872849 )
+ USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] )
+ ROUTED met1 ( 406870 21250 ) ( 932190 21250 )
NEW met2 ( 400430 3449 ) ( 400430 17249 )
NEW met2 ( 406870 17249 ) ( 406870 21250 )
NEW met2 ( 932190 21250 ) ( 932190 872849 )
+ USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] )
+ ROUTED met1 ( 68770 25330 ) ( 794190 25330 )
NEW met2 ( 62330 3449 ) ( 62330 17249 )
NEW met2 ( 68770 17249 ) ( 68770 25330 )
NEW met2 ( 794190 25330 ) ( 794190 872849 )
+ USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] )
+ ROUTED met1 ( 420670 32810 ) ( 939090 32810 )
NEW met2 ( 414230 3449 ) ( 414230 17249 )
NEW met2 ( 420670 17249 ) ( 420670 32810 )
NEW met2 ( 939090 32810 ) ( 939090 872849 )
+ USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] )
+ ROUTED met1 ( 441370 33150 ) ( 945990 33150 )
NEW met2 ( 434930 3449 ) ( 434930 17249 )
NEW met2 ( 441370 17249 ) ( 441370 33150 )
NEW met2 ( 945990 33150 ) ( 945990 872849 )
+ USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] )
+ ROUTED met1 ( 455170 33490 ) ( 952890 33490 )
NEW met2 ( 449190 3449 ) ( 449190 17249 )
NEW met2 ( 455170 17249 ) ( 455170 33490 )
NEW met2 ( 952890 33490 ) ( 952890 872849 )
+ USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] )
+ ROUTED met1 ( 475870 40970 ) ( 959790 40970 )
NEW met2 ( 469430 3449 ) ( 469430 17249 )
NEW met2 ( 475870 17249 ) ( 475870 40970 )
NEW met2 ( 959790 40970 ) ( 959790 872849 )
+ USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] )
+ ROUTED met1 ( 496110 37570 ) ( 966690 37570 )
NEW met2 ( 490130 3449 ) ( 490130 17249 )
NEW met2 ( 496110 17249 ) ( 496110 37570 )
NEW met2 ( 966690 37570 ) ( 966690 872849 )
+ USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] )
+ ROUTED met1 ( 510370 37230 ) ( 973590 37230 )
NEW met2 ( 503930 3449 ) ( 503930 17249 )
NEW met2 ( 510370 17249 ) ( 510370 37230 )
NEW met2 ( 973590 37230 ) ( 973590 872849 )
+ USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] )
+ ROUTED met1 ( 531070 36890 ) ( 987390 36890 )
NEW met2 ( 524630 3449 ) ( 524630 17249 )
NEW met2 ( 531070 17249 ) ( 531070 36890 )
NEW met2 ( 987390 36890 ) ( 987390 872849 )
+ USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] )
+ ROUTED met1 ( 544870 36550 ) ( 994750 36550 )
NEW met2 ( 538430 3449 ) ( 538430 17249 )
NEW met2 ( 544870 17249 ) ( 544870 36550 )
NEW met2 ( 994750 36550 ) ( 994750 872849 )
+ USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] )
+ ROUTED met1 ( 565570 36210 ) ( 1001190 36210 )
NEW met2 ( 559130 3449 ) ( 559130 17249 )
NEW met2 ( 565570 17249 ) ( 565570 36210 )
NEW met2 ( 1001190 36210 ) ( 1001190 872849 )
+ USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] )
+ ROUTED met1 ( 585810 35870 ) ( 1008550 35870 )
NEW met2 ( 579830 3449 ) ( 579830 17249 )
NEW met2 ( 585810 17249 ) ( 585810 35870 )
NEW met2 ( 1008550 35870 ) ( 1008550 872849 )
+ USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] )
+ ROUTED met1 ( 89470 25670 ) ( 807530 25670 )
NEW met2 ( 83030 3449 ) ( 83030 17249 )
NEW met2 ( 89470 17249 ) ( 89470 25670 )
NEW met2 ( 807530 25670 ) ( 807530 872849 )
+ USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] )
+ ROUTED met1 ( 600070 35530 ) ( 1015450 35530 )
NEW met2 ( 593630 3449 ) ( 593630 17249 )
NEW met2 ( 600070 17249 ) ( 600070 35530 )
NEW met2 ( 1015450 35530 ) ( 1015450 872849 )
+ USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] )
+ ROUTED met1 ( 620770 35190 ) ( 1021890 35190 )
NEW met2 ( 614330 3449 ) ( 614330 17249 )
NEW met2 ( 620770 17249 ) ( 620770 35190 )
NEW met2 ( 1021890 35190 ) ( 1021890 872849 )
+ USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] )
+ ROUTED met1 ( 110170 26010 ) ( 815350 26010 )
NEW met2 ( 104190 3449 ) ( 104190 17249 )
NEW met2 ( 110170 17249 ) ( 110170 26010 )
NEW met2 ( 815350 26010 ) ( 815350 872849 )
+ USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] )
+ ROUTED met1 ( 137770 26350 ) ( 828690 26350 )
NEW met2 ( 131330 3449 ) ( 131330 17249 )
NEW met2 ( 137770 17249 ) ( 137770 26350 )
NEW met2 ( 828690 26350 ) ( 828690 872849 )
+ USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] )
+ ROUTED met1 ( 151570 26690 ) ( 836050 26690 )
NEW met2 ( 145130 3449 ) ( 145130 17249 )
NEW met2 ( 151570 17249 ) ( 151570 26690 )
NEW met2 ( 836050 26690 ) ( 836050 872849 )
+ USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] )
+ ROUTED met1 ( 172270 31450 ) ( 842490 31450 )
NEW met2 ( 165830 3449 ) ( 165830 17249 )
NEW met2 ( 172270 17249 ) ( 172270 31450 )
NEW met2 ( 842490 31450 ) ( 842490 872849 )
+ USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] )
+ ROUTED met1 ( 192510 31790 ) ( 849390 31790 )
NEW met2 ( 186990 3449 ) ( 186990 17249 )
NEW met2 ( 192510 17249 ) ( 192510 31790 )
NEW met2 ( 849390 31790 ) ( 849390 872849 )
+ USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] )
+ ROUTED met1 ( 206770 32130 ) ( 856290 32130 )
NEW met2 ( 200330 3449 ) ( 200330 17249 )
NEW met2 ( 206770 17249 ) ( 206770 32130 )
NEW met2 ( 856290 32130 ) ( 856290 872849 )
+ USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] )
+ ROUTED met1 ( 227470 32470 ) ( 862730 32470 )
NEW met2 ( 221030 3449 ) ( 221030 17249 )
NEW met2 ( 227470 17249 ) ( 227470 32470 )
NEW met2 ( 862730 32470 ) ( 862730 872849 )
+ USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i )
+ ROUTED met1 ( 20010 30770 ) ( 780850 30770 )
NEW met2 ( 14030 3449 ) ( 14030 17249 )
NEW met2 ( 20010 17249 ) ( 20010 30770 )
NEW met2 ( 780850 30770 ) ( 780850 872849 )
+ USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] )
+ ROUTED met1 ( 48070 31110 ) ( 787290 31110 )
NEW met2 ( 41630 3449 ) ( 41630 17249 )
NEW met2 ( 48070 17249 ) ( 48070 31110 )
NEW met2 ( 787290 31110 ) ( 787290 872849 )
+ USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] )
+ ROUTED met1 ( 248170 37910 ) ( 870550 37910 )
NEW met2 ( 241730 3449 ) ( 241730 17249 )
NEW met2 ( 248170 17249 ) ( 248170 37910 )
NEW met2 ( 870550 37910 ) ( 870550 872849 )
+ USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] )
+ ROUTED met1 ( 268870 38250 ) ( 876990 38250 )
NEW met2 ( 262430 3449 ) ( 262430 17249 )
NEW met2 ( 268870 17249 ) ( 268870 38250 )
NEW met2 ( 876990 38250 ) ( 876990 872849 )
+ USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] )
+ ROUTED met1 ( 282210 38590 ) ( 883890 38590 )
NEW met2 ( 276690 3449 ) ( 276690 17249 )
NEW met2 ( 282210 17249 ) ( 282210 38590 )
NEW met2 ( 883890 38590 ) ( 883890 872849 )
+ USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] )
+ ROUTED met1 ( 303370 38930 ) ( 890790 38930 )
NEW met2 ( 296930 3449 ) ( 296930 17249 )
NEW met2 ( 303370 17249 ) ( 303370 38930 )
NEW met2 ( 890790 38930 ) ( 890790 872849 )
+ USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] )
+ ROUTED met1 ( 324070 39270 ) ( 897690 39270 )
NEW met2 ( 318090 3449 ) ( 318090 17249 )
NEW met2 ( 324070 17249 ) ( 324070 39270 )
NEW met2 ( 897690 39270 ) ( 897690 872849 )
+ USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] )
+ ROUTED met1 ( 337870 39610 ) ( 905050 39610 )
NEW met2 ( 331430 3449 ) ( 331430 17249 )
NEW met2 ( 337870 17249 ) ( 337870 39610 )
NEW met2 ( 905050 39610 ) ( 905050 872849 )
+ USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] )
+ ROUTED met1 ( 358570 39950 ) ( 911950 39950 )
NEW met2 ( 352130 3449 ) ( 352130 17249 )
NEW met2 ( 358570 17249 ) ( 358570 39950 )
NEW met2 ( 911950 39950 ) ( 911950 872849 )
+ USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] )
+ ROUTED met1 ( 372370 40290 ) ( 918850 40290 )
NEW met2 ( 365930 3449 ) ( 365930 17249 )
NEW met2 ( 372370 17249 ) ( 372370 40290 )
NEW met2 ( 918850 40290 ) ( 918850 872849 )
+ USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] )
+ ROUTED met1 ( 393070 40630 ) ( 932650 40630 )
NEW met2 ( 386630 3449 ) ( 386630 17249 )
NEW met2 ( 393070 17249 ) ( 393070 40630 )
NEW met2 ( 932650 40630 ) ( 932650 872849 )
+ USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] )
+ ROUTED met1 ( 413770 769250 ) ( 939550 769250 )
NEW met2 ( 407790 3449 ) ( 407790 17249 )
NEW met2 ( 413770 17249 ) ( 413770 769250 )
NEW met2 ( 939550 769250 ) ( 939550 872849 )
+ USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] )
+ ROUTED met1 ( 68310 51510 ) ( 801090 51510 )
NEW met2 ( 62790 3449 ) ( 62790 17249 )
NEW met2 ( 68310 17249 ) ( 68310 51510 )
NEW met2 ( 801090 51510 ) ( 801090 872849 )
+ USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] )
+ ROUTED met1 ( 427570 762450 ) ( 946450 762450 )
NEW met2 ( 421130 3449 ) ( 421130 17249 )
NEW met2 ( 427570 17249 ) ( 427570 762450 )
NEW met2 ( 946450 762450 ) ( 946450 872849 )
+ USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] )
+ ROUTED met1 ( 448270 755310 ) ( 953350 755310 )
NEW met2 ( 441830 3449 ) ( 441830 17249 )
NEW met2 ( 448270 17249 ) ( 448270 755310 )
NEW met2 ( 953350 755310 ) ( 953350 872849 )
+ USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] )
+ ROUTED met1 ( 462070 748510 ) ( 960250 748510 )
NEW met2 ( 455630 3449 ) ( 455630 17249 )
NEW met2 ( 462070 17249 ) ( 462070 748510 )
NEW met2 ( 960250 748510 ) ( 960250 872849 )
+ USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] )
+ ROUTED met1 ( 482770 845070 ) ( 966230 845070 )
NEW met2 ( 476330 3449 ) ( 476330 17249 )
NEW met2 ( 482770 17249 ) ( 482770 845070 )
NEW met2 ( 966230 845070 ) ( 966230 872849 )
+ USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] )
+ ROUTED met1 ( 496570 741710 ) ( 974050 741710 )
NEW met2 ( 490590 3449 ) ( 490590 17249 )
NEW met2 ( 496570 17249 ) ( 496570 741710 )
NEW met2 ( 974050 741710 ) ( 974050 872849 )
+ USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] )
+ ROUTED met1 ( 517270 734570 ) ( 980490 734570 )
NEW met2 ( 510830 3449 ) ( 510830 17249 )
NEW met2 ( 517270 17249 ) ( 517270 734570 )
NEW met2 ( 980490 734570 ) ( 980490 872849 )
+ USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] )
+ ROUTED met1 ( 537970 727770 ) ( 987850 727770 )
NEW met2 ( 531990 3449 ) ( 531990 17249 )
NEW met2 ( 537970 17249 ) ( 537970 727770 )
NEW met2 ( 987850 727770 ) ( 987850 872849 )
+ USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] )
+ ROUTED met1 ( 551770 720970 ) ( 994290 720970 )
NEW met2 ( 545330 3449 ) ( 545330 17249 )
NEW met2 ( 551770 17249 ) ( 551770 720970 )
NEW met2 ( 994290 720970 ) ( 994290 872849 )
+ USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] )
+ ROUTED met1 ( 572470 859010 ) ( 1000730 859010 )
NEW met2 ( 566030 3449 ) ( 566030 17249 )
NEW met2 ( 572470 17249 ) ( 572470 859010 )
NEW met2 ( 1000730 859010 ) ( 1000730 872849 )
+ USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] )
+ ROUTED met1 ( 586270 714170 ) ( 1008090 714170 )
NEW met2 ( 580290 3449 ) ( 580290 17249 )
NEW met2 ( 586270 17249 ) ( 586270 714170 )
NEW met2 ( 1008090 714170 ) ( 1008090 872849 )
+ USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] )
+ ROUTED met1 ( 89930 17850 ) ( 106950 17850 )
NEW met1 ( 106950 810730 ) ( 807990 810730 )
NEW met2 ( 89930 3449 ) ( 89930 17850 )
NEW met2 ( 106950 17850 ) ( 106950 810730 )
NEW met2 ( 807990 810730 ) ( 807990 872849 )
+ USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] )
+ ROUTED met1 ( 606970 707030 ) ( 1014990 707030 )
NEW met2 ( 600530 3449 ) ( 600530 17249 )
NEW met2 ( 606970 17249 ) ( 606970 707030 )
NEW met2 ( 1014990 707030 ) ( 1014990 872849 )
+ USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] )
+ ROUTED met1 ( 627670 700230 ) ( 1022350 700230 )
NEW met2 ( 621230 3449 ) ( 621230 17249 )
NEW met2 ( 627670 17249 ) ( 627670 700230 )
NEW met2 ( 1022350 700230 ) ( 1022350 872849 )
+ USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] )
+ ROUTED met1 ( 117070 58650 ) ( 821790 58650 )
NEW met2 ( 110630 3449 ) ( 110630 17249 )
NEW met2 ( 117070 17249 ) ( 117070 58650 )
NEW met2 ( 821790 58650 ) ( 821790 872849 )
+ USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] )
+ ROUTED met1 ( 138230 17850 ) ( 155250 17850 )
NEW met1 ( 155250 817530 ) ( 829150 817530 )
NEW met2 ( 138230 3449 ) ( 138230 17850 )
NEW met2 ( 155250 17850 ) ( 155250 817530 )
NEW met2 ( 829150 817530 ) ( 829150 872849 )
+ USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] )
+ ROUTED met1 ( 152030 18190 ) ( 162150 18190 )
NEW met1 ( 162150 838270 ) ( 835590 838270 )
NEW met2 ( 152030 3449 ) ( 152030 18190 )
NEW met2 ( 162150 18190 ) ( 162150 838270 )
NEW met2 ( 835590 838270 ) ( 835590 872849 )
+ USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] )
+ ROUTED met1 ( 172730 17850 ) ( 182850 17850 )
NEW met1 ( 182850 851870 ) ( 842030 851870 )
NEW met2 ( 172730 3449 ) ( 172730 17850 )
NEW met2 ( 182850 17850 ) ( 182850 851870 )
NEW met2 ( 842030 851870 ) ( 842030 872849 )
+ USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] )
+ ROUTED met1 ( 192970 831470 ) ( 849850 831470 )
NEW met2 ( 186530 3449 ) ( 186530 17249 )
NEW met2 ( 192970 17249 ) ( 192970 831470 )
NEW met2 ( 849850 831470 ) ( 849850 872849 )
+ USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] )
+ ROUTED met1 ( 213670 776050 ) ( 856750 776050 )
NEW met2 ( 207230 3449 ) ( 207230 17249 )
NEW met2 ( 213670 17249 ) ( 213670 776050 )
NEW met2 ( 856750 776050 ) ( 856750 872849 )
+ USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] )
+ ROUTED met1 ( 234370 693430 ) ( 863190 693430 )
NEW met2 ( 227930 3449 ) ( 227930 17249 )
NEW met2 ( 234370 17249 ) ( 234370 693430 )
NEW met2 ( 863190 693430 ) ( 863190 872849 )
+ USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] )
+ ROUTED met1 ( 48530 17510 ) ( 58650 17510 )
NEW met1 ( 58650 789990 ) ( 794650 789990 )
NEW met2 ( 48530 3449 ) ( 48530 17510 )
NEW met2 ( 58650 17510 ) ( 58650 789990 )
NEW met2 ( 794650 789990 ) ( 794650 872849 )
+ USE SIGNAL ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] )
+ ROUTED met1 ( 255070 783190 ) ( 877450 783190 )
NEW met2 ( 248630 3449 ) ( 248630 17249 )
NEW met2 ( 255070 17249 ) ( 255070 783190 )
NEW met2 ( 877450 783190 ) ( 877450 872849 )
+ USE SIGNAL ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] )
+ ROUTED met1 ( 275770 803590 ) ( 884350 803590 )
NEW met2 ( 269330 3449 ) ( 269330 17249 )
NEW met2 ( 275770 17249 ) ( 275770 803590 )
NEW met2 ( 884350 803590 ) ( 884350 872849 )
+ USE SIGNAL ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] )
+ ROUTED met1 ( 289570 686290 ) ( 891250 686290 )
NEW met2 ( 283130 3449 ) ( 283130 17249 )
NEW met2 ( 289570 17249 ) ( 289570 686290 )
NEW met2 ( 891250 686290 ) ( 891250 872849 )
+ USE SIGNAL ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] )
+ ROUTED met1 ( 310270 672690 ) ( 898150 672690 )
NEW met2 ( 303830 3449 ) ( 303830 17249 )
NEW met2 ( 310270 17249 ) ( 310270 672690 )
NEW met2 ( 898150 672690 ) ( 898150 872849 )
+ USE SIGNAL ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] )
+ ROUTED met1 ( 317630 18870 ) ( 904130 18870 )
NEW met2 ( 317630 3449 ) ( 317630 18870 )
NEW met2 ( 904130 18870 ) ( 904130 872849 )
+ USE SIGNAL ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] )
+ ROUTED met1 ( 338330 19210 ) ( 911030 19210 )
NEW met2 ( 338330 3449 ) ( 338330 19210 )
NEW met2 ( 911030 19210 ) ( 911030 872849 )
+ USE SIGNAL ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] )
+ ROUTED met1 ( 359030 19550 ) ( 917930 19550 )
NEW met2 ( 359030 3449 ) ( 359030 19550 )
NEW met2 ( 917930 19550 ) ( 917930 872849 )
+ USE SIGNAL ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] )
+ ROUTED met1 ( 372830 19890 ) ( 924830 19890 )
NEW met2 ( 372830 3449 ) ( 372830 19890 )
NEW met2 ( 924830 19890 ) ( 924830 872849 )
+ USE SIGNAL ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] )
+ ROUTED met1 ( 393530 20230 ) ( 931730 20230 )
NEW met2 ( 393530 3449 ) ( 393530 20230 )
NEW met2 ( 931730 20230 ) ( 931730 872849 )
+ USE SIGNAL ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] )
+ ROUTED met1 ( 407330 20570 ) ( 938630 20570 )
NEW met2 ( 407330 3449 ) ( 407330 20570 )
NEW met2 ( 938630 20570 ) ( 938630 872849 )
+ USE SIGNAL ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] )
+ ROUTED met1 ( 69230 17170 ) ( 93150 17170 )
NEW met1 ( 93150 866150 ) ( 801550 866150 )
NEW met2 ( 69230 3449 ) ( 69230 17170 )
NEW met2 ( 93150 17170 ) ( 93150 866150 )
NEW met2 ( 801550 866150 ) ( 801550 872849 )
+ USE SIGNAL ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] )
+ ROUTED met1 ( 428030 16830 ) ( 945530 16830 )
NEW met2 ( 428030 3449 ) ( 428030 16830 )
NEW met2 ( 945530 16830 ) ( 945530 872849 )
+ USE SIGNAL ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] )
+ ROUTED met1 ( 448730 16490 ) ( 953810 16490 )
NEW met2 ( 448730 3449 ) ( 448730 16490 )
NEW met2 ( 953810 16490 ) ( 953810 872849 )
+ USE SIGNAL ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] )
+ ROUTED met1 ( 462530 16150 ) ( 960710 16150 )
NEW met2 ( 462530 3449 ) ( 462530 16150 )
NEW met2 ( 960710 16150 ) ( 960710 872849 )
+ USE SIGNAL ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] )
+ ROUTED met1 ( 483230 15810 ) ( 967150 15810 )
NEW met2 ( 483230 3449 ) ( 483230 15810 )
NEW met2 ( 967150 15810 ) ( 967150 872849 )
+ USE SIGNAL ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] )
+ ROUTED met1 ( 497030 15470 ) ( 973130 15470 )
NEW met2 ( 497030 3449 ) ( 497030 15470 )
NEW met2 ( 973130 15470 ) ( 973130 872849 )
+ USE SIGNAL ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] )
+ ROUTED met1 ( 517730 15130 ) ( 980950 15130 )
NEW met2 ( 517730 3449 ) ( 517730 15130 )
NEW met2 ( 980950 15130 ) ( 980950 872849 )
+ USE SIGNAL ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] )
+ ROUTED met1 ( 531530 14790 ) ( 988310 14790 )
NEW met2 ( 531530 3449 ) ( 531530 14790 )
NEW met2 ( 988310 14790 ) ( 988310 872849 )
+ USE SIGNAL ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] )
+ ROUTED met1 ( 558670 867850 ) ( 993830 867850 )
NEW met2 ( 552230 3449 ) ( 552230 17249 )
NEW met2 ( 558670 17249 ) ( 558670 867850 )
NEW met2 ( 993830 867850 ) ( 993830 872849 )
+ USE SIGNAL ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] )
+ ROUTED met1 ( 572930 14450 ) ( 1001650 14450 )
NEW met2 ( 572930 3449 ) ( 572930 14450 )
NEW met2 ( 1001650 14450 ) ( 1001650 872849 )
+ USE SIGNAL ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] )
+ ROUTED met1 ( 593170 868190 ) ( 1007630 868190 )
NEW met2 ( 586730 3449 ) ( 586730 17249 )
NEW met2 ( 593170 17249 ) ( 593170 868190 )
NEW met2 ( 1007630 868190 ) ( 1007630 872849 )
+ USE SIGNAL ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] )
+ ROUTED met2 ( 96830 3449 ) ( 96830 18020 )
NEW met2 ( 814430 18020 ) ( 814430 872849 )
NEW met3 ( 96830 18020 ) ( 814430 18020 )
+ USE SIGNAL ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] )
+ ROUTED met1 ( 613870 868530 ) ( 1014530 868530 )
NEW met2 ( 607430 3449 ) ( 607430 17249 )
NEW met2 ( 613870 17249 ) ( 613870 868530 )
NEW met2 ( 1014530 868530 ) ( 1014530 872849 )
+ USE SIGNAL ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] )
+ ROUTED met1 ( 621690 14110 ) ( 1021430 14110 )
NEW met2 ( 621690 3449 ) ( 621690 14110 )
NEW met2 ( 1021430 14110 ) ( 1021430 872849 )
+ USE SIGNAL ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] )
+ ROUTED met1 ( 117530 17170 ) ( 141450 17170 )
NEW met1 ( 141450 866490 ) ( 822250 866490 )
NEW met2 ( 117530 3449 ) ( 117530 17170 )
NEW met2 ( 141450 17170 ) ( 141450 866490 )
NEW met2 ( 822250 866490 ) ( 822250 872849 )
+ USE SIGNAL ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] )
+ ROUTED met1 ( 145590 17170 ) ( 829610 17170 )
NEW met2 ( 145590 3449 ) ( 145590 17170 )
NEW met2 ( 829610 17170 ) ( 829610 872849 )
+ USE SIGNAL ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] )
+ ROUTED met1 ( 158930 17510 ) ( 175950 17510 )
NEW met1 ( 175950 867510 ) ( 835130 867510 )
NEW met2 ( 158930 3449 ) ( 158930 17510 )
NEW met2 ( 175950 17510 ) ( 175950 867510 )
NEW met2 ( 835130 867510 ) ( 835130 872849 )
+ USE SIGNAL ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] )
+ ROUTED met1 ( 179630 17510 ) ( 842950 17510 )
NEW met2 ( 179630 3449 ) ( 179630 17510 )
NEW met2 ( 842950 17510 ) ( 842950 872849 )
+ USE SIGNAL ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] )
+ ROUTED met1 ( 193430 17850 ) ( 848930 17850 )
NEW met2 ( 193430 3449 ) ( 193430 17850 )
NEW met2 ( 848930 17850 ) ( 848930 872849 )
+ USE SIGNAL ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] )
+ ROUTED met1 ( 214130 18190 ) ( 855830 18190 )
NEW met2 ( 214130 3449 ) ( 214130 18190 )
NEW met2 ( 855830 18190 ) ( 855830 872849 )
+ USE SIGNAL ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] )
+ ROUTED met1 ( 234830 18530 ) ( 869630 18530 )
NEW met2 ( 234830 3449 ) ( 234830 18530 )
NEW met2 ( 869630 18530 ) ( 869630 872849 )
+ USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] )
+ ROUTED met2 ( 55430 3449 ) ( 55430 17340 )
NEW met2 ( 795110 17340 ) ( 795110 872849 )
NEW met3 ( 55430 17340 ) ( 795110 17340 )
+ USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] )
+ ROUTED met1 ( 76130 17510 ) ( 100050 17510 )
NEW met1 ( 100050 866830 ) ( 800630 866830 )
NEW met2 ( 76130 3449 ) ( 76130 17510 )
NEW met2 ( 100050 17510 ) ( 100050 866830 )
NEW met2 ( 800630 866830 ) ( 800630 872849 )
+ USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] )
+ ROUTED met2 ( 103730 3449 ) ( 103730 18700 )
NEW met2 ( 814890 18700 ) ( 814890 872849 )
NEW met3 ( 103730 18700 ) ( 814890 18700 )
+ USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] )
+ ROUTED met1 ( 124430 17510 ) ( 148350 17510 )
NEW met1 ( 148350 867170 ) ( 821330 867170 )
NEW met2 ( 124430 3449 ) ( 124430 17510 )
NEW met2 ( 148350 17510 ) ( 148350 867170 )
NEW met2 ( 821330 867170 ) ( 821330 872849 )
+ USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i )
+ ROUTED met2 ( 20930 3449 ) ( 20930 16660 )
NEW met2 ( 781310 16660 ) ( 781310 872849 )
NEW met3 ( 20930 16660 ) ( 781310 16660 )
+ USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i )
+ ROUTED met1 ( 27830 17170 ) ( 51750 17170 )
NEW met1 ( 51750 865810 ) ( 786830 865810 )
NEW met2 ( 27830 3449 ) ( 27830 17170 )
NEW met2 ( 51750 17170 ) ( 51750 865810 )
NEW met2 ( 786830 865810 ) ( 786830 872849 )
+ USE SIGNAL ;
END NETS
END DESIGN