blob: c0171abc9ac0e7f7147a925b9f7bb1d30c3f489f [file] [log] [blame]
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
17.01 62.23 ^ _35766_/X (sky130_fd_sc_hd__mux2_1)
0.58 62.81 ^ _23657_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.81 ^ _40422_/D (sky130_fd_sc_hd__dfxtp_4)
62.81 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40422_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.81 data arrival time
---------------------------------------------------------
-42.96 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40544_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
17.00 62.22 ^ _35390_/X (sky130_fd_sc_hd__mux2_1)
0.58 62.80 ^ _23485_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.80 ^ _40544_/D (sky130_fd_sc_hd__dfxtp_4)
62.80 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40544_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.80 data arrival time
---------------------------------------------------------
-42.95 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39782_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.89 62.11 ^ _36406_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.38 v _24568_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.79 ^ _24569_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.79 ^ _39782_/D (sky130_fd_sc_hd__dfxtp_4)
62.79 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39782_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.79 data arrival time
---------------------------------------------------------
-42.94 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39787_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.89 62.11 ^ _36411_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.38 v _24556_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.79 ^ _24557_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.79 ^ _39787_/D (sky130_fd_sc_hd__dfxtp_4)
62.79 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39787_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.79 data arrival time
---------------------------------------------------------
-42.94 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39786_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.87 62.09 ^ _36410_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.36 v _24558_/Y (sky130_fd_sc_hd__inv_2)
0.42 62.78 ^ _24560_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.78 ^ _39786_/D (sky130_fd_sc_hd__dfxtp_4)
62.78 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39786_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.78 data arrival time
---------------------------------------------------------
-42.93 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39783_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.87 62.09 ^ _36407_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.36 v _24565_/Y (sky130_fd_sc_hd__inv_2)
0.42 62.78 ^ _24567_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.78 ^ _39783_/D (sky130_fd_sc_hd__dfxtp_4)
62.78 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39783_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.78 data arrival time
---------------------------------------------------------
-42.93 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39784_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.87 62.09 ^ _36408_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.36 v _24563_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.78 ^ _24564_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.78 ^ _39784_/D (sky130_fd_sc_hd__dfxtp_4)
62.78 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39784_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.78 data arrival time
---------------------------------------------------------
-42.92 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39781_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.87 62.09 ^ _36405_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.36 v _24570_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.77 ^ _24571_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.77 ^ _39781_/D (sky130_fd_sc_hd__dfxtp_4)
62.77 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39781_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.77 data arrival time
---------------------------------------------------------
-42.92 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39780_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.87 62.09 ^ _36404_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.35 v _24572_/Y (sky130_fd_sc_hd__inv_2)
0.42 62.77 ^ _24575_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.77 ^ _39780_/D (sky130_fd_sc_hd__dfxtp_4)
62.77 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39780_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.77 data arrival time
---------------------------------------------------------
-42.92 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39785_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.86 62.08 ^ _36409_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.35 v _24561_/Y (sky130_fd_sc_hd__inv_2)
0.42 62.77 ^ _24562_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.77 ^ _39785_/D (sky130_fd_sc_hd__dfxtp_4)
62.77 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39785_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.77 data arrival time
---------------------------------------------------------
-42.92 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39775_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.87 62.09 ^ _36399_/X (sky130_fd_sc_hd__mux2_1)
0.26 62.35 v _24585_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.77 ^ _24586_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.77 ^ _39775_/D (sky130_fd_sc_hd__dfxtp_4)
62.77 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39775_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.77 data arrival time
---------------------------------------------------------
-42.91 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41190_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.95 62.17 ^ _34466_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.76 ^ _22583_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.76 ^ _41190_/D (sky130_fd_sc_hd__dfxtp_4)
62.76 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41190_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.76 data arrival time
---------------------------------------------------------
-42.91 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39779_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.86 62.08 ^ _36403_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.34 v _24576_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.76 ^ _24577_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.76 ^ _39779_/D (sky130_fd_sc_hd__dfxtp_4)
62.76 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39779_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.76 data arrival time
---------------------------------------------------------
-42.90 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39777_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.85 62.07 ^ _36401_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.33 v _24580_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.75 ^ _24582_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.75 ^ _39777_/D (sky130_fd_sc_hd__dfxtp_4)
62.75 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39777_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.75 data arrival time
---------------------------------------------------------
-42.90 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42342_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.94 62.16 ^ _39213_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.75 ^ _20283_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.75 ^ _42342_/D (sky130_fd_sc_hd__dfxtp_4)
62.75 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42342_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.75 data arrival time
---------------------------------------------------------
-42.90 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41448_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.94 62.16 ^ _39385_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.75 ^ _22222_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.75 ^ _41448_/D (sky130_fd_sc_hd__dfxtp_4)
62.75 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41448_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.75 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39778_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.84 62.06 ^ _36402_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.33 v _24578_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.74 ^ _24579_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.74 ^ _39778_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39778_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _39776_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.84 62.06 ^ _36400_/X (sky130_fd_sc_hd__mux2_1)
0.27 62.33 v _24583_/Y (sky130_fd_sc_hd__inv_2)
0.41 62.74 ^ _24584_/X (sky130_fd_sc_hd__a2bb2o_4)
0.00 62.74 ^ _39776_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _39776_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42333_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.94 62.16 ^ _39186_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _20296_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _42333_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42333_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40289_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.94 62.15 ^ _35889_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _23844_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _40289_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40289_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41188_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _34464_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _22586_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _41188_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41188_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40420_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _35764_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _23661_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _40420_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40420_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40423_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _35767_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _23656_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _40423_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40423_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41189_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _34465_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _22585_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _41189_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41189_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41195_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _34472_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _22576_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _41195_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41195_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42345_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _39222_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.74 ^ _20279_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.74 ^ _42345_/D (sky130_fd_sc_hd__dfxtp_4)
62.74 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42345_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.74 data arrival time
---------------------------------------------------------
-42.89 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41451_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _39388_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22218_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41451_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41451_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41194_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.93 62.15 ^ _34471_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22577_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41194_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41194_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42344_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39219_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _20280_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _42344_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42344_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41446_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39383_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22225_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41446_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41446_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41191_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _34468_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22582_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41191_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41191_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42346_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39225_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _20277_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _42346_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42346_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42347_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39228_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _20276_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _42347_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42347_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41449_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39386_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22221_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41449_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41449_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40424_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _35768_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _23654_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _40424_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40424_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40427_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _35771_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _23651_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _40427_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40427_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40419_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _35763_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _23662_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _40419_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40419_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40545_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _35391_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _23484_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _40545_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40545_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41187_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _34463_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22587_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41187_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41187_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41193_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _34470_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22578_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41193_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41193_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41447_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39384_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22224_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41447_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41447_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41445_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39382_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22226_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41445_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41445_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.88 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41182_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _34458_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22594_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41182_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41182_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41323_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39516_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.73 ^ _22398_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.73 ^ _41323_/D (sky130_fd_sc_hd__dfxtp_4)
62.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41323_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.73 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42343_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _39216_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _20282_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _42343_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42343_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41320_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.13 ^ _39513_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22403_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41320_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41320_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41186_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.13 ^ _34462_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22588_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41186_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41186_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40552_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.92 62.14 ^ _35398_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23475_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40552_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40552_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41192_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _34469_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22579_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41192_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41192_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40547_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35393_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23482_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40547_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40547_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40425_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35769_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23653_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40425_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40425_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40418_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35762_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23663_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40418_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40418_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42339_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39204_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _20287_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _42339_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42339_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40299_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35899_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23828_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40299_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40299_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40555_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35401_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23471_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40555_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40555_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41185_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _34461_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22590_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41185_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41185_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41321_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39514_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22402_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41321_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41321_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40298_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35898_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23829_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40298_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40298_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40421_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35765_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23660_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40421_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40421_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42337_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39198_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _20291_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _42337_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42337_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41450_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39387_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22219_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41450_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41450_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40551_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35397_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23476_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40551_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40551_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41434_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39371_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22242_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41434_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41434_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42341_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39210_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _20284_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _42341_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42341_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42329_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39174_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _20301_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _42329_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42329_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40550_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35396_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23477_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40550_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40550_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41444_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39381_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _22227_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _41444_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41444_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40553_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35399_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _23474_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _40553_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40553_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.87 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40290_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35890_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23842_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40290_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40290_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40426_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.12 ^ _35770_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23652_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40426_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40426_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41315_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39508_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22410_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41315_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41315_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40810_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35129_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23115_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40810_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40810_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42334_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39189_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.72 ^ _20294_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.72 ^ _42334_/D (sky130_fd_sc_hd__dfxtp_4)
62.72 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42334_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.72 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42338_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39201_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _20288_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _42338_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42338_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41322_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39515_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22399_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41322_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41322_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40168_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.12 ^ _36024_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _24013_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40168_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40168_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41319_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _39512_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22404_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41319_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41319_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40296_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _35896_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23832_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40296_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40296_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40679_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.12 ^ _35259_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23299_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40679_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40679_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41184_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.13 ^ _34460_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22591_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41184_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41184_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40678_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.12 ^ _35258_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23300_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40678_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40678_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41317_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39510_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22407_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41317_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41317_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42331_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.12 ^ _39180_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _20299_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _42331_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42331_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40680_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _35261_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23297_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40680_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40680_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41443_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39380_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22230_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41443_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41443_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41314_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.91 62.12 ^ _39507_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22411_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41314_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41314_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40294_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _35894_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23835_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40294_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40294_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40297_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _35897_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23831_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40297_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40297_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41442_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39379_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22231_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41442_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41442_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40292_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _35892_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23837_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40292_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40292_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40171_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _36027_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _24008_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40171_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40171_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40554_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _35400_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23472_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40554_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40554_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41318_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39511_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22405_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41318_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41318_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42330_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39177_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _20300_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _42330_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42330_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41437_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39374_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22238_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41437_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41437_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40039_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _36151_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _24194_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40039_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40039_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _41436_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39373_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _22239_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _41436_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _41436_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40683_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _35264_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23293_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40683_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40683_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _40682_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _35263_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _23294_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _40682_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _40682_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)
Startpoint: _42422_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _42340_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _42422_/CLK (sky130_fd_sc_hd__dfxtp_4)
2.62 2.62 ^ _42422_/Q (sky130_fd_sc_hd__dfxtp_4)
1.09 3.71 ^ _19748_/X (sky130_fd_sc_hd__buf_2)
41.51 45.22 ^ _24800_/X (sky130_fd_sc_hd__buf_2)
16.90 62.12 ^ _39207_/X (sky130_fd_sc_hd__mux2_1)
0.59 62.71 ^ _20285_/X (sky130_fd_sc_hd__o22a_4)
0.00 62.71 ^ _42340_/D (sky130_fd_sc_hd__dfxtp_4)
62.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _42340_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.15 19.85 library setup time
19.85 data required time
---------------------------------------------------------
19.85 data required time
-62.71 data arrival time
---------------------------------------------------------
-42.86 slack (VIOLATED)