blob: bbcde94d3e1b93003266e5ec1c3285e4f1c71368 [file] [log] [blame]
PINS 636 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2844780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3079380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3313980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 264180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3483300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3195660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2908700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2621060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2334100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2046460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 498780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 1759500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 733380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 967980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1202580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1437180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1671780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1906380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2140980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 88060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2434060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2669340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2903940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3138540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3373140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3411220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3124260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2836620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2549660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2262020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1975060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 557260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1687420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1471860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1256300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1040740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 825180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 610300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 394740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 179180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 791860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1026460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1261060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1495660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1730260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1964860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2199460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 205020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2551700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2786300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3020900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3255500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3490100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 439620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3267740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2980100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2693140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2405500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2118540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1830900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 674220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1543940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1328380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1112820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 897260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 681700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 466140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 250580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 35700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 909500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1144100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1378700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1613300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1847900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2082500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2317100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 146540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2493220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2727820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2962420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3197020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3431620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 381140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3339820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3052180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2765220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2477580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2189940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1902980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 615740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1615340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1400460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1184900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 969340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 753780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 538220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 107100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 850340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1084940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1319540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1554140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1789420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2024020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2258620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
END PINS