blob: 686651005e64ab15d7070506492a139aaca81f15 [file] [log] [blame]
module gpio_control_block (mgmt_gpio_in,
mgmt_gpio_oeb,
mgmt_gpio_out,
pad_gpio_ana_en,
pad_gpio_ana_pol,
pad_gpio_ana_sel,
pad_gpio_holdover,
pad_gpio_ib_mode_sel,
pad_gpio_in,
pad_gpio_inenb,
pad_gpio_out,
pad_gpio_outenb,
pad_gpio_slow_sel,
pad_gpio_vtrip_sel,
resetn,
serial_clock,
serial_data_in,
serial_data_out,
user_gpio_in,
user_gpio_oeb,
user_gpio_out,
VPWR,
VGND,
pad_gpio_dm);
output mgmt_gpio_in;
input mgmt_gpio_oeb;
input mgmt_gpio_out;
output pad_gpio_ana_en;
output pad_gpio_ana_pol;
output pad_gpio_ana_sel;
output pad_gpio_holdover;
output pad_gpio_ib_mode_sel;
input pad_gpio_in;
output pad_gpio_inenb;
output pad_gpio_out;
output pad_gpio_outenb;
output pad_gpio_slow_sel;
output pad_gpio_vtrip_sel;
input resetn;
input serial_clock;
input serial_data_in;
output serial_data_out;
output user_gpio_in;
input user_gpio_oeb;
input user_gpio_out;
input VPWR;
input VGND;
output [2:0] pad_gpio_dm;
sky130_fd_sc_hd__or2_4 _039_ (.A(clknet_1_0_0_serial_clock),
.B(resetn),
.X(_027_));
sky130_fd_sc_hd__buf_2 _040_ (.A(_027_),
.X(_028_));
sky130_fd_sc_hd__buf_2 _041_ (.A(_028_),
.X(_025_));
sky130_fd_sc_hd__buf_2 _042_ (.A(_025_),
.X(_024_));
sky130_fd_sc_hd__buf_2 _043_ (.A(_025_),
.X(_023_));
sky130_fd_sc_hd__buf_2 _044_ (.A(_025_),
.X(_022_));
sky130_fd_sc_hd__buf_2 _045_ (.A(_025_),
.X(_021_));
sky130_fd_sc_hd__buf_2 _046_ (.A(_028_),
.X(_029_));
sky130_fd_sc_hd__buf_2 _047_ (.A(_029_),
.X(_020_));
sky130_fd_sc_hd__buf_2 _048_ (.A(_029_),
.X(_019_));
sky130_fd_sc_hd__buf_2 _049_ (.A(_029_),
.X(_018_));
sky130_fd_sc_hd__buf_2 _050_ (.A(_029_),
.X(_017_));
sky130_fd_sc_hd__buf_2 _051_ (.A(_029_),
.X(_016_));
sky130_fd_sc_hd__buf_2 _052_ (.A(_028_),
.X(_030_));
sky130_fd_sc_hd__buf_2 _053_ (.A(_030_),
.X(_015_));
sky130_fd_sc_hd__buf_2 _054_ (.A(_030_),
.X(_014_));
sky130_fd_sc_hd__buf_2 _055_ (.A(_030_),
.X(_013_));
sky130_fd_sc_hd__buf_2 _056_ (.A(_030_),
.X(_012_));
sky130_fd_sc_hd__buf_2 _057_ (.A(_030_),
.X(_011_));
sky130_fd_sc_hd__buf_2 _058_ (.A(_028_),
.X(_031_));
sky130_fd_sc_hd__buf_2 _059_ (.A(_031_),
.X(_010_));
sky130_fd_sc_hd__buf_2 _060_ (.A(_031_),
.X(_009_));
sky130_fd_sc_hd__buf_2 _061_ (.A(_031_),
.X(_008_));
sky130_fd_sc_hd__buf_2 _062_ (.A(_031_),
.X(_007_));
sky130_fd_sc_hd__buf_2 _063_ (.A(_031_),
.X(_006_));
sky130_fd_sc_hd__buf_2 _064_ (.A(_027_),
.X(_032_));
sky130_fd_sc_hd__buf_2 _065_ (.A(_032_),
.X(_005_));
sky130_fd_sc_hd__buf_2 _066_ (.A(_032_),
.X(_004_));
sky130_fd_sc_hd__buf_2 _067_ (.A(_032_),
.X(_003_));
sky130_fd_sc_hd__buf_2 _068_ (.A(_032_),
.X(_002_));
sky130_fd_sc_hd__buf_2 _069_ (.A(_032_),
.X(_001_));
sky130_fd_sc_hd__inv_2 _070_ (.A(mgmt_ena),
.Y(_033_));
sky130_fd_sc_hd__a32o_4 _071_ (.A1(gpio_outenb),
.A2(mgmt_gpio_oeb),
.A3(mgmt_ena),
.B1(user_gpio_oeb),
.B2(_033_),
.X(pad_gpio_outenb));
sky130_fd_sc_hd__inv_2 _072_ (.A(pad_gpio_dm[2]),
.Y(_034_));
sky130_fd_sc_hd__and3_4 _073_ (.A(mgmt_gpio_oeb),
.B(_034_),
.C(pad_gpio_dm[1]),
.X(_035_));
sky130_fd_sc_hd__or2_4 _074_ (.A(mgmt_gpio_out),
.B(_035_),
.X(_036_));
sky130_fd_sc_hd__nand2_4 _075_ (.A(pad_gpio_dm[0]),
.B(_035_),
.Y(_037_));
sky130_fd_sc_hd__a32o_4 _076_ (.A1(mgmt_ena),
.A2(_036_),
.A3(_037_),
.B1(_033_),
.B2(user_gpio_out),
.X(pad_gpio_out));
sky130_fd_sc_hd__nand2_4 _077_ (.A(_033_),
.B(pad_gpio_in),
.Y(_000_));
sky130_fd_sc_hd__inv_2 _078_ (.A(resetn),
.Y(_038_));
sky130_fd_sc_hd__and2_4 _079_ (.A(clknet_1_0_0_serial_clock),
.B(_038_),
.X(load_data));
sky130_fd_sc_hd__and2_4 _080_ (.A(mgmt_ena),
.B(pad_gpio_in),
.X(mgmt_gpio_in));
sky130_fd_sc_hd__buf_2 _081_ (.A(_028_),
.X(_026_));
sky130_fd_sc_hd__dfstp_4 _082_ (.D(\shift_register[0] ),
.Q(mgmt_ena),
.SET_B(_001_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _083_ (.D(\shift_register[2] ),
.Q(pad_gpio_holdover),
.RESET_B(_002_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _084_ (.D(\shift_register[8] ),
.Q(pad_gpio_slow_sel),
.RESET_B(_003_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _085_ (.D(\shift_register[9] ),
.Q(pad_gpio_vtrip_sel),
.RESET_B(_004_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _086_ (.D(\shift_register[3] ),
.Q(pad_gpio_inenb),
.RESET_B(_005_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _087_ (.D(\shift_register[4] ),
.Q(pad_gpio_ib_mode_sel),
.RESET_B(_006_),
.CLK(load_data));
sky130_fd_sc_hd__dfstp_4 _088_ (.D(\shift_register[1] ),
.Q(gpio_outenb),
.SET_B(_007_),
.CLK(load_data));
sky130_fd_sc_hd__dfstp_4 _089_ (.D(\shift_register[10] ),
.Q(pad_gpio_dm[0]),
.SET_B(_008_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _090_ (.D(\shift_register[11] ),
.Q(pad_gpio_dm[1]),
.RESET_B(_009_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _091_ (.D(serial_data_out),
.Q(pad_gpio_dm[2]),
.RESET_B(_010_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _092_ (.D(\shift_register[5] ),
.Q(pad_gpio_ana_en),
.RESET_B(_011_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _093_ (.D(\shift_register[6] ),
.Q(pad_gpio_ana_sel),
.RESET_B(_012_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _094_ (.D(\shift_register[7] ),
.Q(pad_gpio_ana_pol),
.RESET_B(_013_),
.CLK(load_data));
sky130_fd_sc_hd__dfrtp_4 _095_ (.D(serial_data_in),
.Q(\shift_register[0] ),
.RESET_B(_014_),
.CLK(clknet_1_0_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _096_ (.D(\shift_register[0] ),
.Q(\shift_register[1] ),
.RESET_B(_015_),
.CLK(clknet_1_0_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _097_ (.D(\shift_register[1] ),
.Q(\shift_register[2] ),
.RESET_B(_016_),
.CLK(clknet_1_0_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _098_ (.D(\shift_register[2] ),
.Q(\shift_register[3] ),
.RESET_B(_017_),
.CLK(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _099_ (.D(\shift_register[3] ),
.Q(\shift_register[4] ),
.RESET_B(_018_),
.CLK(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _100_ (.D(\shift_register[4] ),
.Q(\shift_register[5] ),
.RESET_B(_019_),
.CLK(clknet_1_0_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _101_ (.D(\shift_register[5] ),
.Q(\shift_register[6] ),
.RESET_B(_020_),
.CLK(clknet_1_0_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _102_ (.D(\shift_register[6] ),
.Q(\shift_register[7] ),
.RESET_B(_021_),
.CLK(clknet_1_0_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _103_ (.D(\shift_register[7] ),
.Q(\shift_register[8] ),
.RESET_B(_022_),
.CLK(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _104_ (.D(\shift_register[8] ),
.Q(\shift_register[9] ),
.RESET_B(_023_),
.CLK(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _105_ (.D(\shift_register[9] ),
.Q(\shift_register[10] ),
.RESET_B(_024_),
.CLK(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _106_ (.D(\shift_register[10] ),
.Q(\shift_register[11] ),
.RESET_B(_025_),
.CLK(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__dfrtp_4 _107_ (.D(\shift_register[11] ),
.Q(serial_data_out),
.RESET_B(_026_),
.CLK(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__einvp_8 gpio_in_buf (.A(_000_),
.TE(gpio_logic1),
.Z(user_gpio_in));
sky130_fd_sc_hd__conb_1 gpio_logic_high (.HI(gpio_logic1));
sky130_fd_sc_hd__decap_3 PHY_0 ();
sky130_fd_sc_hd__decap_3 PHY_1 ();
sky130_fd_sc_hd__decap_3 PHY_2 ();
sky130_fd_sc_hd__decap_3 PHY_3 ();
sky130_fd_sc_hd__decap_3 PHY_4 ();
sky130_fd_sc_hd__decap_3 PHY_5 ();
sky130_fd_sc_hd__decap_3 PHY_6 ();
sky130_fd_sc_hd__decap_3 PHY_7 ();
sky130_fd_sc_hd__decap_3 PHY_8 ();
sky130_fd_sc_hd__decap_3 PHY_9 ();
sky130_fd_sc_hd__decap_3 PHY_10 ();
sky130_fd_sc_hd__decap_3 PHY_11 ();
sky130_fd_sc_hd__decap_3 PHY_12 ();
sky130_fd_sc_hd__decap_3 PHY_13 ();
sky130_fd_sc_hd__decap_3 PHY_14 ();
sky130_fd_sc_hd__decap_3 PHY_15 ();
sky130_fd_sc_hd__decap_3 PHY_16 ();
sky130_fd_sc_hd__decap_3 PHY_17 ();
sky130_fd_sc_hd__decap_3 PHY_18 ();
sky130_fd_sc_hd__decap_3 PHY_19 ();
sky130_fd_sc_hd__decap_3 PHY_20 ();
sky130_fd_sc_hd__decap_3 PHY_21 ();
sky130_fd_sc_hd__decap_3 PHY_22 ();
sky130_fd_sc_hd__decap_3 PHY_23 ();
sky130_fd_sc_hd__decap_3 PHY_24 ();
sky130_fd_sc_hd__decap_3 PHY_25 ();
sky130_fd_sc_hd__decap_3 PHY_26 ();
sky130_fd_sc_hd__decap_3 PHY_27 ();
sky130_fd_sc_hd__decap_3 PHY_28 ();
sky130_fd_sc_hd__decap_3 PHY_29 ();
sky130_fd_sc_hd__decap_3 PHY_30 ();
sky130_fd_sc_hd__decap_3 PHY_31 ();
sky130_fd_sc_hd__decap_3 PHY_32 ();
sky130_fd_sc_hd__decap_3 PHY_33 ();
sky130_fd_sc_hd__decap_3 PHY_34 ();
sky130_fd_sc_hd__decap_3 PHY_35 ();
sky130_fd_sc_hd__decap_3 PHY_36 ();
sky130_fd_sc_hd__decap_3 PHY_37 ();
sky130_fd_sc_hd__decap_3 PHY_38 ();
sky130_fd_sc_hd__decap_3 PHY_39 ();
sky130_fd_sc_hd__decap_3 PHY_40 ();
sky130_fd_sc_hd__decap_3 PHY_41 ();
sky130_fd_sc_hd__decap_3 PHY_42 ();
sky130_fd_sc_hd__decap_3 PHY_43 ();
sky130_fd_sc_hd__decap_3 PHY_44 ();
sky130_fd_sc_hd__decap_3 PHY_45 ();
sky130_fd_sc_hd__decap_3 PHY_46 ();
sky130_fd_sc_hd__decap_3 PHY_47 ();
sky130_fd_sc_hd__decap_3 PHY_48 ();
sky130_fd_sc_hd__decap_3 PHY_49 ();
sky130_fd_sc_hd__decap_3 PHY_50 ();
sky130_fd_sc_hd__decap_3 PHY_51 ();
sky130_fd_sc_hd__decap_3 PHY_52 ();
sky130_fd_sc_hd__decap_3 PHY_53 ();
sky130_fd_sc_hd__decap_3 PHY_54 ();
sky130_fd_sc_hd__decap_3 PHY_55 ();
sky130_fd_sc_hd__decap_3 PHY_56 ();
sky130_fd_sc_hd__decap_3 PHY_57 ();
sky130_fd_sc_hd__decap_3 PHY_58 ();
sky130_fd_sc_hd__decap_3 PHY_59 ();
sky130_fd_sc_hd__decap_3 PHY_60 ();
sky130_fd_sc_hd__decap_3 PHY_61 ();
sky130_fd_sc_hd__decap_3 PHY_62 ();
sky130_fd_sc_hd__decap_3 PHY_63 ();
sky130_fd_sc_hd__decap_3 PHY_64 ();
sky130_fd_sc_hd__decap_3 PHY_65 ();
sky130_fd_sc_hd__decap_3 PHY_66 ();
sky130_fd_sc_hd__decap_3 PHY_67 ();
sky130_fd_sc_hd__decap_3 PHY_68 ();
sky130_fd_sc_hd__decap_3 PHY_69 ();
sky130_fd_sc_hd__decap_3 PHY_70 ();
sky130_fd_sc_hd__decap_3 PHY_71 ();
sky130_fd_sc_hd__decap_3 PHY_72 ();
sky130_fd_sc_hd__decap_3 PHY_73 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_74 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_75 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_76 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_77 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_78 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_79 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_80 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_81 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_82 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_83 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_84 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_85 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_86 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_87 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_88 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_89 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_90 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_91 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_92 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_93 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_94 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_95 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_96 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_97 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_98 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_99 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_100 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_101 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_102 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_103 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_104 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_105 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_106 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_107 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_108 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_109 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_110 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_111 ();
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_112 ();
sky130_fd_sc_hd__clkbuf_16 clkbuf_0_serial_clock (.A(serial_clock),
.X(clknet_0_serial_clock));
sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_0_serial_clock (.A(clknet_0_serial_clock),
.X(clknet_1_0_0_serial_clock));
sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_0_serial_clock (.A(clknet_0_serial_clock),
.X(clknet_1_1_0_serial_clock));
sky130_fd_sc_hd__decap_12 FILLER_0_3 ();
sky130_fd_sc_hd__decap_12 FILLER_0_15 ();
sky130_fd_sc_hd__decap_4 FILLER_0_27 ();
sky130_fd_sc_hd__decap_12 FILLER_0_32 ();
sky130_fd_sc_hd__decap_12 FILLER_0_44 ();
sky130_fd_sc_hd__decap_6 FILLER_0_56 ();
sky130_fd_sc_hd__decap_12 FILLER_0_63 ();
sky130_fd_sc_hd__decap_6 FILLER_0_75 ();
sky130_fd_sc_hd__decap_12 FILLER_1_3 ();
sky130_fd_sc_hd__decap_12 FILLER_1_15 ();
sky130_fd_sc_hd__decap_8 FILLER_1_27 ();
sky130_fd_sc_hd__decap_12 FILLER_1_39 ();
sky130_fd_sc_hd__decap_8 FILLER_1_51 ();
sky130_fd_sc_hd__fill_2 FILLER_1_59 ();
sky130_fd_sc_hd__decap_12 FILLER_1_62 ();
sky130_fd_sc_hd__decap_6 FILLER_1_74 ();
sky130_fd_sc_hd__fill_1 FILLER_1_80 ();
sky130_fd_sc_hd__decap_12 FILLER_2_3 ();
sky130_fd_sc_hd__decap_4 FILLER_2_15 ();
sky130_fd_sc_hd__decap_8 FILLER_2_23 ();
sky130_fd_sc_hd__decap_8 FILLER_2_32 ();
sky130_fd_sc_hd__fill_2 FILLER_2_40 ();
sky130_fd_sc_hd__decap_12 FILLER_2_49 ();
sky130_fd_sc_hd__decap_12 FILLER_2_61 ();
sky130_fd_sc_hd__decap_8 FILLER_2_73 ();
sky130_fd_sc_hd__decap_12 FILLER_3_26 ();
sky130_fd_sc_hd__decap_4 FILLER_3_38 ();
sky130_fd_sc_hd__decap_12 FILLER_3_49 ();
sky130_fd_sc_hd__decap_12 FILLER_3_65 ();
sky130_fd_sc_hd__decap_4 FILLER_3_77 ();
sky130_fd_sc_hd__decap_12 FILLER_4_3 ();
sky130_fd_sc_hd__decap_4 FILLER_4_15 ();
sky130_fd_sc_hd__decap_8 FILLER_4_23 ();
sky130_fd_sc_hd__decap_12 FILLER_4_55 ();
sky130_fd_sc_hd__decap_12 FILLER_4_67 ();
sky130_fd_sc_hd__fill_2 FILLER_4_79 ();
sky130_fd_sc_hd__decap_12 FILLER_5_3 ();
sky130_fd_sc_hd__fill_1 FILLER_5_15 ();
sky130_fd_sc_hd__decap_12 FILLER_5_39 ();
sky130_fd_sc_hd__decap_8 FILLER_5_51 ();
sky130_fd_sc_hd__fill_2 FILLER_5_59 ();
sky130_fd_sc_hd__decap_12 FILLER_5_62 ();
sky130_fd_sc_hd__decap_6 FILLER_5_74 ();
sky130_fd_sc_hd__fill_1 FILLER_5_80 ();
sky130_fd_sc_hd__decap_8 FILLER_6_3 ();
sky130_fd_sc_hd__fill_1 FILLER_6_11 ();
sky130_fd_sc_hd__decap_12 FILLER_6_16 ();
sky130_fd_sc_hd__decap_3 FILLER_6_28 ();
sky130_fd_sc_hd__decap_12 FILLER_6_55 ();
sky130_fd_sc_hd__decap_12 FILLER_6_67 ();
sky130_fd_sc_hd__fill_2 FILLER_6_79 ();
sky130_fd_sc_hd__decap_12 FILLER_7_26 ();
sky130_fd_sc_hd__decap_12 FILLER_7_38 ();
sky130_fd_sc_hd__decap_8 FILLER_7_50 ();
sky130_fd_sc_hd__decap_3 FILLER_7_58 ();
sky130_fd_sc_hd__decap_12 FILLER_7_62 ();
sky130_fd_sc_hd__decap_6 FILLER_7_74 ();
sky130_fd_sc_hd__fill_1 FILLER_7_80 ();
sky130_fd_sc_hd__decap_8 FILLER_8_3 ();
sky130_fd_sc_hd__decap_12 FILLER_8_15 ();
sky130_fd_sc_hd__decap_4 FILLER_8_27 ();
sky130_fd_sc_hd__decap_12 FILLER_8_32 ();
sky130_fd_sc_hd__decap_12 FILLER_8_44 ();
sky130_fd_sc_hd__decap_12 FILLER_8_56 ();
sky130_fd_sc_hd__decap_12 FILLER_8_68 ();
sky130_fd_sc_hd__fill_1 FILLER_8_80 ();
sky130_fd_sc_hd__decap_8 FILLER_9_26 ();
sky130_fd_sc_hd__decap_8 FILLER_9_38 ();
sky130_fd_sc_hd__decap_8 FILLER_9_50 ();
sky130_fd_sc_hd__decap_3 FILLER_9_58 ();
sky130_fd_sc_hd__decap_12 FILLER_9_62 ();
sky130_fd_sc_hd__decap_6 FILLER_9_74 ();
sky130_fd_sc_hd__fill_1 FILLER_9_80 ();
sky130_fd_sc_hd__decap_12 FILLER_10_3 ();
sky130_fd_sc_hd__decap_12 FILLER_10_15 ();
sky130_fd_sc_hd__decap_4 FILLER_10_27 ();
sky130_fd_sc_hd__decap_3 FILLER_10_32 ();
sky130_fd_sc_hd__decap_12 FILLER_10_58 ();
sky130_fd_sc_hd__decap_8 FILLER_10_70 ();
sky130_fd_sc_hd__decap_3 FILLER_10_78 ();
sky130_fd_sc_hd__decap_12 FILLER_11_3 ();
sky130_fd_sc_hd__decap_8 FILLER_11_15 ();
sky130_fd_sc_hd__fill_1 FILLER_11_23 ();
sky130_fd_sc_hd__decap_8 FILLER_11_28 ();
sky130_fd_sc_hd__decap_8 FILLER_11_40 ();
sky130_fd_sc_hd__decap_8 FILLER_11_52 ();
sky130_fd_sc_hd__fill_1 FILLER_11_60 ();
sky130_fd_sc_hd__decap_12 FILLER_11_62 ();
sky130_fd_sc_hd__decap_6 FILLER_11_74 ();
sky130_fd_sc_hd__fill_1 FILLER_11_80 ();
sky130_fd_sc_hd__decap_12 FILLER_12_3 ();
sky130_fd_sc_hd__fill_1 FILLER_12_15 ();
sky130_fd_sc_hd__decap_8 FILLER_12_23 ();
sky130_fd_sc_hd__decap_4 FILLER_12_32 ();
sky130_fd_sc_hd__decap_8 FILLER_12_59 ();
sky130_fd_sc_hd__decap_8 FILLER_12_71 ();
sky130_fd_sc_hd__fill_2 FILLER_12_79 ();
sky130_fd_sc_hd__decap_12 FILLER_13_3 ();
sky130_fd_sc_hd__decap_8 FILLER_13_38 ();
sky130_fd_sc_hd__decap_8 FILLER_13_50 ();
sky130_fd_sc_hd__decap_3 FILLER_13_58 ();
sky130_fd_sc_hd__decap_12 FILLER_13_66 ();
sky130_fd_sc_hd__decap_3 FILLER_13_78 ();
sky130_fd_sc_hd__decap_4 FILLER_14_3 ();
sky130_fd_sc_hd__decap_8 FILLER_14_11 ();
sky130_fd_sc_hd__decap_8 FILLER_14_23 ();
sky130_fd_sc_hd__decap_8 FILLER_14_32 ();
sky130_fd_sc_hd__decap_3 FILLER_14_40 ();
sky130_fd_sc_hd__decap_12 FILLER_14_67 ();
sky130_fd_sc_hd__fill_2 FILLER_14_79 ();
sky130_fd_sc_hd__decap_12 FILLER_15_26 ();
sky130_fd_sc_hd__decap_12 FILLER_15_38 ();
sky130_fd_sc_hd__decap_8 FILLER_15_50 ();
sky130_fd_sc_hd__decap_3 FILLER_15_58 ();
sky130_fd_sc_hd__decap_4 FILLER_15_62 ();
sky130_fd_sc_hd__decap_8 FILLER_15_73 ();
sky130_fd_sc_hd__decap_8 FILLER_16_3 ();
sky130_fd_sc_hd__fill_1 FILLER_16_11 ();
sky130_fd_sc_hd__decap_12 FILLER_16_16 ();
sky130_fd_sc_hd__decap_3 FILLER_16_28 ();
sky130_fd_sc_hd__decap_12 FILLER_16_32 ();
sky130_fd_sc_hd__decap_12 FILLER_16_44 ();
sky130_fd_sc_hd__decap_12 FILLER_16_56 ();
sky130_fd_sc_hd__decap_12 FILLER_16_68 ();
sky130_fd_sc_hd__fill_1 FILLER_16_80 ();
sky130_fd_sc_hd__decap_8 FILLER_17_26 ();
sky130_fd_sc_hd__decap_6 FILLER_17_54 ();
sky130_fd_sc_hd__fill_1 FILLER_17_60 ();
sky130_fd_sc_hd__decap_12 FILLER_17_62 ();
sky130_fd_sc_hd__decap_6 FILLER_17_74 ();
sky130_fd_sc_hd__fill_1 FILLER_17_80 ();
sky130_fd_sc_hd__decap_8 FILLER_18_3 ();
sky130_fd_sc_hd__decap_12 FILLER_18_15 ();
sky130_fd_sc_hd__decap_4 FILLER_18_27 ();
sky130_fd_sc_hd__decap_8 FILLER_18_32 ();
sky130_fd_sc_hd__decap_3 FILLER_18_40 ();
sky130_fd_sc_hd__decap_8 FILLER_18_47 ();
sky130_fd_sc_hd__decap_12 FILLER_18_59 ();
sky130_fd_sc_hd__decap_8 FILLER_18_71 ();
sky130_fd_sc_hd__fill_2 FILLER_18_79 ();
sky130_fd_sc_hd__decap_12 FILLER_19_3 ();
sky130_fd_sc_hd__decap_3 FILLER_19_15 ();
sky130_fd_sc_hd__decap_8 FILLER_19_22 ();
sky130_fd_sc_hd__decap_8 FILLER_19_53 ();
sky130_fd_sc_hd__decap_12 FILLER_19_65 ();
sky130_fd_sc_hd__decap_4 FILLER_19_77 ();
sky130_fd_sc_hd__decap_12 FILLER_20_3 ();
sky130_fd_sc_hd__decap_4 FILLER_20_15 ();
sky130_fd_sc_hd__decap_8 FILLER_20_23 ();
sky130_fd_sc_hd__decap_8 FILLER_20_36 ();
sky130_fd_sc_hd__decap_12 FILLER_20_68 ();
sky130_fd_sc_hd__fill_1 FILLER_20_80 ();
sky130_fd_sc_hd__decap_6 FILLER_21_3 ();
sky130_fd_sc_hd__decap_8 FILLER_21_32 ();
sky130_fd_sc_hd__decap_12 FILLER_21_44 ();
sky130_fd_sc_hd__decap_4 FILLER_21_56 ();
sky130_fd_sc_hd__fill_1 FILLER_21_60 ();
sky130_fd_sc_hd__decap_8 FILLER_21_71 ();
sky130_fd_sc_hd__fill_2 FILLER_21_79 ();
sky130_fd_sc_hd__decap_12 FILLER_22_3 ();
sky130_fd_sc_hd__decap_6 FILLER_22_15 ();
sky130_fd_sc_hd__decap_6 FILLER_22_24 ();
sky130_fd_sc_hd__fill_1 FILLER_22_30 ();
sky130_fd_sc_hd__fill_2 FILLER_22_32 ();
sky130_fd_sc_hd__decap_8 FILLER_22_57 ();
sky130_fd_sc_hd__fill_1 FILLER_22_65 ();
sky130_fd_sc_hd__decap_8 FILLER_22_73 ();
sky130_fd_sc_hd__decap_8 FILLER_23_26 ();
sky130_fd_sc_hd__fill_2 FILLER_23_34 ();
sky130_fd_sc_hd__decap_8 FILLER_23_53 ();
sky130_fd_sc_hd__decap_8 FILLER_23_71 ();
sky130_fd_sc_hd__fill_2 FILLER_23_79 ();
sky130_fd_sc_hd__decap_8 FILLER_24_3 ();
sky130_fd_sc_hd__decap_12 FILLER_24_15 ();
sky130_fd_sc_hd__decap_4 FILLER_24_27 ();
sky130_fd_sc_hd__decap_8 FILLER_24_55 ();
sky130_fd_sc_hd__fill_1 FILLER_24_63 ();
sky130_fd_sc_hd__decap_8 FILLER_24_73 ();
sky130_fd_sc_hd__decap_8 FILLER_25_26 ();
sky130_fd_sc_hd__fill_2 FILLER_25_34 ();
sky130_fd_sc_hd__decap_8 FILLER_25_53 ();
sky130_fd_sc_hd__decap_8 FILLER_25_62 ();
sky130_fd_sc_hd__decap_8 FILLER_25_73 ();
sky130_fd_sc_hd__decap_12 FILLER_26_3 ();
sky130_fd_sc_hd__decap_12 FILLER_26_15 ();
sky130_fd_sc_hd__decap_4 FILLER_26_27 ();
sky130_fd_sc_hd__decap_8 FILLER_26_32 ();
sky130_fd_sc_hd__decap_3 FILLER_26_40 ();
sky130_fd_sc_hd__decap_8 FILLER_26_47 ();
sky130_fd_sc_hd__decap_8 FILLER_26_73 ();
sky130_fd_sc_hd__decap_12 FILLER_27_3 ();
sky130_fd_sc_hd__decap_6 FILLER_27_15 ();
sky130_fd_sc_hd__fill_1 FILLER_27_21 ();
sky130_fd_sc_hd__decap_12 FILLER_27_45 ();
sky130_fd_sc_hd__decap_4 FILLER_27_57 ();
sky130_fd_sc_hd__decap_12 FILLER_27_62 ();
sky130_fd_sc_hd__decap_6 FILLER_27_74 ();
sky130_fd_sc_hd__fill_1 FILLER_27_80 ();
sky130_fd_sc_hd__decap_12 FILLER_28_3 ();
sky130_fd_sc_hd__decap_12 FILLER_28_15 ();
sky130_fd_sc_hd__decap_4 FILLER_28_27 ();
sky130_fd_sc_hd__fill_1 FILLER_28_32 ();
sky130_fd_sc_hd__decap_8 FILLER_28_57 ();
sky130_fd_sc_hd__decap_12 FILLER_28_68 ();
sky130_fd_sc_hd__fill_1 FILLER_28_80 ();
sky130_fd_sc_hd__decap_12 FILLER_29_26 ();
sky130_fd_sc_hd__decap_12 FILLER_29_38 ();
sky130_fd_sc_hd__decap_8 FILLER_29_50 ();
sky130_fd_sc_hd__decap_3 FILLER_29_58 ();
sky130_fd_sc_hd__decap_12 FILLER_29_62 ();
sky130_fd_sc_hd__decap_6 FILLER_29_74 ();
sky130_fd_sc_hd__fill_1 FILLER_29_80 ();
sky130_fd_sc_hd__decap_4 FILLER_30_3 ();
sky130_fd_sc_hd__decap_8 FILLER_30_11 ();
sky130_fd_sc_hd__decap_8 FILLER_30_23 ();
sky130_fd_sc_hd__decap_12 FILLER_30_55 ();
sky130_fd_sc_hd__decap_12 FILLER_30_67 ();
sky130_fd_sc_hd__fill_2 FILLER_30_79 ();
sky130_fd_sc_hd__decap_8 FILLER_31_26 ();
sky130_fd_sc_hd__decap_8 FILLER_31_38 ();
sky130_fd_sc_hd__decap_8 FILLER_31_50 ();
sky130_fd_sc_hd__decap_3 FILLER_31_58 ();
sky130_fd_sc_hd__decap_12 FILLER_31_62 ();
sky130_fd_sc_hd__decap_6 FILLER_31_74 ();
sky130_fd_sc_hd__fill_1 FILLER_31_80 ();
sky130_fd_sc_hd__decap_8 FILLER_32_3 ();
sky130_fd_sc_hd__decap_12 FILLER_32_15 ();
sky130_fd_sc_hd__decap_4 FILLER_32_27 ();
sky130_fd_sc_hd__decap_12 FILLER_32_55 ();
sky130_fd_sc_hd__decap_12 FILLER_32_67 ();
sky130_fd_sc_hd__fill_2 FILLER_32_79 ();
sky130_fd_sc_hd__decap_12 FILLER_33_26 ();
sky130_fd_sc_hd__decap_12 FILLER_33_38 ();
sky130_fd_sc_hd__decap_8 FILLER_33_50 ();
sky130_fd_sc_hd__decap_3 FILLER_33_58 ();
sky130_fd_sc_hd__decap_12 FILLER_33_62 ();
sky130_fd_sc_hd__decap_6 FILLER_33_74 ();
sky130_fd_sc_hd__fill_1 FILLER_33_80 ();
sky130_fd_sc_hd__decap_12 FILLER_34_3 ();
sky130_fd_sc_hd__decap_12 FILLER_34_15 ();
sky130_fd_sc_hd__decap_4 FILLER_34_27 ();
sky130_fd_sc_hd__decap_12 FILLER_34_32 ();
sky130_fd_sc_hd__decap_12 FILLER_34_44 ();
sky130_fd_sc_hd__decap_12 FILLER_34_56 ();
sky130_fd_sc_hd__decap_12 FILLER_34_68 ();
sky130_fd_sc_hd__fill_1 FILLER_34_80 ();
sky130_fd_sc_hd__decap_12 FILLER_35_3 ();
sky130_fd_sc_hd__decap_12 FILLER_35_15 ();
sky130_fd_sc_hd__decap_12 FILLER_35_27 ();
sky130_fd_sc_hd__decap_12 FILLER_35_39 ();
sky130_fd_sc_hd__decap_8 FILLER_35_51 ();
sky130_fd_sc_hd__fill_2 FILLER_35_59 ();
sky130_fd_sc_hd__decap_12 FILLER_35_62 ();
sky130_fd_sc_hd__decap_6 FILLER_35_74 ();
sky130_fd_sc_hd__fill_1 FILLER_35_80 ();
sky130_fd_sc_hd__decap_12 FILLER_36_3 ();
sky130_fd_sc_hd__decap_12 FILLER_36_15 ();
sky130_fd_sc_hd__decap_4 FILLER_36_27 ();
sky130_fd_sc_hd__decap_12 FILLER_36_32 ();
sky130_fd_sc_hd__decap_12 FILLER_36_44 ();
sky130_fd_sc_hd__decap_6 FILLER_36_56 ();
sky130_fd_sc_hd__decap_12 FILLER_36_63 ();
sky130_fd_sc_hd__decap_6 FILLER_36_75 ();
endmodule