blob: df01fd2794011f25c0292aa2eb47fcdcd438c55d [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_proj_example
CLASS BLOCK ;
FOREIGN user_proj_example ;
ORIGIN 0.000 0.000 ;
SIZE 1500.000 BY 1500.000 ;
PIN io_in[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 6.390 1497.000 6.950 1506.000 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 397.390 1497.000 397.950 1506.000 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 436.490 1497.000 437.050 1506.000 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 475.590 1497.000 476.150 1506.000 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 514.690 1497.000 515.250 1506.000 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 553.790 1497.000 554.350 1506.000 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 593.350 1497.000 593.910 1506.000 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 632.450 1497.000 633.010 1506.000 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 671.550 1497.000 672.110 1506.000 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 710.650 1497.000 711.210 1506.000 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 749.750 1497.000 750.310 1506.000 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 45.490 1497.000 46.050 1506.000 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 788.850 1497.000 789.410 1506.000 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 827.950 1497.000 828.510 1506.000 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 867.050 1497.000 867.610 1506.000 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 906.150 1497.000 906.710 1506.000 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 945.250 1497.000 945.810 1506.000 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 984.350 1497.000 984.910 1506.000 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1023.450 1497.000 1024.010 1506.000 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1062.550 1497.000 1063.110 1506.000 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1101.650 1497.000 1102.210 1506.000 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1141.210 1497.000 1141.770 1506.000 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 84.590 1497.000 85.150 1506.000 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1180.310 1497.000 1180.870 1506.000 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1219.410 1497.000 1219.970 1506.000 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1258.510 1497.000 1259.070 1506.000 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1297.610 1497.000 1298.170 1506.000 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1336.710 1497.000 1337.270 1506.000 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1375.810 1497.000 1376.370 1506.000 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1414.910 1497.000 1415.470 1506.000 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1454.010 1497.000 1454.570 1506.000 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 123.690 1497.000 124.250 1506.000 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 162.790 1497.000 163.350 1506.000 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 201.890 1497.000 202.450 1506.000 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 240.990 1497.000 241.550 1506.000 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 280.090 1497.000 280.650 1506.000 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 319.190 1497.000 319.750 1506.000 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 358.290 1497.000 358.850 1506.000 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 19.270 1497.000 19.830 1506.000 ;
RECT 19.480 1493.300 19.620 1497.000 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 410.730 1497.000 411.290 1506.000 ;
RECT 410.940 1493.300 411.080 1497.000 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 449.830 1497.000 450.390 1506.000 ;
RECT 450.040 1493.300 450.180 1497.000 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 488.930 1497.000 489.490 1506.000 ;
RECT 489.140 1493.300 489.280 1497.000 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 528.030 1497.000 528.590 1506.000 ;
RECT 528.240 1493.300 528.380 1497.000 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 567.130 1497.000 567.690 1506.000 ;
RECT 567.340 1493.300 567.480 1497.000 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 606.230 1497.000 606.790 1506.000 ;
RECT 606.440 1493.300 606.580 1497.000 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 645.330 1497.000 645.890 1506.000 ;
RECT 645.540 1493.300 645.680 1497.000 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 684.430 1497.000 684.990 1506.000 ;
RECT 684.640 1493.300 684.780 1497.000 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 723.530 1497.000 724.090 1506.000 ;
RECT 723.740 1493.300 723.880 1497.000 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 762.630 1497.000 763.190 1506.000 ;
RECT 762.840 1493.300 762.980 1497.000 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 58.370 1497.000 58.930 1506.000 ;
RECT 58.580 1493.300 58.720 1497.000 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 801.730 1497.000 802.290 1506.000 ;
RECT 801.940 1493.300 802.080 1497.000 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 840.830 1497.000 841.390 1506.000 ;
RECT 841.040 1493.300 841.180 1497.000 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 879.930 1497.000 880.490 1506.000 ;
RECT 880.140 1493.300 880.280 1497.000 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 919.030 1497.000 919.590 1506.000 ;
RECT 919.240 1493.300 919.380 1497.000 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 958.590 1497.000 959.150 1506.000 ;
RECT 958.800 1493.300 958.940 1497.000 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 997.690 1497.000 998.250 1506.000 ;
RECT 997.900 1493.300 998.040 1497.000 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1036.790 1497.000 1037.350 1506.000 ;
RECT 1037.000 1493.300 1037.140 1497.000 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1075.890 1497.000 1076.450 1506.000 ;
RECT 1076.100 1493.300 1076.240 1497.000 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1114.990 1497.000 1115.550 1506.000 ;
RECT 1115.200 1493.300 1115.340 1497.000 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1154.090 1497.000 1154.650 1506.000 ;
RECT 1154.300 1493.300 1154.440 1497.000 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 97.470 1497.000 98.030 1506.000 ;
RECT 97.680 1493.300 97.820 1497.000 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1193.190 1497.000 1193.750 1506.000 ;
RECT 1193.400 1493.300 1193.540 1497.000 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1232.290 1497.000 1232.850 1506.000 ;
RECT 1232.500 1493.300 1232.640 1497.000 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1271.390 1497.000 1271.950 1506.000 ;
RECT 1271.600 1493.300 1271.740 1497.000 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1310.490 1497.000 1311.050 1506.000 ;
RECT 1310.700 1493.300 1310.840 1497.000 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1349.590 1497.000 1350.150 1506.000 ;
RECT 1349.800 1493.300 1349.940 1497.000 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1388.690 1497.000 1389.250 1506.000 ;
RECT 1388.900 1493.300 1389.040 1497.000 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1427.790 1497.000 1428.350 1506.000 ;
RECT 1428.000 1493.300 1428.140 1497.000 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1466.890 1497.000 1467.450 1506.000 ;
RECT 1467.100 1493.300 1467.240 1497.000 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 136.570 1497.000 137.130 1506.000 ;
RECT 136.780 1493.300 136.920 1497.000 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 175.670 1497.000 176.230 1506.000 ;
RECT 175.880 1493.300 176.020 1497.000 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 214.770 1497.000 215.330 1506.000 ;
RECT 214.980 1493.300 215.120 1497.000 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 253.870 1497.000 254.430 1506.000 ;
RECT 254.080 1493.300 254.220 1497.000 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 292.970 1497.000 293.530 1506.000 ;
RECT 293.180 1493.300 293.320 1497.000 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 332.070 1497.000 332.630 1506.000 ;
RECT 332.280 1493.300 332.420 1497.000 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 371.170 1497.000 371.730 1506.000 ;
RECT 371.380 1493.300 371.520 1497.000 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 32.150 1497.000 32.710 1506.000 ;
RECT 32.360 1493.300 32.500 1497.000 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 423.610 1497.000 424.170 1506.000 ;
RECT 423.820 1493.300 423.960 1497.000 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 462.710 1497.000 463.270 1506.000 ;
RECT 462.920 1493.300 463.060 1497.000 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 501.810 1497.000 502.370 1506.000 ;
RECT 502.020 1493.300 502.160 1497.000 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 540.910 1497.000 541.470 1506.000 ;
RECT 541.120 1493.300 541.260 1497.000 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 580.010 1497.000 580.570 1506.000 ;
RECT 580.220 1493.300 580.360 1497.000 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 619.110 1497.000 619.670 1506.000 ;
RECT 619.320 1493.300 619.460 1497.000 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 658.210 1497.000 658.770 1506.000 ;
RECT 658.420 1493.300 658.560 1497.000 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 697.310 1497.000 697.870 1506.000 ;
RECT 697.520 1493.300 697.660 1497.000 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 736.410 1497.000 736.970 1506.000 ;
RECT 736.620 1493.300 736.760 1497.000 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 775.970 1497.000 776.530 1506.000 ;
RECT 776.180 1493.300 776.320 1497.000 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 71.250 1497.000 71.810 1506.000 ;
RECT 71.460 1493.300 71.600 1497.000 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 815.070 1497.000 815.630 1506.000 ;
RECT 815.280 1493.300 815.420 1497.000 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 854.170 1497.000 854.730 1506.000 ;
RECT 854.380 1493.300 854.520 1497.000 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 893.270 1497.000 893.830 1506.000 ;
RECT 893.480 1493.300 893.620 1497.000 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 932.370 1497.000 932.930 1506.000 ;
RECT 932.580 1493.300 932.720 1497.000 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 971.470 1497.000 972.030 1506.000 ;
RECT 971.680 1493.300 971.820 1497.000 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1010.570 1497.000 1011.130 1506.000 ;
RECT 1010.780 1493.300 1010.920 1497.000 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1049.670 1497.000 1050.230 1506.000 ;
RECT 1049.880 1493.300 1050.020 1497.000 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1088.770 1497.000 1089.330 1506.000 ;
RECT 1088.980 1493.300 1089.120 1497.000 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1127.870 1497.000 1128.430 1506.000 ;
RECT 1128.080 1493.300 1128.220 1497.000 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1166.970 1497.000 1167.530 1506.000 ;
RECT 1167.180 1493.300 1167.320 1497.000 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 110.350 1497.000 110.910 1506.000 ;
RECT 110.560 1493.300 110.700 1497.000 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1206.070 1497.000 1206.630 1506.000 ;
RECT 1206.280 1493.300 1206.420 1497.000 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1245.170 1497.000 1245.730 1506.000 ;
RECT 1245.380 1493.300 1245.520 1497.000 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1284.270 1497.000 1284.830 1506.000 ;
RECT 1284.480 1493.300 1284.620 1497.000 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1323.830 1497.000 1324.390 1506.000 ;
RECT 1324.040 1493.300 1324.180 1497.000 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1362.930 1497.000 1363.490 1506.000 ;
RECT 1363.140 1493.300 1363.280 1497.000 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1402.030 1497.000 1402.590 1506.000 ;
RECT 1402.240 1493.300 1402.380 1497.000 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1441.130 1497.000 1441.690 1506.000 ;
RECT 1441.340 1493.300 1441.480 1497.000 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1480.230 1497.000 1480.790 1506.000 ;
RECT 1480.440 1493.300 1480.580 1497.000 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 149.450 1497.000 150.010 1506.000 ;
RECT 149.660 1493.300 149.800 1497.000 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 188.550 1497.000 189.110 1506.000 ;
RECT 188.760 1493.300 188.900 1497.000 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 228.110 1497.000 228.670 1506.000 ;
RECT 228.320 1493.300 228.460 1497.000 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 267.210 1497.000 267.770 1506.000 ;
RECT 267.420 1493.300 267.560 1497.000 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 306.310 1497.000 306.870 1506.000 ;
RECT 306.520 1493.300 306.660 1497.000 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 345.410 1497.000 345.970 1506.000 ;
RECT 345.620 1493.300 345.760 1497.000 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 384.510 1497.000 385.070 1506.000 ;
RECT 384.720 1493.300 384.860 1497.000 ;
END
END io_out[9]
PIN la_data_in[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 324.250 -6.000 324.810 3.000 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1238.940 3.000 1239.080 6.700 ;
RECT 1238.730 -6.000 1239.290 3.000 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1248.140 3.000 1248.280 6.700 ;
RECT 1247.930 -6.000 1248.490 3.000 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1257.340 3.000 1257.480 6.700 ;
RECT 1257.130 -6.000 1257.690 3.000 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1266.540 3.000 1266.680 6.700 ;
RECT 1266.330 -6.000 1266.890 3.000 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1275.740 3.000 1275.880 6.700 ;
RECT 1275.530 -6.000 1276.090 3.000 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1284.940 3.000 1285.080 6.700 ;
RECT 1284.730 -6.000 1285.290 3.000 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1294.140 3.000 1294.280 6.700 ;
RECT 1293.930 -6.000 1294.490 3.000 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1303.340 3.000 1303.480 6.700 ;
RECT 1303.130 -6.000 1303.690 3.000 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1312.540 3.000 1312.680 6.700 ;
RECT 1312.330 -6.000 1312.890 3.000 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1321.280 3.000 1321.420 6.700 ;
RECT 1321.070 -6.000 1321.630 3.000 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 415.790 -6.000 416.350 3.000 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1330.480 3.000 1330.620 6.700 ;
RECT 1330.270 -6.000 1330.830 3.000 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1339.680 3.000 1339.820 6.700 ;
RECT 1339.470 -6.000 1340.030 3.000 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1345.660 3.810 1345.800 6.700 ;
RECT 1345.660 3.670 1348.560 3.810 ;
RECT 1348.420 3.130 1348.560 3.670 ;
RECT 1348.420 3.000 1349.020 3.130 ;
RECT 1348.420 2.990 1349.230 3.000 ;
RECT 1348.670 -6.000 1349.230 2.990 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1357.620 3.130 1357.760 6.700 ;
RECT 1357.620 3.000 1358.220 3.130 ;
RECT 1357.620 2.990 1358.430 3.000 ;
RECT 1357.870 -6.000 1358.430 2.990 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1367.070 -6.000 1367.630 3.000 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1376.270 -6.000 1376.830 3.000 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1385.470 -6.000 1386.030 3.000 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1394.670 -6.000 1395.230 3.000 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1403.410 -6.000 1403.970 3.000 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1412.610 -6.000 1413.170 3.000 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 424.990 -6.000 425.550 3.000 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1421.810 -6.000 1422.370 3.000 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1431.010 -6.000 1431.570 3.000 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1440.210 -6.000 1440.770 3.000 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1449.410 -6.000 1449.970 3.000 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1458.610 -6.000 1459.170 3.000 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1467.810 -6.000 1468.370 3.000 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1476.550 -6.000 1477.110 3.000 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1485.750 -6.000 1486.310 3.000 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 434.190 -6.000 434.750 3.000 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 443.390 -6.000 443.950 3.000 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 452.130 -6.000 452.690 3.000 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 461.330 -6.000 461.890 3.000 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 470.530 -6.000 471.090 3.000 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 479.730 -6.000 480.290 3.000 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 488.930 -6.000 489.490 3.000 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 498.130 -6.000 498.690 3.000 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 333.450 -6.000 334.010 3.000 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 507.330 -6.000 507.890 3.000 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 516.530 -6.000 517.090 3.000 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 525.730 -6.000 526.290 3.000 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 534.470 -6.000 535.030 3.000 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 543.670 -6.000 544.230 3.000 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 552.870 -6.000 553.430 3.000 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 562.070 -6.000 562.630 3.000 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 571.270 -6.000 571.830 3.000 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 580.470 -6.000 581.030 3.000 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 589.670 -6.000 590.230 3.000 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 342.650 -6.000 343.210 3.000 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 598.870 -6.000 599.430 3.000 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 607.610 -6.000 608.170 3.000 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 616.810 -6.000 617.370 3.000 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 626.010 -6.000 626.570 3.000 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 635.210 -6.000 635.770 3.000 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 644.410 -6.000 644.970 3.000 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 653.610 -6.000 654.170 3.000 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 662.810 -6.000 663.370 3.000 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 672.010 -6.000 672.570 3.000 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 681.210 -6.000 681.770 3.000 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 351.850 -6.000 352.410 3.000 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 689.950 -6.000 690.510 3.000 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 699.150 -6.000 699.710 3.000 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 708.350 -6.000 708.910 3.000 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 717.550 -6.000 718.110 3.000 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 726.750 -6.000 727.310 3.000 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 735.950 -6.000 736.510 3.000 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 745.150 -6.000 745.710 3.000 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 754.350 -6.000 754.910 3.000 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 763.550 -6.000 764.110 3.000 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 772.290 -6.000 772.850 3.000 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 361.050 -6.000 361.610 3.000 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 781.490 -6.000 782.050 3.000 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 790.690 -6.000 791.250 3.000 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 799.890 -6.000 800.450 3.000 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 809.090 -6.000 809.650 3.000 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 818.290 -6.000 818.850 3.000 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 827.490 -6.000 828.050 3.000 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 836.690 -6.000 837.250 3.000 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 845.430 -6.000 845.990 3.000 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 854.630 -6.000 855.190 3.000 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 863.830 -6.000 864.390 3.000 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 369.790 -6.000 370.350 3.000 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 873.030 -6.000 873.590 3.000 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 882.230 -6.000 882.790 3.000 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 891.430 -6.000 891.990 3.000 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 900.630 -6.000 901.190 3.000 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 910.040 3.000 910.180 6.700 ;
RECT 909.830 -6.000 910.390 3.000 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 919.240 3.000 919.380 6.700 ;
RECT 919.030 -6.000 919.590 3.000 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 927.980 3.000 928.120 6.700 ;
RECT 927.770 -6.000 928.330 3.000 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 937.180 3.000 937.320 6.700 ;
RECT 936.970 -6.000 937.530 3.000 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 946.380 3.000 946.520 6.700 ;
RECT 946.170 -6.000 946.730 3.000 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 955.580 3.000 955.720 6.700 ;
RECT 955.370 -6.000 955.930 3.000 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 378.990 -6.000 379.550 3.000 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 964.780 3.000 964.920 6.700 ;
RECT 964.570 -6.000 965.130 3.000 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 973.980 3.000 974.120 6.700 ;
RECT 973.770 -6.000 974.330 3.000 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 983.180 3.000 983.320 6.700 ;
RECT 982.970 -6.000 983.530 3.000 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 992.380 3.000 992.520 6.700 ;
RECT 992.170 -6.000 992.730 3.000 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1001.580 3.000 1001.720 6.700 ;
RECT 1001.370 -6.000 1001.930 3.000 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1010.320 3.000 1010.460 6.700 ;
RECT 1010.110 -6.000 1010.670 3.000 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1019.520 3.000 1019.660 6.700 ;
RECT 1019.310 -6.000 1019.870 3.000 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1028.720 3.000 1028.860 6.700 ;
RECT 1028.510 -6.000 1029.070 3.000 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1037.920 3.000 1038.060 6.700 ;
RECT 1037.710 -6.000 1038.270 3.000 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1047.120 3.000 1047.260 6.700 ;
RECT 1046.910 -6.000 1047.470 3.000 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 388.190 -6.000 388.750 3.000 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1056.320 3.000 1056.460 6.700 ;
RECT 1056.110 -6.000 1056.670 3.000 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1065.520 3.000 1065.660 6.700 ;
RECT 1065.310 -6.000 1065.870 3.000 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1074.720 3.000 1074.860 6.700 ;
RECT 1074.510 -6.000 1075.070 3.000 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1083.460 3.000 1083.600 6.700 ;
RECT 1083.250 -6.000 1083.810 3.000 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1092.660 3.000 1092.800 6.700 ;
RECT 1092.450 -6.000 1093.010 3.000 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1101.860 3.000 1102.000 6.700 ;
RECT 1101.650 -6.000 1102.210 3.000 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1111.060 3.000 1111.200 6.700 ;
RECT 1110.850 -6.000 1111.410 3.000 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1120.260 3.000 1120.400 6.700 ;
RECT 1120.050 -6.000 1120.610 3.000 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1129.460 3.000 1129.600 6.700 ;
RECT 1129.250 -6.000 1129.810 3.000 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1138.660 3.000 1138.800 6.700 ;
RECT 1138.450 -6.000 1139.010 3.000 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 397.390 -6.000 397.950 3.000 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1147.860 3.000 1148.000 6.700 ;
RECT 1147.650 -6.000 1148.210 3.000 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1157.060 3.000 1157.200 6.700 ;
RECT 1156.850 -6.000 1157.410 3.000 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1165.800 3.000 1165.940 6.700 ;
RECT 1165.590 -6.000 1166.150 3.000 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1175.000 3.000 1175.140 6.700 ;
RECT 1174.790 -6.000 1175.350 3.000 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1184.200 3.000 1184.340 6.700 ;
RECT 1183.990 -6.000 1184.550 3.000 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1193.400 3.000 1193.540 6.700 ;
RECT 1193.190 -6.000 1193.750 3.000 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1202.600 3.000 1202.740 6.700 ;
RECT 1202.390 -6.000 1202.950 3.000 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1211.800 3.000 1211.940 6.700 ;
RECT 1211.590 -6.000 1212.150 3.000 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1221.000 3.000 1221.140 6.700 ;
RECT 1220.790 -6.000 1221.350 3.000 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1230.200 3.000 1230.340 6.700 ;
RECT 1229.990 -6.000 1230.550 3.000 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 406.590 -6.000 407.150 3.000 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 327.680 3.000 327.820 6.700 ;
RECT 327.470 -6.000 328.030 3.000 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1242.160 3.000 1242.300 6.700 ;
RECT 1241.950 -6.000 1242.510 3.000 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1251.360 3.000 1251.500 6.700 ;
RECT 1251.150 -6.000 1251.710 3.000 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1260.560 3.000 1260.700 6.700 ;
RECT 1260.350 -6.000 1260.910 3.000 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1269.760 3.000 1269.900 6.700 ;
RECT 1269.550 -6.000 1270.110 3.000 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1278.960 3.000 1279.100 6.700 ;
RECT 1278.750 -6.000 1279.310 3.000 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1288.160 3.000 1288.300 6.700 ;
RECT 1287.950 -6.000 1288.510 3.000 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1296.900 3.000 1297.040 6.700 ;
RECT 1296.690 -6.000 1297.250 3.000 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1306.100 3.000 1306.240 6.700 ;
RECT 1305.890 -6.000 1306.450 3.000 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1315.300 3.000 1315.440 6.700 ;
RECT 1315.090 -6.000 1315.650 3.000 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1324.500 3.000 1324.640 6.700 ;
RECT 1324.290 -6.000 1324.850 3.000 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 420.600 3.130 420.740 6.700 ;
RECT 419.220 3.000 420.740 3.130 ;
RECT 419.010 2.990 420.740 3.000 ;
RECT 419.010 -6.000 419.570 2.990 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1333.700 3.000 1333.840 6.700 ;
RECT 1333.490 -6.000 1334.050 3.000 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1342.900 3.000 1343.040 6.700 ;
RECT 1342.690 -6.000 1343.250 3.000 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1352.100 3.000 1352.240 6.700 ;
RECT 1351.890 -6.000 1352.450 3.000 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1361.300 3.000 1361.440 6.700 ;
RECT 1361.090 -6.000 1361.650 3.000 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1370.040 3.000 1370.180 6.700 ;
RECT 1369.830 -6.000 1370.390 3.000 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1379.240 3.000 1379.380 6.700 ;
RECT 1379.030 -6.000 1379.590 3.000 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1388.440 3.000 1388.580 6.700 ;
RECT 1388.230 -6.000 1388.790 3.000 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1397.640 3.000 1397.780 6.700 ;
RECT 1397.430 -6.000 1397.990 3.000 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1406.840 3.000 1406.980 6.700 ;
RECT 1406.630 -6.000 1407.190 3.000 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1416.040 3.000 1416.180 6.700 ;
RECT 1415.830 -6.000 1416.390 3.000 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 427.960 3.000 428.100 6.700 ;
RECT 427.750 -6.000 428.310 3.000 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1425.240 3.000 1425.380 6.700 ;
RECT 1425.030 -6.000 1425.590 3.000 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1434.440 3.000 1434.580 6.700 ;
RECT 1434.230 -6.000 1434.790 3.000 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1443.640 3.000 1443.780 6.700 ;
RECT 1443.430 -6.000 1443.990 3.000 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1452.380 3.000 1452.520 6.700 ;
RECT 1452.170 -6.000 1452.730 3.000 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1461.580 3.000 1461.720 6.700 ;
RECT 1461.370 -6.000 1461.930 3.000 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1470.780 3.000 1470.920 6.700 ;
RECT 1470.570 -6.000 1471.130 3.000 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1479.980 3.000 1480.120 6.700 ;
RECT 1479.770 -6.000 1480.330 3.000 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1489.180 3.000 1489.320 6.700 ;
RECT 1488.970 -6.000 1489.530 3.000 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 437.160 3.000 437.300 6.700 ;
RECT 436.950 -6.000 437.510 3.000 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 446.360 3.000 446.500 6.700 ;
RECT 446.150 -6.000 446.710 3.000 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 455.560 3.000 455.700 6.700 ;
RECT 455.350 -6.000 455.910 3.000 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 464.760 3.000 464.900 6.700 ;
RECT 464.550 -6.000 465.110 3.000 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 473.960 3.000 474.100 6.700 ;
RECT 473.750 -6.000 474.310 3.000 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 483.160 3.000 483.300 6.700 ;
RECT 482.950 -6.000 483.510 3.000 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 492.360 3.000 492.500 6.700 ;
RECT 492.150 -6.000 492.710 3.000 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 503.400 3.130 503.540 6.700 ;
RECT 501.560 3.000 503.540 3.130 ;
RECT 501.350 2.990 503.540 3.000 ;
RECT 501.350 -6.000 501.910 2.990 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 336.880 3.000 337.020 6.700 ;
RECT 336.670 -6.000 337.230 3.000 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 510.300 3.000 510.440 6.700 ;
RECT 510.090 -6.000 510.650 3.000 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 519.500 3.000 519.640 6.700 ;
RECT 519.290 -6.000 519.850 3.000 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 528.700 3.000 528.840 6.700 ;
RECT 528.490 -6.000 529.050 3.000 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 537.900 3.000 538.040 6.700 ;
RECT 537.690 -6.000 538.250 3.000 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 547.100 3.000 547.240 6.700 ;
RECT 546.890 -6.000 547.450 3.000 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 556.300 3.000 556.440 6.700 ;
RECT 556.090 -6.000 556.650 3.000 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 565.500 3.000 565.640 6.700 ;
RECT 565.290 -6.000 565.850 3.000 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 574.700 3.000 574.840 6.700 ;
RECT 574.490 -6.000 575.050 3.000 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 583.440 3.000 583.580 6.700 ;
RECT 583.230 -6.000 583.790 3.000 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 592.640 3.000 592.780 6.700 ;
RECT 592.430 -6.000 592.990 3.000 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 345.620 3.000 345.760 6.700 ;
RECT 345.410 -6.000 345.970 3.000 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 601.840 3.000 601.980 6.700 ;
RECT 601.630 -6.000 602.190 3.000 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 611.040 3.000 611.180 6.700 ;
RECT 610.830 -6.000 611.390 3.000 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 620.240 3.000 620.380 6.700 ;
RECT 620.030 -6.000 620.590 3.000 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 629.440 3.000 629.580 6.700 ;
RECT 629.230 -6.000 629.790 3.000 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 638.640 3.000 638.780 6.700 ;
RECT 638.430 -6.000 638.990 3.000 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 647.840 3.000 647.980 6.700 ;
RECT 647.630 -6.000 648.190 3.000 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 657.040 3.000 657.180 6.700 ;
RECT 656.830 -6.000 657.390 3.000 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 665.780 3.000 665.920 6.700 ;
RECT 665.570 -6.000 666.130 3.000 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 674.980 3.000 675.120 6.700 ;
RECT 674.770 -6.000 675.330 3.000 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 684.180 3.000 684.320 6.700 ;
RECT 683.970 -6.000 684.530 3.000 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 354.820 3.000 354.960 6.700 ;
RECT 354.610 -6.000 355.170 3.000 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 694.760 3.130 694.900 6.700 ;
RECT 693.380 3.000 694.900 3.130 ;
RECT 693.170 2.990 694.900 3.000 ;
RECT 693.170 -6.000 693.730 2.990 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 702.580 3.000 702.720 6.700 ;
RECT 702.370 -6.000 702.930 3.000 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 711.780 3.000 711.920 6.700 ;
RECT 711.570 -6.000 712.130 3.000 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 720.980 3.000 721.120 6.700 ;
RECT 720.770 -6.000 721.330 3.000 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 730.180 3.000 730.320 6.700 ;
RECT 729.970 -6.000 730.530 3.000 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 738.920 3.000 739.060 6.700 ;
RECT 738.710 -6.000 739.270 3.000 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 748.120 3.000 748.260 6.700 ;
RECT 747.910 -6.000 748.470 3.000 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 757.320 3.000 757.460 6.700 ;
RECT 757.110 -6.000 757.670 3.000 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 766.520 3.000 766.660 6.700 ;
RECT 766.310 -6.000 766.870 3.000 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 775.720 3.000 775.860 6.700 ;
RECT 775.510 -6.000 776.070 3.000 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 364.020 3.000 364.160 6.700 ;
RECT 363.810 -6.000 364.370 3.000 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 784.920 3.000 785.060 6.700 ;
RECT 784.710 -6.000 785.270 3.000 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 794.120 3.000 794.260 6.700 ;
RECT 793.910 -6.000 794.470 3.000 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 803.320 3.000 803.460 6.700 ;
RECT 803.110 -6.000 803.670 3.000 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 812.520 3.000 812.660 6.700 ;
RECT 812.310 -6.000 812.870 3.000 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 821.260 3.000 821.400 6.700 ;
RECT 821.050 -6.000 821.610 3.000 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 830.460 3.000 830.600 6.700 ;
RECT 830.250 -6.000 830.810 3.000 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 839.660 3.000 839.800 6.700 ;
RECT 839.450 -6.000 840.010 3.000 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 848.860 3.000 849.000 6.700 ;
RECT 848.650 -6.000 849.210 3.000 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 858.060 3.000 858.200 6.700 ;
RECT 857.850 -6.000 858.410 3.000 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 867.260 3.000 867.400 6.700 ;
RECT 867.050 -6.000 867.610 3.000 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 373.220 3.000 373.360 6.700 ;
RECT 373.010 -6.000 373.570 3.000 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 876.460 3.000 876.600 6.700 ;
RECT 876.250 -6.000 876.810 3.000 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 885.660 3.000 885.800 6.700 ;
RECT 885.450 -6.000 886.010 3.000 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 894.860 3.000 895.000 6.700 ;
RECT 894.650 -6.000 895.210 3.000 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 903.600 3.000 903.740 6.700 ;
RECT 903.390 -6.000 903.950 3.000 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 912.800 3.000 912.940 6.700 ;
RECT 912.590 -6.000 913.150 3.000 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 922.000 3.000 922.140 6.700 ;
RECT 921.790 -6.000 922.350 3.000 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 931.200 3.000 931.340 6.700 ;
RECT 930.990 -6.000 931.550 3.000 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 940.400 3.000 940.540 6.700 ;
RECT 940.190 -6.000 940.750 3.000 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 949.600 3.000 949.740 6.700 ;
RECT 949.390 -6.000 949.950 3.000 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 958.800 3.000 958.940 6.700 ;
RECT 958.590 -6.000 959.150 3.000 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 382.420 3.000 382.560 6.700 ;
RECT 382.210 -6.000 382.770 3.000 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 968.000 3.000 968.140 6.700 ;
RECT 967.790 -6.000 968.350 3.000 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 976.740 3.000 976.880 6.700 ;
RECT 976.530 -6.000 977.090 3.000 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 985.940 3.000 986.080 6.700 ;
RECT 985.730 -6.000 986.290 3.000 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 995.140 3.000 995.280 6.700 ;
RECT 994.930 -6.000 995.490 3.000 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1004.340 3.000 1004.480 6.700 ;
RECT 1004.130 -6.000 1004.690 3.000 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1013.540 3.000 1013.680 6.700 ;
RECT 1013.330 -6.000 1013.890 3.000 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1022.740 3.000 1022.880 6.700 ;
RECT 1022.530 -6.000 1023.090 3.000 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1031.940 3.000 1032.080 6.700 ;
RECT 1031.730 -6.000 1032.290 3.000 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1041.140 3.000 1041.280 6.700 ;
RECT 1040.930 -6.000 1041.490 3.000 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1050.340 3.000 1050.480 6.700 ;
RECT 1050.130 -6.000 1050.690 3.000 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 393.000 3.130 393.140 6.700 ;
RECT 391.620 3.000 393.140 3.130 ;
RECT 391.410 2.990 393.140 3.000 ;
RECT 391.410 -6.000 391.970 2.990 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1059.080 3.000 1059.220 6.700 ;
RECT 1058.870 -6.000 1059.430 3.000 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1068.280 3.000 1068.420 6.700 ;
RECT 1068.070 -6.000 1068.630 3.000 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1077.480 3.000 1077.620 6.700 ;
RECT 1077.270 -6.000 1077.830 3.000 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1086.680 3.000 1086.820 6.700 ;
RECT 1086.470 -6.000 1087.030 3.000 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1095.880 3.000 1096.020 6.700 ;
RECT 1095.670 -6.000 1096.230 3.000 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1105.080 3.000 1105.220 6.700 ;
RECT 1104.870 -6.000 1105.430 3.000 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1114.280 3.000 1114.420 6.700 ;
RECT 1114.070 -6.000 1114.630 3.000 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1123.480 3.000 1123.620 6.700 ;
RECT 1123.270 -6.000 1123.830 3.000 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1132.680 3.000 1132.820 6.700 ;
RECT 1132.470 -6.000 1133.030 3.000 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1141.420 3.000 1141.560 6.700 ;
RECT 1141.210 -6.000 1141.770 3.000 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 400.820 3.000 400.960 6.700 ;
RECT 400.610 -6.000 401.170 3.000 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1150.620 3.000 1150.760 6.700 ;
RECT 1150.410 -6.000 1150.970 3.000 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1159.820 3.000 1159.960 6.700 ;
RECT 1159.610 -6.000 1160.170 3.000 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1169.020 3.000 1169.160 6.700 ;
RECT 1168.810 -6.000 1169.370 3.000 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1178.220 3.000 1178.360 6.700 ;
RECT 1178.010 -6.000 1178.570 3.000 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1187.420 3.000 1187.560 6.700 ;
RECT 1187.210 -6.000 1187.770 3.000 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1196.620 3.000 1196.760 6.700 ;
RECT 1196.410 -6.000 1196.970 3.000 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1205.820 3.000 1205.960 6.700 ;
RECT 1205.610 -6.000 1206.170 3.000 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1214.560 3.000 1214.700 6.700 ;
RECT 1214.350 -6.000 1214.910 3.000 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1223.760 3.000 1223.900 6.700 ;
RECT 1223.550 -6.000 1224.110 3.000 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1232.960 3.000 1233.100 6.700 ;
RECT 1232.750 -6.000 1233.310 3.000 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 410.020 3.000 410.160 6.700 ;
RECT 409.810 -6.000 410.370 3.000 ;
END
END la_data_out[9]
PIN la_oen[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 330.230 -6.000 330.790 3.000 ;
END
END la_oen[0]
PIN la_oen[100]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1245.170 -6.000 1245.730 3.000 ;
END
END la_oen[100]
PIN la_oen[101]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1254.370 -6.000 1254.930 3.000 ;
END
END la_oen[101]
PIN la_oen[102]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1263.570 -6.000 1264.130 3.000 ;
END
END la_oen[102]
PIN la_oen[103]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1272.310 -6.000 1272.870 3.000 ;
END
END la_oen[103]
PIN la_oen[104]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1281.510 -6.000 1282.070 3.000 ;
END
END la_oen[104]
PIN la_oen[105]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1290.710 -6.000 1291.270 3.000 ;
END
END la_oen[105]
PIN la_oen[106]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1299.910 -6.000 1300.470 3.000 ;
END
END la_oen[106]
PIN la_oen[107]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1309.110 -6.000 1309.670 3.000 ;
END
END la_oen[107]
PIN la_oen[108]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1318.310 -6.000 1318.870 3.000 ;
END
END la_oen[108]
PIN la_oen[109]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1327.510 -6.000 1328.070 3.000 ;
END
END la_oen[109]
PIN la_oen[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 421.770 -6.000 422.330 3.000 ;
END
END la_oen[10]
PIN la_oen[110]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1336.710 -6.000 1337.270 3.000 ;
END
END la_oen[110]
PIN la_oen[111]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1345.450 -6.000 1346.010 3.000 ;
END
END la_oen[111]
PIN la_oen[112]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1354.650 -6.000 1355.210 3.000 ;
END
END la_oen[112]
PIN la_oen[113]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1363.850 -6.000 1364.410 3.000 ;
END
END la_oen[113]
PIN la_oen[114]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1373.050 -6.000 1373.610 3.000 ;
END
END la_oen[114]
PIN la_oen[115]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1382.250 -6.000 1382.810 3.000 ;
END
END la_oen[115]
PIN la_oen[116]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1391.450 -6.000 1392.010 3.000 ;
END
END la_oen[116]
PIN la_oen[117]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1400.650 -6.000 1401.210 3.000 ;
END
END la_oen[117]
PIN la_oen[118]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1409.850 -6.000 1410.410 3.000 ;
END
END la_oen[118]
PIN la_oen[119]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1419.050 -6.000 1419.610 3.000 ;
END
END la_oen[119]
PIN la_oen[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 430.970 -6.000 431.530 3.000 ;
END
END la_oen[11]
PIN la_oen[120]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1427.790 -6.000 1428.350 3.000 ;
END
END la_oen[120]
PIN la_oen[121]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1436.990 -6.000 1437.550 3.000 ;
END
END la_oen[121]
PIN la_oen[122]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1446.190 -6.000 1446.750 3.000 ;
END
END la_oen[122]
PIN la_oen[123]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1455.390 -6.000 1455.950 3.000 ;
END
END la_oen[123]
PIN la_oen[124]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1464.590 -6.000 1465.150 3.000 ;
END
END la_oen[124]
PIN la_oen[125]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1473.790 -6.000 1474.350 3.000 ;
END
END la_oen[125]
PIN la_oen[126]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1482.990 -6.000 1483.550 3.000 ;
END
END la_oen[126]
PIN la_oen[127]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1492.190 -6.000 1492.750 3.000 ;
END
END la_oen[127]
PIN la_oen[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 440.170 -6.000 440.730 3.000 ;
END
END la_oen[12]
PIN la_oen[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 449.370 -6.000 449.930 3.000 ;
END
END la_oen[13]
PIN la_oen[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 458.570 -6.000 459.130 3.000 ;
END
END la_oen[14]
PIN la_oen[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 467.770 -6.000 468.330 3.000 ;
END
END la_oen[15]
PIN la_oen[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 476.510 -6.000 477.070 3.000 ;
END
END la_oen[16]
PIN la_oen[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 485.710 -6.000 486.270 3.000 ;
END
END la_oen[17]
PIN la_oen[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 494.910 -6.000 495.470 3.000 ;
END
END la_oen[18]
PIN la_oen[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 504.110 -6.000 504.670 3.000 ;
END
END la_oen[19]
PIN la_oen[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 339.430 -6.000 339.990 3.000 ;
END
END la_oen[1]
PIN la_oen[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 513.310 -6.000 513.870 3.000 ;
END
END la_oen[20]
PIN la_oen[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 522.510 -6.000 523.070 3.000 ;
END
END la_oen[21]
PIN la_oen[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 531.710 -6.000 532.270 3.000 ;
END
END la_oen[22]
PIN la_oen[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 540.910 -6.000 541.470 3.000 ;
END
END la_oen[23]
PIN la_oen[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 550.110 -6.000 550.670 3.000 ;
END
END la_oen[24]
PIN la_oen[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 558.850 -6.000 559.410 3.000 ;
END
END la_oen[25]
PIN la_oen[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 568.050 -6.000 568.610 3.000 ;
END
END la_oen[26]
PIN la_oen[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 577.250 -6.000 577.810 3.000 ;
END
END la_oen[27]
PIN la_oen[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 586.450 -6.000 587.010 3.000 ;
END
END la_oen[28]
PIN la_oen[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 595.650 -6.000 596.210 3.000 ;
END
END la_oen[29]
PIN la_oen[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 348.630 -6.000 349.190 3.000 ;
END
END la_oen[2]
PIN la_oen[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 604.850 -6.000 605.410 3.000 ;
END
END la_oen[30]
PIN la_oen[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 614.050 -6.000 614.610 3.000 ;
END
END la_oen[31]
PIN la_oen[32]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 623.250 -6.000 623.810 3.000 ;
END
END la_oen[32]
PIN la_oen[33]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 632.450 -6.000 633.010 3.000 ;
END
END la_oen[33]
PIN la_oen[34]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 641.190 -6.000 641.750 3.000 ;
END
END la_oen[34]
PIN la_oen[35]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 650.390 -6.000 650.950 3.000 ;
END
END la_oen[35]
PIN la_oen[36]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 659.590 -6.000 660.150 3.000 ;
END
END la_oen[36]
PIN la_oen[37]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 668.790 -6.000 669.350 3.000 ;
END
END la_oen[37]
PIN la_oen[38]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 677.990 -6.000 678.550 3.000 ;
END
END la_oen[38]
PIN la_oen[39]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 687.190 -6.000 687.750 3.000 ;
END
END la_oen[39]
PIN la_oen[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 357.830 -6.000 358.390 3.000 ;
END
END la_oen[3]
PIN la_oen[40]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 696.390 -6.000 696.950 3.000 ;
END
END la_oen[40]
PIN la_oen[41]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 705.590 -6.000 706.150 3.000 ;
END
END la_oen[41]
PIN la_oen[42]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 714.330 -6.000 714.890 3.000 ;
END
END la_oen[42]
PIN la_oen[43]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 723.530 -6.000 724.090 3.000 ;
END
END la_oen[43]
PIN la_oen[44]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 732.730 -6.000 733.290 3.000 ;
END
END la_oen[44]
PIN la_oen[45]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 741.930 -6.000 742.490 3.000 ;
END
END la_oen[45]
PIN la_oen[46]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 751.130 -6.000 751.690 3.000 ;
END
END la_oen[46]
PIN la_oen[47]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 760.330 -6.000 760.890 3.000 ;
END
END la_oen[47]
PIN la_oen[48]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 769.530 -6.000 770.090 3.000 ;
END
END la_oen[48]
PIN la_oen[49]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 778.730 -6.000 779.290 3.000 ;
END
END la_oen[49]
PIN la_oen[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 367.030 -6.000 367.590 3.000 ;
END
END la_oen[4]
PIN la_oen[50]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 787.930 -6.000 788.490 3.000 ;
END
END la_oen[50]
PIN la_oen[51]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 796.670 -6.000 797.230 3.000 ;
END
END la_oen[51]
PIN la_oen[52]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 805.870 -6.000 806.430 3.000 ;
END
END la_oen[52]
PIN la_oen[53]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 815.070 -6.000 815.630 3.000 ;
END
END la_oen[53]
PIN la_oen[54]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 824.270 -6.000 824.830 3.000 ;
END
END la_oen[54]
PIN la_oen[55]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 833.470 -6.000 834.030 3.000 ;
END
END la_oen[55]
PIN la_oen[56]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 842.670 -6.000 843.230 3.000 ;
END
END la_oen[56]
PIN la_oen[57]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 851.870 -6.000 852.430 3.000 ;
END
END la_oen[57]
PIN la_oen[58]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 861.070 -6.000 861.630 3.000 ;
END
END la_oen[58]
PIN la_oen[59]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 869.810 -6.000 870.370 3.000 ;
END
END la_oen[59]
PIN la_oen[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 376.230 -6.000 376.790 3.000 ;
END
END la_oen[5]
PIN la_oen[60]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 879.010 -6.000 879.570 3.000 ;
END
END la_oen[60]
PIN la_oen[61]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 888.210 -6.000 888.770 3.000 ;
END
END la_oen[61]
PIN la_oen[62]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 897.410 -6.000 897.970 3.000 ;
END
END la_oen[62]
PIN la_oen[63]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 906.610 -6.000 907.170 3.000 ;
END
END la_oen[63]
PIN la_oen[64]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 915.810 -6.000 916.370 3.000 ;
END
END la_oen[64]
PIN la_oen[65]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 925.010 -6.000 925.570 3.000 ;
END
END la_oen[65]
PIN la_oen[66]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 934.210 -6.000 934.770 3.000 ;
END
END la_oen[66]
PIN la_oen[67]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 943.410 -6.000 943.970 3.000 ;
END
END la_oen[67]
PIN la_oen[68]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 952.150 -6.000 952.710 3.000 ;
END
END la_oen[68]
PIN la_oen[69]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 961.350 -6.000 961.910 3.000 ;
END
END la_oen[69]
PIN la_oen[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 385.430 -6.000 385.990 3.000 ;
END
END la_oen[6]
PIN la_oen[70]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 970.550 -6.000 971.110 3.000 ;
END
END la_oen[70]
PIN la_oen[71]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 979.750 -6.000 980.310 3.000 ;
END
END la_oen[71]
PIN la_oen[72]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 988.950 -6.000 989.510 3.000 ;
END
END la_oen[72]
PIN la_oen[73]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 998.150 -6.000 998.710 3.000 ;
END
END la_oen[73]
PIN la_oen[74]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1007.350 -6.000 1007.910 3.000 ;
END
END la_oen[74]
PIN la_oen[75]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1016.550 -6.000 1017.110 3.000 ;
END
END la_oen[75]
PIN la_oen[76]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1025.750 -6.000 1026.310 3.000 ;
END
END la_oen[76]
PIN la_oen[77]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1034.490 -6.000 1035.050 3.000 ;
END
END la_oen[77]
PIN la_oen[78]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1043.690 -6.000 1044.250 3.000 ;
END
END la_oen[78]
PIN la_oen[79]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1052.890 -6.000 1053.450 3.000 ;
END
END la_oen[79]
PIN la_oen[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 394.630 -6.000 395.190 3.000 ;
END
END la_oen[7]
PIN la_oen[80]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1062.090 -6.000 1062.650 3.000 ;
END
END la_oen[80]
PIN la_oen[81]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1071.290 -6.000 1071.850 3.000 ;
END
END la_oen[81]
PIN la_oen[82]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1080.490 -6.000 1081.050 3.000 ;
END
END la_oen[82]
PIN la_oen[83]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1089.690 -6.000 1090.250 3.000 ;
END
END la_oen[83]
PIN la_oen[84]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1098.890 -6.000 1099.450 3.000 ;
END
END la_oen[84]
PIN la_oen[85]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1107.630 -6.000 1108.190 3.000 ;
END
END la_oen[85]
PIN la_oen[86]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1116.830 -6.000 1117.390 3.000 ;
END
END la_oen[86]
PIN la_oen[87]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1126.030 -6.000 1126.590 3.000 ;
END
END la_oen[87]
PIN la_oen[88]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1135.230 -6.000 1135.790 3.000 ;
END
END la_oen[88]
PIN la_oen[89]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1144.430 -6.000 1144.990 3.000 ;
END
END la_oen[89]
PIN la_oen[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 403.370 -6.000 403.930 3.000 ;
END
END la_oen[8]
PIN la_oen[90]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1153.630 -6.000 1154.190 3.000 ;
END
END la_oen[90]
PIN la_oen[91]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1162.830 -6.000 1163.390 3.000 ;
END
END la_oen[91]
PIN la_oen[92]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1172.030 -6.000 1172.590 3.000 ;
END
END la_oen[92]
PIN la_oen[93]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1181.230 -6.000 1181.790 3.000 ;
END
END la_oen[93]
PIN la_oen[94]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1189.970 -6.000 1190.530 3.000 ;
END
END la_oen[94]
PIN la_oen[95]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1199.170 -6.000 1199.730 3.000 ;
END
END la_oen[95]
PIN la_oen[96]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1208.370 -6.000 1208.930 3.000 ;
END
END la_oen[96]
PIN la_oen[97]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1217.570 -6.000 1218.130 3.000 ;
END
END la_oen[97]
PIN la_oen[98]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1226.770 -6.000 1227.330 3.000 ;
END
END la_oen[98]
PIN la_oen[99]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1235.970 -6.000 1236.530 3.000 ;
END
END la_oen[99]
PIN la_oen[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 412.570 -6.000 413.130 3.000 ;
END
END la_oen[9]
PIN vccd1
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 1493.110 1497.000 1493.670 1506.000 ;
END
END vccd1
PIN vccd2
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -6.000 374.420 3.000 375.620 ;
END
END vccd2
PIN vdda1
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 1497.000 249.300 1506.000 250.500 ;
END
END vdda1
PIN vdda2
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -6.000 1124.460 3.000 1125.660 ;
END
END vdda2
PIN vssa1
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 1494.950 -6.000 1495.510 3.000 ;
END
END vssa1
PIN vssa2
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 1498.170 -6.000 1498.730 3.000 ;
END
END vssa2
PIN vssd1
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 1497.000 749.100 1506.000 750.300 ;
END
END vssd1
PIN vssd2
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 1497.000 1248.900 1506.000 1250.100 ;
END
END vssd2
PIN wb_clk_i
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1.450 20.640 1.770 20.700 ;
RECT 1.450 20.500 6.700 20.640 ;
RECT 1.450 20.440 1.770 20.500 ;
LAYER via ;
RECT 1.480 20.440 1.740 20.700 ;
LAYER met2 ;
RECT 1.480 20.410 1.740 20.730 ;
RECT 1.540 3.000 1.680 20.410 ;
RECT 1.330 -6.000 1.890 3.000 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 4.210 17.240 4.530 17.300 ;
RECT 4.210 17.100 6.700 17.240 ;
RECT 4.210 17.040 4.530 17.100 ;
LAYER via ;
RECT 4.240 17.040 4.500 17.300 ;
LAYER met2 ;
RECT 4.240 17.010 4.500 17.330 ;
RECT 4.300 3.000 4.440 17.010 ;
RECT 4.090 -6.000 4.650 3.000 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 7.520 3.000 7.660 6.700 ;
RECT 7.310 -6.000 7.870 3.000 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 19.270 -6.000 19.830 3.000 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 123.230 -6.000 123.790 3.000 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 132.430 -6.000 132.990 3.000 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 141.170 -6.000 141.730 3.000 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 150.370 -6.000 150.930 3.000 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 159.570 -6.000 160.130 3.000 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 168.770 -6.000 169.330 3.000 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 177.970 -6.000 178.530 3.000 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 187.170 -6.000 187.730 3.000 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 196.370 -6.000 196.930 3.000 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 205.570 -6.000 206.130 3.000 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 31.690 -6.000 32.250 3.000 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 214.310 -6.000 214.870 3.000 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 223.510 -6.000 224.070 3.000 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 232.710 -6.000 233.270 3.000 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 241.910 -6.000 242.470 3.000 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 251.110 -6.000 251.670 3.000 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 260.310 -6.000 260.870 3.000 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 269.510 -6.000 270.070 3.000 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 278.710 -6.000 279.270 3.000 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 287.910 -6.000 288.470 3.000 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 296.650 -6.000 297.210 3.000 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 43.650 -6.000 44.210 3.000 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 305.850 -6.000 306.410 3.000 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 315.050 -6.000 315.610 3.000 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 56.070 -6.000 56.630 3.000 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 68.030 -6.000 68.590 3.000 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 77.230 -6.000 77.790 3.000 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 86.430 -6.000 86.990 3.000 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 95.630 -6.000 96.190 3.000 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 104.830 -6.000 105.390 3.000 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 114.030 -6.000 114.590 3.000 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 10.280 3.000 10.420 6.700 ;
RECT 10.070 -6.000 10.630 3.000 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 22.700 3.000 22.840 6.700 ;
RECT 22.490 -6.000 23.050 3.000 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 126.200 3.000 126.340 6.700 ;
RECT 125.990 -6.000 126.550 3.000 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 135.400 3.000 135.540 6.700 ;
RECT 135.190 -6.000 135.750 3.000 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 144.600 3.000 144.740 6.700 ;
RECT 144.390 -6.000 144.950 3.000 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 153.800 3.000 153.940 6.700 ;
RECT 153.590 -6.000 154.150 3.000 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 163.000 3.000 163.140 6.700 ;
RECT 162.790 -6.000 163.350 3.000 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 172.200 3.000 172.340 6.700 ;
RECT 171.990 -6.000 172.550 3.000 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 181.400 3.000 181.540 6.700 ;
RECT 181.190 -6.000 181.750 3.000 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 190.140 3.000 190.280 6.700 ;
RECT 189.930 -6.000 190.490 3.000 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 199.340 3.000 199.480 6.700 ;
RECT 199.130 -6.000 199.690 3.000 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 209.000 3.130 209.140 6.700 ;
RECT 208.540 3.000 209.140 3.130 ;
RECT 208.330 2.990 209.140 3.000 ;
RECT 208.330 -6.000 208.890 2.990 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 34.660 3.000 34.800 6.700 ;
RECT 34.450 -6.000 35.010 3.000 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 217.740 3.000 217.880 6.700 ;
RECT 217.530 -6.000 218.090 3.000 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 226.940 3.000 227.080 6.700 ;
RECT 226.730 -6.000 227.290 3.000 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 236.140 3.000 236.280 6.700 ;
RECT 235.930 -6.000 236.490 3.000 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 245.340 3.000 245.480 6.700 ;
RECT 245.130 -6.000 245.690 3.000 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 254.540 3.000 254.680 6.700 ;
RECT 254.330 -6.000 254.890 3.000 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 263.740 3.000 263.880 6.700 ;
RECT 263.530 -6.000 264.090 3.000 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 271.560 3.130 271.700 6.700 ;
RECT 271.560 3.000 272.620 3.130 ;
RECT 271.560 2.990 272.830 3.000 ;
RECT 272.270 -6.000 272.830 2.990 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 280.760 3.130 280.900 6.700 ;
RECT 280.760 3.000 281.820 3.130 ;
RECT 280.760 2.990 282.030 3.000 ;
RECT 281.470 -6.000 282.030 2.990 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 290.880 3.000 291.020 6.700 ;
RECT 290.670 -6.000 291.230 3.000 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 300.540 3.130 300.680 6.700 ;
RECT 300.080 3.000 300.680 3.130 ;
RECT 299.870 2.990 300.680 3.000 ;
RECT 299.870 -6.000 300.430 2.990 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 47.080 3.000 47.220 6.700 ;
RECT 46.870 -6.000 47.430 3.000 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 309.280 3.000 309.420 6.700 ;
RECT 309.070 -6.000 309.630 3.000 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 318.480 3.000 318.620 6.700 ;
RECT 318.270 -6.000 318.830 3.000 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 59.040 3.000 59.180 6.700 ;
RECT 58.830 -6.000 59.390 3.000 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 71.460 3.000 71.600 6.700 ;
RECT 71.250 -6.000 71.810 3.000 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 80.660 3.000 80.800 6.700 ;
RECT 80.450 -6.000 81.010 3.000 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 89.860 3.000 90.000 6.700 ;
RECT 89.650 -6.000 90.210 3.000 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 99.060 3.000 99.200 6.700 ;
RECT 98.850 -6.000 99.410 3.000 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 107.800 3.000 107.940 6.700 ;
RECT 107.590 -6.000 108.150 3.000 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 117.000 3.000 117.140 6.700 ;
RECT 116.790 -6.000 117.350 3.000 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 25.920 3.000 26.060 6.700 ;
RECT 25.710 -6.000 26.270 3.000 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 129.420 3.000 129.560 6.700 ;
RECT 129.210 -6.000 129.770 3.000 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 138.620 3.000 138.760 6.700 ;
RECT 138.410 -6.000 138.970 3.000 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 147.820 3.000 147.960 6.700 ;
RECT 147.610 -6.000 148.170 3.000 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 157.020 3.000 157.160 6.700 ;
RECT 156.810 -6.000 157.370 3.000 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 165.760 3.000 165.900 6.700 ;
RECT 165.550 -6.000 166.110 3.000 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 174.960 3.000 175.100 6.700 ;
RECT 174.750 -6.000 175.310 3.000 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 184.160 3.000 184.300 6.700 ;
RECT 183.950 -6.000 184.510 3.000 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 193.360 3.000 193.500 6.700 ;
RECT 193.150 -6.000 193.710 3.000 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 202.560 3.000 202.700 6.700 ;
RECT 202.350 -6.000 202.910 3.000 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 211.760 3.000 211.900 6.700 ;
RECT 211.550 -6.000 212.110 3.000 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 37.880 3.000 38.020 6.700 ;
RECT 37.670 -6.000 38.230 3.000 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 220.960 3.000 221.100 6.700 ;
RECT 220.750 -6.000 221.310 3.000 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 230.160 3.000 230.300 6.700 ;
RECT 229.950 -6.000 230.510 3.000 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 238.900 3.000 239.040 6.700 ;
RECT 238.690 -6.000 239.250 3.000 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 248.100 3.000 248.240 6.700 ;
RECT 247.890 -6.000 248.450 3.000 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 256.840 3.130 256.980 6.700 ;
RECT 256.840 3.000 257.440 3.130 ;
RECT 256.840 2.990 257.650 3.000 ;
RECT 257.090 -6.000 257.650 2.990 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 266.500 3.000 266.640 6.700 ;
RECT 266.290 -6.000 266.850 3.000 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 276.160 3.130 276.300 6.700 ;
RECT 275.700 3.000 276.300 3.130 ;
RECT 275.490 2.990 276.300 3.000 ;
RECT 275.490 -6.000 276.050 2.990 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 284.900 3.000 285.040 6.700 ;
RECT 284.690 -6.000 285.250 3.000 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 294.100 3.000 294.240 6.700 ;
RECT 293.890 -6.000 294.450 3.000 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 303.300 3.000 303.440 6.700 ;
RECT 303.090 -6.000 303.650 3.000 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 50.300 3.000 50.440 6.700 ;
RECT 50.090 -6.000 50.650 3.000 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 312.500 3.000 312.640 6.700 ;
RECT 312.290 -6.000 312.850 3.000 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 321.240 3.000 321.380 6.700 ;
RECT 321.030 -6.000 321.590 3.000 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 62.260 3.000 62.400 6.700 ;
RECT 62.050 -6.000 62.610 3.000 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 74.680 3.000 74.820 6.700 ;
RECT 74.470 -6.000 75.030 3.000 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 83.420 3.000 83.560 6.700 ;
RECT 83.210 -6.000 83.770 3.000 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 92.620 3.000 92.760 6.700 ;
RECT 92.410 -6.000 92.970 3.000 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 101.820 3.000 101.960 6.700 ;
RECT 101.610 -6.000 102.170 3.000 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 111.020 3.000 111.160 6.700 ;
RECT 110.810 -6.000 111.370 3.000 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 120.220 3.000 120.360 6.700 ;
RECT 120.010 -6.000 120.570 3.000 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 28.680 3.000 28.820 6.700 ;
RECT 28.470 -6.000 29.030 3.000 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 41.100 3.000 41.240 6.700 ;
RECT 40.890 -6.000 41.450 3.000 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 53.060 3.000 53.200 6.700 ;
RECT 52.850 -6.000 53.410 3.000 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 65.480 3.000 65.620 6.700 ;
RECT 65.270 -6.000 65.830 3.000 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 13.500 3.000 13.640 6.700 ;
RECT 13.290 -6.000 13.850 3.000 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 16.720 3.000 16.860 6.700 ;
RECT 16.510 -6.000 17.070 3.000 ;
END
END wbs_we_i
PIN VPWR
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER nwell ;
RECT 5.330 1483.705 6.700 1486.535 ;
RECT 1493.300 1483.705 1494.270 1486.535 ;
RECT 5.330 1478.265 6.700 1481.095 ;
RECT 1493.300 1478.265 1494.270 1481.095 ;
RECT 5.330 1472.825 6.700 1475.655 ;
RECT 1493.300 1472.825 1494.270 1475.655 ;
RECT 5.330 1467.385 6.700 1470.215 ;
RECT 1493.300 1467.385 1494.270 1470.215 ;
RECT 5.330 1461.945 6.700 1464.775 ;
RECT 1493.300 1461.945 1494.270 1464.775 ;
RECT 5.330 1456.505 6.700 1459.335 ;
RECT 1493.300 1456.505 1494.270 1459.335 ;
RECT 5.330 1451.065 6.700 1453.895 ;
RECT 1493.300 1451.065 1494.270 1453.895 ;
RECT 5.330 1445.625 6.700 1448.455 ;
RECT 1493.300 1445.625 1494.270 1448.455 ;
RECT 5.330 1440.185 6.700 1443.015 ;
RECT 1493.300 1440.185 1494.270 1443.015 ;
RECT 5.330 1434.745 6.700 1437.575 ;
RECT 1493.300 1434.745 1494.270 1437.575 ;
RECT 5.330 1429.305 6.700 1432.135 ;
RECT 1493.300 1429.305 1494.270 1432.135 ;
RECT 5.330 1423.865 6.700 1426.695 ;
RECT 1493.300 1423.865 1494.270 1426.695 ;
RECT 5.330 1418.425 6.700 1421.255 ;
RECT 1493.300 1418.425 1494.270 1421.255 ;
RECT 5.330 1412.985 6.700 1415.815 ;
RECT 1493.300 1412.985 1494.270 1415.815 ;
RECT 5.330 1407.545 6.700 1410.375 ;
RECT 1493.300 1407.545 1494.270 1410.375 ;
RECT 5.330 1402.105 6.700 1404.935 ;
RECT 1493.300 1402.105 1494.270 1404.935 ;
RECT 5.330 1396.665 6.700 1399.495 ;
RECT 1493.300 1396.665 1494.270 1399.495 ;
RECT 5.330 1391.225 6.700 1394.055 ;
RECT 1493.300 1391.225 1494.270 1394.055 ;
RECT 5.330 1385.785 6.700 1388.615 ;
RECT 1493.300 1385.785 1494.270 1388.615 ;
RECT 5.330 1380.345 6.700 1383.175 ;
RECT 1493.300 1380.345 1494.270 1383.175 ;
RECT 5.330 1374.905 6.700 1377.735 ;
RECT 1493.300 1374.905 1494.270 1377.735 ;
RECT 5.330 1369.465 6.700 1372.295 ;
RECT 1493.300 1369.465 1494.270 1372.295 ;
RECT 5.330 1364.025 6.700 1366.855 ;
RECT 1493.300 1364.025 1494.270 1366.855 ;
RECT 5.330 1358.585 6.700 1361.415 ;
RECT 1493.300 1358.585 1494.270 1361.415 ;
RECT 5.330 1353.145 6.700 1355.975 ;
RECT 1493.300 1353.145 1494.270 1355.975 ;
RECT 5.330 1347.705 6.700 1350.535 ;
RECT 1493.300 1347.705 1494.270 1350.535 ;
RECT 5.330 1342.265 6.700 1345.095 ;
RECT 1493.300 1342.265 1494.270 1345.095 ;
RECT 5.330 1336.825 6.700 1339.655 ;
RECT 1493.300 1336.825 1494.270 1339.655 ;
RECT 5.330 1331.385 6.700 1334.215 ;
RECT 1493.300 1331.385 1494.270 1334.215 ;
RECT 5.330 1325.945 6.700 1328.775 ;
RECT 1493.300 1325.945 1494.270 1328.775 ;
RECT 5.330 1320.505 6.700 1323.335 ;
RECT 1493.300 1320.505 1494.270 1323.335 ;
RECT 5.330 1315.065 6.700 1317.895 ;
RECT 1493.300 1315.065 1494.270 1317.895 ;
RECT 5.330 1309.625 6.700 1312.455 ;
RECT 1493.300 1309.625 1494.270 1312.455 ;
RECT 5.330 1304.185 6.700 1307.015 ;
RECT 1493.300 1304.185 1494.270 1307.015 ;
RECT 5.330 1298.745 6.700 1301.575 ;
RECT 1493.300 1298.745 1494.270 1301.575 ;
RECT 5.330 1293.305 6.700 1296.135 ;
RECT 1493.300 1293.305 1494.270 1296.135 ;
RECT 5.330 1287.865 6.700 1290.695 ;
RECT 1493.300 1287.865 1494.270 1290.695 ;
RECT 5.330 1282.425 6.700 1285.255 ;
RECT 1493.300 1282.425 1494.270 1285.255 ;
RECT 5.330 1276.985 6.700 1279.815 ;
RECT 1493.300 1276.985 1494.270 1279.815 ;
RECT 5.330 1271.545 6.700 1274.375 ;
RECT 1493.300 1271.545 1494.270 1274.375 ;
RECT 5.330 1266.105 6.700 1268.935 ;
RECT 1493.300 1266.105 1494.270 1268.935 ;
RECT 5.330 1260.665 6.700 1263.495 ;
RECT 1493.300 1260.665 1494.270 1263.495 ;
RECT 5.330 1255.225 6.700 1258.055 ;
RECT 1493.300 1255.225 1494.270 1258.055 ;
RECT 5.330 1249.785 6.700 1252.615 ;
RECT 1493.300 1249.785 1494.270 1252.615 ;
RECT 5.330 1244.345 6.700 1247.175 ;
RECT 1493.300 1244.345 1494.270 1247.175 ;
RECT 5.330 1238.905 6.700 1241.735 ;
RECT 1493.300 1238.905 1494.270 1241.735 ;
RECT 5.330 1233.465 6.700 1236.295 ;
RECT 1493.300 1233.465 1494.270 1236.295 ;
RECT 5.330 1228.025 6.700 1230.855 ;
RECT 1493.300 1228.025 1494.270 1230.855 ;
RECT 5.330 1222.585 6.700 1225.415 ;
RECT 1493.300 1222.585 1494.270 1225.415 ;
RECT 5.330 1217.145 6.700 1219.975 ;
RECT 1493.300 1217.145 1494.270 1219.975 ;
RECT 5.330 1211.705 6.700 1214.535 ;
RECT 1493.300 1211.705 1494.270 1214.535 ;
RECT 5.330 1206.265 6.700 1209.095 ;
RECT 1493.300 1206.265 1494.270 1209.095 ;
RECT 5.330 1200.825 6.700 1203.655 ;
RECT 1493.300 1200.825 1494.270 1203.655 ;
RECT 5.330 1195.385 6.700 1198.215 ;
RECT 1493.300 1195.385 1494.270 1198.215 ;
RECT 5.330 1189.945 6.700 1192.775 ;
RECT 1493.300 1189.945 1494.270 1192.775 ;
RECT 5.330 1184.505 6.700 1187.335 ;
RECT 1493.300 1184.505 1494.270 1187.335 ;
RECT 5.330 1179.065 6.700 1181.895 ;
RECT 1493.300 1179.065 1494.270 1181.895 ;
RECT 5.330 1173.625 6.700 1176.455 ;
RECT 1493.300 1173.625 1494.270 1176.455 ;
RECT 5.330 1168.185 6.700 1171.015 ;
RECT 1493.300 1168.185 1494.270 1171.015 ;
RECT 5.330 1162.745 6.700 1165.575 ;
RECT 1493.300 1162.745 1494.270 1165.575 ;
RECT 5.330 1157.305 6.700 1160.135 ;
RECT 1493.300 1157.305 1494.270 1160.135 ;
RECT 5.330 1151.865 6.700 1154.695 ;
RECT 1493.300 1151.865 1494.270 1154.695 ;
RECT 5.330 1146.425 6.700 1149.255 ;
RECT 1493.300 1146.425 1494.270 1149.255 ;
RECT 5.330 1140.985 6.700 1143.815 ;
RECT 1493.300 1140.985 1494.270 1143.815 ;
RECT 5.330 1135.545 6.700 1138.375 ;
RECT 1493.300 1135.545 1494.270 1138.375 ;
RECT 5.330 1130.105 6.700 1132.935 ;
RECT 1493.300 1130.105 1494.270 1132.935 ;
RECT 5.330 1124.665 6.700 1127.495 ;
RECT 1493.300 1124.665 1494.270 1127.495 ;
RECT 5.330 1119.225 6.700 1122.055 ;
RECT 1493.300 1119.225 1494.270 1122.055 ;
RECT 5.330 1113.785 6.700 1116.615 ;
RECT 1493.300 1113.785 1494.270 1116.615 ;
RECT 5.330 1108.345 6.700 1111.175 ;
RECT 1493.300 1108.345 1494.270 1111.175 ;
RECT 5.330 1102.905 6.700 1105.735 ;
RECT 1493.300 1102.905 1494.270 1105.735 ;
RECT 5.330 1097.465 6.700 1100.295 ;
RECT 1493.300 1097.465 1494.270 1100.295 ;
RECT 5.330 1092.025 6.700 1094.855 ;
RECT 1493.300 1092.025 1494.270 1094.855 ;
RECT 5.330 1086.585 6.700 1089.415 ;
RECT 1493.300 1086.585 1494.270 1089.415 ;
RECT 5.330 1081.145 6.700 1083.975 ;
RECT 1493.300 1081.145 1494.270 1083.975 ;
RECT 5.330 1075.705 6.700 1078.535 ;
RECT 1493.300 1075.705 1494.270 1078.535 ;
RECT 5.330 1070.265 6.700 1073.095 ;
RECT 1493.300 1070.265 1494.270 1073.095 ;
RECT 5.330 1064.825 6.700 1067.655 ;
RECT 1493.300 1064.825 1494.270 1067.655 ;
RECT 5.330 1059.385 6.700 1062.215 ;
RECT 1493.300 1059.385 1494.270 1062.215 ;
RECT 5.330 1053.945 6.700 1056.775 ;
RECT 1493.300 1053.945 1494.270 1056.775 ;
RECT 5.330 1048.505 6.700 1051.335 ;
RECT 1493.300 1048.505 1494.270 1051.335 ;
RECT 5.330 1043.065 6.700 1045.895 ;
RECT 1493.300 1043.065 1494.270 1045.895 ;
RECT 5.330 1037.625 6.700 1040.455 ;
RECT 1493.300 1037.625 1494.270 1040.455 ;
RECT 5.330 1032.185 6.700 1035.015 ;
RECT 1493.300 1032.185 1494.270 1035.015 ;
RECT 5.330 1026.745 6.700 1029.575 ;
RECT 1493.300 1026.745 1494.270 1029.575 ;
RECT 5.330 1021.305 6.700 1024.135 ;
RECT 1493.300 1021.305 1494.270 1024.135 ;
RECT 5.330 1015.865 6.700 1018.695 ;
RECT 1493.300 1015.865 1494.270 1018.695 ;
RECT 5.330 1010.425 6.700 1013.255 ;
RECT 1493.300 1010.425 1494.270 1013.255 ;
RECT 5.330 1004.985 6.700 1007.815 ;
RECT 1493.300 1004.985 1494.270 1007.815 ;
RECT 5.330 999.545 6.700 1002.375 ;
RECT 1493.300 999.545 1494.270 1002.375 ;
RECT 5.330 994.105 6.700 996.935 ;
RECT 1493.300 994.105 1494.270 996.935 ;
RECT 5.330 988.665 6.700 991.495 ;
RECT 1493.300 988.665 1494.270 991.495 ;
RECT 5.330 983.225 6.700 986.055 ;
RECT 1493.300 983.225 1494.270 986.055 ;
RECT 5.330 977.785 6.700 980.615 ;
RECT 1493.300 977.785 1494.270 980.615 ;
RECT 5.330 972.345 6.700 975.175 ;
RECT 1493.300 972.345 1494.270 975.175 ;
RECT 5.330 966.905 6.700 969.735 ;
RECT 1493.300 966.905 1494.270 969.735 ;
RECT 5.330 961.465 6.700 964.295 ;
RECT 1493.300 961.465 1494.270 964.295 ;
RECT 5.330 956.025 6.700 958.855 ;
RECT 1493.300 956.025 1494.270 958.855 ;
RECT 5.330 950.585 6.700 953.415 ;
RECT 1493.300 950.585 1494.270 953.415 ;
RECT 5.330 945.145 6.700 947.975 ;
RECT 1493.300 945.145 1494.270 947.975 ;
RECT 5.330 939.705 6.700 942.535 ;
RECT 1493.300 939.705 1494.270 942.535 ;
RECT 5.330 934.265 6.700 937.095 ;
RECT 1493.300 934.265 1494.270 937.095 ;
RECT 5.330 928.825 6.700 931.655 ;
RECT 1493.300 928.825 1494.270 931.655 ;
RECT 5.330 923.385 6.700 926.215 ;
RECT 1493.300 923.385 1494.270 926.215 ;
RECT 5.330 917.945 6.700 920.775 ;
RECT 1493.300 917.945 1494.270 920.775 ;
RECT 5.330 912.505 6.700 915.335 ;
RECT 1493.300 912.505 1494.270 915.335 ;
RECT 5.330 907.065 6.700 909.895 ;
RECT 1493.300 907.065 1494.270 909.895 ;
RECT 5.330 901.625 6.700 904.455 ;
RECT 1493.300 901.625 1494.270 904.455 ;
RECT 5.330 896.185 6.700 899.015 ;
RECT 1493.300 896.185 1494.270 899.015 ;
RECT 5.330 890.745 6.700 893.575 ;
RECT 1493.300 890.745 1494.270 893.575 ;
RECT 5.330 885.305 6.700 888.135 ;
RECT 1493.300 885.305 1494.270 888.135 ;
RECT 5.330 879.865 6.700 882.695 ;
RECT 1493.300 879.865 1494.270 882.695 ;
RECT 5.330 874.425 6.700 877.255 ;
RECT 1493.300 874.425 1494.270 877.255 ;
RECT 5.330 868.985 6.700 871.815 ;
RECT 1493.300 868.985 1494.270 871.815 ;
RECT 5.330 863.545 6.700 866.375 ;
RECT 1493.300 863.545 1494.270 866.375 ;
RECT 5.330 858.105 6.700 860.935 ;
RECT 1493.300 858.105 1494.270 860.935 ;
RECT 5.330 852.665 6.700 855.495 ;
RECT 1493.300 852.665 1494.270 855.495 ;
RECT 5.330 847.225 6.700 850.055 ;
RECT 1493.300 847.225 1494.270 850.055 ;
RECT 5.330 841.785 6.700 844.615 ;
RECT 1493.300 841.785 1494.270 844.615 ;
RECT 5.330 836.345 6.700 839.175 ;
RECT 1493.300 836.345 1494.270 839.175 ;
RECT 5.330 830.905 6.700 833.735 ;
RECT 1493.300 830.905 1494.270 833.735 ;
RECT 5.330 825.465 6.700 828.295 ;
RECT 1493.300 825.465 1494.270 828.295 ;
RECT 5.330 820.025 6.700 822.855 ;
RECT 1493.300 820.025 1494.270 822.855 ;
RECT 5.330 814.585 6.700 817.415 ;
RECT 1493.300 814.585 1494.270 817.415 ;
RECT 5.330 809.145 6.700 811.975 ;
RECT 1493.300 809.145 1494.270 811.975 ;
RECT 5.330 803.705 6.700 806.535 ;
RECT 1493.300 803.705 1494.270 806.535 ;
RECT 5.330 798.265 6.700 801.095 ;
RECT 1493.300 798.265 1494.270 801.095 ;
RECT 5.330 792.825 6.700 795.655 ;
RECT 1493.300 792.825 1494.270 795.655 ;
RECT 5.330 787.385 6.700 790.215 ;
RECT 1493.300 787.385 1494.270 790.215 ;
RECT 5.330 781.945 6.700 784.775 ;
RECT 1493.300 781.945 1494.270 784.775 ;
RECT 5.330 776.505 6.700 779.335 ;
RECT 1493.300 776.505 1494.270 779.335 ;
RECT 5.330 771.065 6.700 773.895 ;
RECT 1493.300 771.065 1494.270 773.895 ;
RECT 5.330 765.625 6.700 768.455 ;
RECT 1493.300 765.625 1494.270 768.455 ;
RECT 5.330 760.185 6.700 763.015 ;
RECT 1493.300 760.185 1494.270 763.015 ;
RECT 5.330 754.745 6.700 757.575 ;
RECT 1493.300 754.745 1494.270 757.575 ;
RECT 5.330 749.305 6.700 752.135 ;
RECT 1493.300 749.305 1494.270 752.135 ;
RECT 5.330 743.865 6.700 746.695 ;
RECT 1493.300 743.865 1494.270 746.695 ;
RECT 5.330 738.425 6.700 741.255 ;
RECT 1493.300 738.425 1494.270 741.255 ;
RECT 5.330 732.985 6.700 735.815 ;
RECT 1493.300 732.985 1494.270 735.815 ;
RECT 5.330 727.545 6.700 730.375 ;
RECT 1493.300 727.545 1494.270 730.375 ;
RECT 5.330 722.105 6.700 724.935 ;
RECT 1493.300 722.105 1494.270 724.935 ;
RECT 5.330 716.665 6.700 719.495 ;
RECT 1493.300 716.665 1494.270 719.495 ;
RECT 5.330 711.225 6.700 714.055 ;
RECT 1493.300 711.225 1494.270 714.055 ;
RECT 5.330 705.785 6.700 708.615 ;
RECT 1493.300 705.785 1494.270 708.615 ;
RECT 5.330 700.345 6.700 703.175 ;
RECT 1493.300 700.345 1494.270 703.175 ;
RECT 5.330 694.905 6.700 697.735 ;
RECT 1493.300 694.905 1494.270 697.735 ;
RECT 5.330 689.465 6.700 692.295 ;
RECT 1493.300 689.465 1494.270 692.295 ;
RECT 5.330 684.025 6.700 686.855 ;
RECT 1493.300 684.025 1494.270 686.855 ;
RECT 5.330 678.585 6.700 681.415 ;
RECT 1493.300 678.585 1494.270 681.415 ;
RECT 5.330 673.145 6.700 675.975 ;
RECT 1493.300 673.145 1494.270 675.975 ;
RECT 5.330 667.705 6.700 670.535 ;
RECT 1493.300 667.705 1494.270 670.535 ;
RECT 5.330 662.265 6.700 665.095 ;
RECT 1493.300 662.265 1494.270 665.095 ;
RECT 5.330 656.825 6.700 659.655 ;
RECT 1493.300 656.825 1494.270 659.655 ;
RECT 5.330 651.385 6.700 654.215 ;
RECT 1493.300 651.385 1494.270 654.215 ;
RECT 5.330 645.945 6.700 648.775 ;
RECT 1493.300 645.945 1494.270 648.775 ;
RECT 5.330 640.505 6.700 643.335 ;
RECT 1493.300 640.505 1494.270 643.335 ;
RECT 5.330 635.065 6.700 637.895 ;
RECT 1493.300 635.065 1494.270 637.895 ;
RECT 5.330 629.625 6.700 632.455 ;
RECT 1493.300 629.625 1494.270 632.455 ;
RECT 5.330 624.185 6.700 627.015 ;
RECT 1493.300 624.185 1494.270 627.015 ;
RECT 5.330 618.745 6.700 621.575 ;
RECT 1493.300 618.745 1494.270 621.575 ;
RECT 5.330 613.305 6.700 616.135 ;
RECT 1493.300 613.305 1494.270 616.135 ;
RECT 5.330 607.865 6.700 610.695 ;
RECT 1493.300 607.865 1494.270 610.695 ;
RECT 5.330 602.425 6.700 605.255 ;
RECT 1493.300 602.425 1494.270 605.255 ;
RECT 5.330 596.985 6.700 599.815 ;
RECT 1493.300 596.985 1494.270 599.815 ;
RECT 5.330 591.545 6.700 594.375 ;
RECT 1493.300 591.545 1494.270 594.375 ;
RECT 5.330 586.105 6.700 588.935 ;
RECT 1493.300 586.105 1494.270 588.935 ;
RECT 5.330 580.665 6.700 583.495 ;
RECT 1493.300 580.665 1494.270 583.495 ;
RECT 5.330 575.225 6.700 578.055 ;
RECT 1493.300 575.225 1494.270 578.055 ;
RECT 5.330 569.785 6.700 572.615 ;
RECT 1493.300 569.785 1494.270 572.615 ;
RECT 5.330 564.345 6.700 567.175 ;
RECT 1493.300 564.345 1494.270 567.175 ;
RECT 5.330 558.905 6.700 561.735 ;
RECT 1493.300 558.905 1494.270 561.735 ;
RECT 5.330 553.465 6.700 556.295 ;
RECT 1493.300 553.465 1494.270 556.295 ;
RECT 5.330 548.025 6.700 550.855 ;
RECT 1493.300 548.025 1494.270 550.855 ;
RECT 5.330 542.585 6.700 545.415 ;
RECT 1493.300 542.585 1494.270 545.415 ;
RECT 5.330 537.145 6.700 539.975 ;
RECT 1493.300 537.145 1494.270 539.975 ;
RECT 5.330 531.705 6.700 534.535 ;
RECT 1493.300 531.705 1494.270 534.535 ;
RECT 5.330 526.265 6.700 529.095 ;
RECT 1493.300 526.265 1494.270 529.095 ;
RECT 5.330 520.825 6.700 523.655 ;
RECT 1493.300 520.825 1494.270 523.655 ;
RECT 5.330 515.385 6.700 518.215 ;
RECT 1493.300 515.385 1494.270 518.215 ;
RECT 5.330 509.945 6.700 512.775 ;
RECT 1493.300 509.945 1494.270 512.775 ;
RECT 5.330 504.505 6.700 507.335 ;
RECT 1493.300 504.505 1494.270 507.335 ;
RECT 5.330 499.065 6.700 501.895 ;
RECT 1493.300 499.065 1494.270 501.895 ;
RECT 5.330 493.625 6.700 496.455 ;
RECT 1493.300 493.625 1494.270 496.455 ;
RECT 5.330 488.185 6.700 491.015 ;
RECT 1493.300 488.185 1494.270 491.015 ;
RECT 5.330 482.745 6.700 485.575 ;
RECT 1493.300 482.745 1494.270 485.575 ;
RECT 5.330 477.305 6.700 480.135 ;
RECT 1493.300 477.305 1494.270 480.135 ;
RECT 5.330 471.865 6.700 474.695 ;
RECT 1493.300 471.865 1494.270 474.695 ;
RECT 5.330 466.425 6.700 469.255 ;
RECT 1493.300 466.425 1494.270 469.255 ;
RECT 5.330 460.985 6.700 463.815 ;
RECT 1493.300 460.985 1494.270 463.815 ;
RECT 5.330 455.545 6.700 458.375 ;
RECT 1493.300 455.545 1494.270 458.375 ;
RECT 5.330 450.105 6.700 452.935 ;
RECT 1493.300 450.105 1494.270 452.935 ;
RECT 5.330 444.665 6.700 447.495 ;
RECT 1493.300 444.665 1494.270 447.495 ;
RECT 5.330 439.225 6.700 442.055 ;
RECT 1493.300 439.225 1494.270 442.055 ;
RECT 5.330 433.785 6.700 436.615 ;
RECT 1493.300 433.785 1494.270 436.615 ;
RECT 5.330 428.345 6.700 431.175 ;
RECT 1493.300 428.345 1494.270 431.175 ;
RECT 5.330 422.905 6.700 425.735 ;
RECT 1493.300 422.905 1494.270 425.735 ;
RECT 5.330 417.465 6.700 420.295 ;
RECT 1493.300 417.465 1494.270 420.295 ;
RECT 5.330 412.025 6.700 414.855 ;
RECT 1493.300 412.025 1494.270 414.855 ;
RECT 5.330 406.585 6.700 409.415 ;
RECT 1493.300 406.585 1494.270 409.415 ;
RECT 5.330 401.145 6.700 403.975 ;
RECT 1493.300 401.145 1494.270 403.975 ;
RECT 5.330 395.705 6.700 398.535 ;
RECT 1493.300 395.705 1494.270 398.535 ;
RECT 5.330 390.265 6.700 393.095 ;
RECT 1493.300 390.265 1494.270 393.095 ;
RECT 5.330 384.825 6.700 387.655 ;
RECT 1493.300 384.825 1494.270 387.655 ;
RECT 5.330 379.385 6.700 382.215 ;
RECT 1493.300 379.385 1494.270 382.215 ;
RECT 5.330 373.945 6.700 376.775 ;
RECT 1493.300 373.945 1494.270 376.775 ;
RECT 5.330 368.505 6.700 371.335 ;
RECT 1493.300 368.505 1494.270 371.335 ;
RECT 5.330 363.065 6.700 365.895 ;
RECT 1493.300 363.065 1494.270 365.895 ;
RECT 5.330 357.625 6.700 360.455 ;
RECT 1493.300 357.625 1494.270 360.455 ;
RECT 5.330 352.185 6.700 355.015 ;
RECT 1493.300 352.185 1494.270 355.015 ;
RECT 5.330 346.745 6.700 349.575 ;
RECT 1493.300 346.745 1494.270 349.575 ;
RECT 5.330 341.305 6.700 344.135 ;
RECT 1493.300 341.305 1494.270 344.135 ;
RECT 5.330 335.865 6.700 338.695 ;
RECT 1493.300 335.865 1494.270 338.695 ;
RECT 5.330 330.425 6.700 333.255 ;
RECT 1493.300 330.425 1494.270 333.255 ;
RECT 5.330 324.985 6.700 327.815 ;
RECT 1493.300 324.985 1494.270 327.815 ;
RECT 5.330 319.545 6.700 322.375 ;
RECT 1493.300 319.545 1494.270 322.375 ;
RECT 5.330 314.105 6.700 316.935 ;
RECT 1493.300 314.105 1494.270 316.935 ;
RECT 5.330 308.665 6.700 311.495 ;
RECT 1493.300 308.665 1494.270 311.495 ;
RECT 5.330 303.225 6.700 306.055 ;
RECT 1493.300 303.225 1494.270 306.055 ;
RECT 5.330 297.785 6.700 300.615 ;
RECT 1493.300 297.785 1494.270 300.615 ;
RECT 5.330 292.345 6.700 295.175 ;
RECT 1493.300 292.345 1494.270 295.175 ;
RECT 5.330 286.905 6.700 289.735 ;
RECT 1493.300 286.905 1494.270 289.735 ;
RECT 5.330 281.465 6.700 284.295 ;
RECT 1493.300 281.465 1494.270 284.295 ;
RECT 5.330 276.025 6.700 278.855 ;
RECT 1493.300 276.025 1494.270 278.855 ;
RECT 5.330 270.585 6.700 273.415 ;
RECT 1493.300 270.585 1494.270 273.415 ;
RECT 5.330 265.145 6.700 267.975 ;
RECT 1493.300 265.145 1494.270 267.975 ;
RECT 5.330 259.705 6.700 262.535 ;
RECT 1493.300 259.705 1494.270 262.535 ;
RECT 5.330 254.265 6.700 257.095 ;
RECT 1493.300 254.265 1494.270 257.095 ;
RECT 5.330 248.825 6.700 251.655 ;
RECT 1493.300 248.825 1494.270 251.655 ;
RECT 5.330 243.385 6.700 246.215 ;
RECT 1493.300 243.385 1494.270 246.215 ;
RECT 5.330 237.945 6.700 240.775 ;
RECT 1493.300 237.945 1494.270 240.775 ;
RECT 5.330 232.505 6.700 235.335 ;
RECT 1493.300 232.505 1494.270 235.335 ;
RECT 5.330 227.065 6.700 229.895 ;
RECT 1493.300 227.065 1494.270 229.895 ;
RECT 5.330 221.625 6.700 224.455 ;
RECT 1493.300 221.625 1494.270 224.455 ;
RECT 5.330 216.185 6.700 219.015 ;
RECT 1493.300 216.185 1494.270 219.015 ;
RECT 5.330 210.745 6.700 213.575 ;
RECT 1493.300 210.745 1494.270 213.575 ;
RECT 5.330 205.305 6.700 208.135 ;
RECT 1493.300 205.305 1494.270 208.135 ;
RECT 5.330 199.865 6.700 202.695 ;
RECT 1493.300 199.865 1494.270 202.695 ;
RECT 5.330 194.425 6.700 197.255 ;
RECT 1493.300 194.425 1494.270 197.255 ;
RECT 5.330 188.985 6.700 191.815 ;
RECT 1493.300 188.985 1494.270 191.815 ;
RECT 5.330 183.545 6.700 186.375 ;
RECT 1493.300 183.545 1494.270 186.375 ;
RECT 5.330 178.105 6.700 180.935 ;
RECT 1493.300 178.105 1494.270 180.935 ;
RECT 5.330 172.665 6.700 175.495 ;
RECT 1493.300 172.665 1494.270 175.495 ;
RECT 5.330 167.225 6.700 170.055 ;
RECT 1493.300 167.225 1494.270 170.055 ;
RECT 5.330 161.785 6.700 164.615 ;
RECT 1493.300 161.785 1494.270 164.615 ;
RECT 5.330 156.345 6.700 159.175 ;
RECT 1493.300 156.345 1494.270 159.175 ;
RECT 5.330 150.905 6.700 153.735 ;
RECT 1493.300 150.905 1494.270 153.735 ;
RECT 5.330 145.465 6.700 148.295 ;
RECT 1493.300 145.465 1494.270 148.295 ;
RECT 5.330 140.025 6.700 142.855 ;
RECT 1493.300 140.025 1494.270 142.855 ;
RECT 5.330 134.585 6.700 137.415 ;
RECT 1493.300 134.585 1494.270 137.415 ;
RECT 5.330 129.145 6.700 131.975 ;
RECT 1493.300 129.145 1494.270 131.975 ;
RECT 5.330 123.705 6.700 126.535 ;
RECT 1493.300 123.705 1494.270 126.535 ;
RECT 5.330 118.265 6.700 121.095 ;
RECT 1493.300 118.265 1494.270 121.095 ;
RECT 5.330 112.825 6.700 115.655 ;
RECT 1493.300 112.825 1494.270 115.655 ;
RECT 5.330 107.385 6.700 110.215 ;
RECT 1493.300 107.385 1494.270 110.215 ;
RECT 5.330 101.945 6.700 104.775 ;
RECT 1493.300 101.945 1494.270 104.775 ;
RECT 5.330 96.505 6.700 99.335 ;
RECT 1493.300 96.505 1494.270 99.335 ;
RECT 5.330 91.065 6.700 93.895 ;
RECT 1493.300 91.065 1494.270 93.895 ;
RECT 5.330 85.625 6.700 88.455 ;
RECT 1493.300 85.625 1494.270 88.455 ;
RECT 5.330 80.185 6.700 83.015 ;
RECT 1493.300 80.185 1494.270 83.015 ;
RECT 5.330 74.745 6.700 77.575 ;
RECT 1493.300 74.745 1494.270 77.575 ;
RECT 5.330 69.305 6.700 72.135 ;
RECT 1493.300 69.305 1494.270 72.135 ;
RECT 5.330 63.865 6.700 66.695 ;
RECT 1493.300 63.865 1494.270 66.695 ;
RECT 5.330 58.425 6.700 61.255 ;
RECT 1493.300 58.425 1494.270 61.255 ;
RECT 5.330 52.985 6.700 55.815 ;
RECT 1493.300 52.985 1494.270 55.815 ;
RECT 5.330 47.545 6.700 50.375 ;
RECT 1493.300 47.545 1494.270 50.375 ;
RECT 5.330 42.105 6.700 44.935 ;
RECT 1493.300 42.105 1494.270 44.935 ;
RECT 5.330 36.665 6.700 39.495 ;
RECT 1493.300 36.665 1494.270 39.495 ;
RECT 5.330 31.225 6.700 34.055 ;
RECT 1493.300 31.225 1494.270 34.055 ;
RECT 5.330 25.785 6.700 28.615 ;
RECT 1493.300 25.785 1494.270 28.615 ;
RECT 5.330 20.345 6.700 23.175 ;
RECT 1493.300 20.345 1494.270 23.175 ;
RECT 5.330 14.905 6.700 17.735 ;
RECT 1493.300 14.905 1494.270 17.735 ;
RECT 5.330 10.690 6.700 12.295 ;
RECT 1493.300 10.690 1494.270 12.295 ;
LAYER li1 ;
RECT 6.295 1486.295 6.700 1486.835 ;
RECT 5.605 1485.205 6.700 1486.295 ;
RECT 5.520 1485.035 6.700 1485.205 ;
RECT 5.605 1483.945 6.700 1485.035 ;
RECT 6.295 1483.405 6.700 1483.945 ;
RECT 1493.300 1486.295 1493.305 1486.835 ;
RECT 1493.300 1485.205 1493.995 1486.295 ;
RECT 1493.300 1485.035 1494.080 1485.205 ;
RECT 1493.300 1483.945 1493.995 1485.035 ;
RECT 1493.300 1483.405 1493.305 1483.945 ;
RECT 6.295 1480.855 6.700 1481.395 ;
RECT 5.605 1479.765 6.700 1480.855 ;
RECT 5.520 1479.595 6.700 1479.765 ;
RECT 5.605 1478.505 6.700 1479.595 ;
RECT 6.295 1477.965 6.700 1478.505 ;
RECT 1493.300 1480.855 1493.305 1481.395 ;
RECT 1493.300 1479.765 1493.995 1480.855 ;
RECT 1493.300 1479.595 1494.080 1479.765 ;
RECT 1493.300 1478.505 1493.995 1479.595 ;
RECT 1493.300 1477.965 1493.305 1478.505 ;
RECT 6.295 1475.415 6.700 1475.955 ;
RECT 5.605 1474.325 6.700 1475.415 ;
RECT 5.520 1474.155 6.700 1474.325 ;
RECT 5.605 1473.065 6.700 1474.155 ;
RECT 6.295 1472.525 6.700 1473.065 ;
RECT 1493.300 1475.415 1493.305 1475.955 ;
RECT 1493.300 1474.325 1493.995 1475.415 ;
RECT 1493.300 1474.155 1494.080 1474.325 ;
RECT 1493.300 1473.065 1493.995 1474.155 ;
RECT 1493.300 1472.525 1493.305 1473.065 ;
RECT 6.295 1469.975 6.700 1470.515 ;
RECT 5.605 1468.885 6.700 1469.975 ;
RECT 5.520 1468.715 6.700 1468.885 ;
RECT 5.605 1467.625 6.700 1468.715 ;
RECT 6.295 1467.085 6.700 1467.625 ;
RECT 1493.300 1469.975 1493.305 1470.515 ;
RECT 1493.300 1468.885 1493.995 1469.975 ;
RECT 1493.300 1468.715 1494.080 1468.885 ;
RECT 1493.300 1467.625 1493.995 1468.715 ;
RECT 1493.300 1467.085 1493.305 1467.625 ;
RECT 6.295 1464.535 6.700 1465.075 ;
RECT 5.605 1463.445 6.700 1464.535 ;
RECT 5.520 1463.275 6.700 1463.445 ;
RECT 5.605 1462.185 6.700 1463.275 ;
RECT 6.295 1461.645 6.700 1462.185 ;
RECT 1493.300 1464.535 1493.305 1465.075 ;
RECT 1493.300 1463.445 1493.995 1464.535 ;
RECT 1493.300 1463.275 1494.080 1463.445 ;
RECT 1493.300 1462.185 1493.995 1463.275 ;
RECT 1493.300 1461.645 1493.305 1462.185 ;
RECT 6.295 1459.095 6.700 1459.635 ;
RECT 5.605 1458.005 6.700 1459.095 ;
RECT 5.520 1457.835 6.700 1458.005 ;
RECT 5.605 1456.745 6.700 1457.835 ;
RECT 6.295 1456.205 6.700 1456.745 ;
RECT 1493.300 1459.095 1493.305 1459.635 ;
RECT 1493.300 1458.005 1493.995 1459.095 ;
RECT 1493.300 1457.835 1494.080 1458.005 ;
RECT 1493.300 1456.745 1493.995 1457.835 ;
RECT 1493.300 1456.205 1493.305 1456.745 ;
RECT 6.295 1453.655 6.700 1454.195 ;
RECT 5.605 1452.565 6.700 1453.655 ;
RECT 5.520 1452.395 6.700 1452.565 ;
RECT 5.605 1451.305 6.700 1452.395 ;
RECT 6.295 1450.765 6.700 1451.305 ;
RECT 1493.300 1453.655 1493.305 1454.195 ;
RECT 1493.300 1452.565 1493.995 1453.655 ;
RECT 1493.300 1452.395 1494.080 1452.565 ;
RECT 1493.300 1451.305 1493.995 1452.395 ;
RECT 1493.300 1450.765 1493.305 1451.305 ;
RECT 6.295 1448.215 6.700 1448.755 ;
RECT 5.605 1447.125 6.700 1448.215 ;
RECT 5.520 1446.955 6.700 1447.125 ;
RECT 5.605 1445.865 6.700 1446.955 ;
RECT 6.295 1445.325 6.700 1445.865 ;
RECT 1493.300 1448.215 1493.305 1448.755 ;
RECT 1493.300 1447.125 1493.995 1448.215 ;
RECT 1493.300 1446.955 1494.080 1447.125 ;
RECT 1493.300 1445.865 1493.995 1446.955 ;
RECT 1493.300 1445.325 1493.305 1445.865 ;
RECT 6.295 1442.775 6.700 1443.315 ;
RECT 5.605 1441.685 6.700 1442.775 ;
RECT 5.520 1441.515 6.700 1441.685 ;
RECT 5.605 1440.425 6.700 1441.515 ;
RECT 6.295 1439.885 6.700 1440.425 ;
RECT 1493.300 1442.775 1493.305 1443.315 ;
RECT 1493.300 1441.685 1493.995 1442.775 ;
RECT 1493.300 1441.515 1494.080 1441.685 ;
RECT 1493.300 1440.425 1493.995 1441.515 ;
RECT 1493.300 1439.885 1493.305 1440.425 ;
RECT 6.295 1437.335 6.700 1437.875 ;
RECT 5.605 1436.245 6.700 1437.335 ;
RECT 5.520 1436.075 6.700 1436.245 ;
RECT 5.605 1434.985 6.700 1436.075 ;
RECT 6.295 1434.445 6.700 1434.985 ;
RECT 1493.300 1437.335 1493.305 1437.875 ;
RECT 1493.300 1436.245 1493.995 1437.335 ;
RECT 1493.300 1436.075 1494.080 1436.245 ;
RECT 1493.300 1434.985 1493.995 1436.075 ;
RECT 1493.300 1434.445 1493.305 1434.985 ;
RECT 6.295 1431.895 6.700 1432.435 ;
RECT 5.605 1430.805 6.700 1431.895 ;
RECT 5.520 1430.635 6.700 1430.805 ;
RECT 5.605 1429.545 6.700 1430.635 ;
RECT 6.295 1429.005 6.700 1429.545 ;
RECT 1493.300 1431.895 1493.305 1432.435 ;
RECT 1493.300 1430.805 1493.995 1431.895 ;
RECT 1493.300 1430.635 1494.080 1430.805 ;
RECT 1493.300 1429.545 1493.995 1430.635 ;
RECT 1493.300 1429.005 1493.305 1429.545 ;
RECT 6.295 1426.455 6.700 1426.995 ;
RECT 5.605 1425.365 6.700 1426.455 ;
RECT 5.520 1425.195 6.700 1425.365 ;
RECT 5.605 1424.105 6.700 1425.195 ;
RECT 6.295 1423.565 6.700 1424.105 ;
RECT 1493.300 1426.455 1493.305 1426.995 ;
RECT 1493.300 1425.365 1493.995 1426.455 ;
RECT 1493.300 1425.195 1494.080 1425.365 ;
RECT 1493.300 1424.105 1493.995 1425.195 ;
RECT 1493.300 1423.565 1493.305 1424.105 ;
RECT 6.295 1421.015 6.700 1421.555 ;
RECT 5.605 1419.925 6.700 1421.015 ;
RECT 5.520 1419.755 6.700 1419.925 ;
RECT 5.605 1418.665 6.700 1419.755 ;
RECT 6.295 1418.125 6.700 1418.665 ;
RECT 1493.300 1421.015 1493.305 1421.555 ;
RECT 1493.300 1419.925 1493.995 1421.015 ;
RECT 1493.300 1419.755 1494.080 1419.925 ;
RECT 1493.300 1418.665 1493.995 1419.755 ;
RECT 1493.300 1418.125 1493.305 1418.665 ;
RECT 6.295 1415.575 6.700 1416.115 ;
RECT 5.605 1414.485 6.700 1415.575 ;
RECT 5.520 1414.315 6.700 1414.485 ;
RECT 5.605 1413.225 6.700 1414.315 ;
RECT 6.295 1412.685 6.700 1413.225 ;
RECT 1493.300 1415.575 1493.305 1416.115 ;
RECT 1493.300 1414.485 1493.995 1415.575 ;
RECT 1493.300 1414.315 1494.080 1414.485 ;
RECT 1493.300 1413.225 1493.995 1414.315 ;
RECT 1493.300 1412.685 1493.305 1413.225 ;
RECT 6.295 1410.135 6.700 1410.675 ;
RECT 5.605 1409.045 6.700 1410.135 ;
RECT 5.520 1408.875 6.700 1409.045 ;
RECT 5.605 1407.785 6.700 1408.875 ;
RECT 6.295 1407.245 6.700 1407.785 ;
RECT 1493.300 1410.135 1493.305 1410.675 ;
RECT 1493.300 1409.045 1493.995 1410.135 ;
RECT 1493.300 1408.875 1494.080 1409.045 ;
RECT 1493.300 1407.785 1493.995 1408.875 ;
RECT 1493.300 1407.245 1493.305 1407.785 ;
RECT 6.295 1404.695 6.700 1405.235 ;
RECT 5.605 1403.605 6.700 1404.695 ;
RECT 5.520 1403.435 6.700 1403.605 ;
RECT 5.605 1402.345 6.700 1403.435 ;
RECT 6.295 1401.805 6.700 1402.345 ;
RECT 1493.300 1404.695 1493.305 1405.235 ;
RECT 1493.300 1403.605 1493.995 1404.695 ;
RECT 1493.300 1403.435 1494.080 1403.605 ;
RECT 1493.300 1402.345 1493.995 1403.435 ;
RECT 1493.300 1401.805 1493.305 1402.345 ;
RECT 6.295 1399.255 6.700 1399.795 ;
RECT 5.605 1398.165 6.700 1399.255 ;
RECT 5.520 1397.995 6.700 1398.165 ;
RECT 5.605 1396.905 6.700 1397.995 ;
RECT 6.295 1396.365 6.700 1396.905 ;
RECT 1493.300 1399.255 1493.305 1399.795 ;
RECT 1493.300 1398.165 1493.995 1399.255 ;
RECT 1493.300 1397.995 1494.080 1398.165 ;
RECT 1493.300 1396.905 1493.995 1397.995 ;
RECT 1493.300 1396.365 1493.305 1396.905 ;
RECT 6.295 1393.815 6.700 1394.355 ;
RECT 5.605 1392.725 6.700 1393.815 ;
RECT 5.520 1392.555 6.700 1392.725 ;
RECT 5.605 1391.465 6.700 1392.555 ;
RECT 6.295 1390.925 6.700 1391.465 ;
RECT 1493.300 1393.815 1493.305 1394.355 ;
RECT 1493.300 1392.725 1493.995 1393.815 ;
RECT 1493.300 1392.555 1494.080 1392.725 ;
RECT 1493.300 1391.465 1493.995 1392.555 ;
RECT 1493.300 1390.925 1493.305 1391.465 ;
RECT 6.295 1388.375 6.700 1388.915 ;
RECT 5.605 1387.285 6.700 1388.375 ;
RECT 5.520 1387.115 6.700 1387.285 ;
RECT 5.605 1386.025 6.700 1387.115 ;
RECT 6.295 1385.485 6.700 1386.025 ;
RECT 1493.300 1388.375 1493.305 1388.915 ;
RECT 1493.300 1387.285 1493.995 1388.375 ;
RECT 1493.300 1387.115 1494.080 1387.285 ;
RECT 1493.300 1386.025 1493.995 1387.115 ;
RECT 1493.300 1385.485 1493.305 1386.025 ;
RECT 6.295 1382.935 6.700 1383.475 ;
RECT 5.605 1381.845 6.700 1382.935 ;
RECT 5.520 1381.675 6.700 1381.845 ;
RECT 5.605 1380.585 6.700 1381.675 ;
RECT 6.295 1380.045 6.700 1380.585 ;
RECT 1493.300 1382.935 1493.305 1383.475 ;
RECT 1493.300 1381.845 1493.995 1382.935 ;
RECT 1493.300 1381.675 1494.080 1381.845 ;
RECT 1493.300 1380.585 1493.995 1381.675 ;
RECT 1493.300 1380.045 1493.305 1380.585 ;
RECT 6.295 1377.495 6.700 1378.035 ;
RECT 5.605 1376.405 6.700 1377.495 ;
RECT 5.520 1376.235 6.700 1376.405 ;
RECT 5.605 1375.145 6.700 1376.235 ;
RECT 6.295 1374.605 6.700 1375.145 ;
RECT 1493.300 1377.495 1493.305 1378.035 ;
RECT 1493.300 1376.405 1493.995 1377.495 ;
RECT 1493.300 1376.235 1494.080 1376.405 ;
RECT 1493.300 1375.145 1493.995 1376.235 ;
RECT 1493.300 1374.605 1493.305 1375.145 ;
RECT 6.295 1372.055 6.700 1372.595 ;
RECT 5.605 1370.965 6.700 1372.055 ;
RECT 5.520 1370.795 6.700 1370.965 ;
RECT 5.605 1369.705 6.700 1370.795 ;
RECT 6.295 1369.165 6.700 1369.705 ;
RECT 1493.300 1372.055 1493.305 1372.595 ;
RECT 1493.300 1370.965 1493.995 1372.055 ;
RECT 1493.300 1370.795 1494.080 1370.965 ;
RECT 1493.300 1369.705 1493.995 1370.795 ;
RECT 1493.300 1369.165 1493.305 1369.705 ;
RECT 6.295 1366.615 6.700 1367.155 ;
RECT 5.605 1365.525 6.700 1366.615 ;
RECT 5.520 1365.355 6.700 1365.525 ;
RECT 5.605 1364.265 6.700 1365.355 ;
RECT 6.295 1363.725 6.700 1364.265 ;
RECT 1493.300 1366.615 1493.305 1367.155 ;
RECT 1493.300 1365.525 1493.995 1366.615 ;
RECT 1493.300 1365.355 1494.080 1365.525 ;
RECT 1493.300 1364.265 1493.995 1365.355 ;
RECT 1493.300 1363.725 1493.305 1364.265 ;
RECT 6.295 1361.175 6.700 1361.715 ;
RECT 5.605 1360.085 6.700 1361.175 ;
RECT 5.520 1359.915 6.700 1360.085 ;
RECT 5.605 1358.825 6.700 1359.915 ;
RECT 6.295 1358.285 6.700 1358.825 ;
RECT 1493.300 1361.175 1493.305 1361.715 ;
RECT 1493.300 1360.085 1493.995 1361.175 ;
RECT 1493.300 1359.915 1494.080 1360.085 ;
RECT 1493.300 1358.825 1493.995 1359.915 ;
RECT 1493.300 1358.285 1493.305 1358.825 ;
RECT 6.295 1355.735 6.700 1356.275 ;
RECT 5.605 1354.645 6.700 1355.735 ;
RECT 5.520 1354.475 6.700 1354.645 ;
RECT 5.605 1353.385 6.700 1354.475 ;
RECT 6.295 1352.845 6.700 1353.385 ;
RECT 1493.300 1355.735 1493.305 1356.275 ;
RECT 1493.300 1354.645 1493.995 1355.735 ;
RECT 1493.300 1354.475 1494.080 1354.645 ;
RECT 1493.300 1353.385 1493.995 1354.475 ;
RECT 1493.300 1352.845 1493.305 1353.385 ;
RECT 6.295 1350.295 6.700 1350.835 ;
RECT 5.605 1349.205 6.700 1350.295 ;
RECT 5.520 1349.035 6.700 1349.205 ;
RECT 5.605 1347.945 6.700 1349.035 ;
RECT 6.295 1347.405 6.700 1347.945 ;
RECT 1493.300 1350.295 1493.305 1350.835 ;
RECT 1493.300 1349.205 1493.995 1350.295 ;
RECT 1493.300 1349.035 1494.080 1349.205 ;
RECT 1493.300 1347.945 1493.995 1349.035 ;
RECT 1493.300 1347.405 1493.305 1347.945 ;
RECT 6.295 1344.855 6.700 1345.395 ;
RECT 5.605 1343.765 6.700 1344.855 ;
RECT 5.520 1343.595 6.700 1343.765 ;
RECT 5.605 1342.505 6.700 1343.595 ;
RECT 6.295 1341.965 6.700 1342.505 ;
RECT 1493.300 1344.855 1493.305 1345.395 ;
RECT 1493.300 1343.765 1493.995 1344.855 ;
RECT 1493.300 1343.595 1494.080 1343.765 ;
RECT 1493.300 1342.505 1493.995 1343.595 ;
RECT 1493.300 1341.965 1493.305 1342.505 ;
RECT 6.295 1339.415 6.700 1339.955 ;
RECT 5.605 1338.325 6.700 1339.415 ;
RECT 5.520 1338.155 6.700 1338.325 ;
RECT 5.605 1337.065 6.700 1338.155 ;
RECT 6.295 1336.525 6.700 1337.065 ;
RECT 1493.300 1339.415 1493.305 1339.955 ;
RECT 1493.300 1338.325 1493.995 1339.415 ;
RECT 1493.300 1338.155 1494.080 1338.325 ;
RECT 1493.300 1337.065 1493.995 1338.155 ;
RECT 1493.300 1336.525 1493.305 1337.065 ;
RECT 6.295 1333.975 6.700 1334.515 ;
RECT 5.605 1332.885 6.700 1333.975 ;
RECT 5.520 1332.715 6.700 1332.885 ;
RECT 5.605 1331.625 6.700 1332.715 ;
RECT 6.295 1331.085 6.700 1331.625 ;
RECT 1493.300 1333.975 1493.305 1334.515 ;
RECT 1493.300 1332.885 1493.995 1333.975 ;
RECT 1493.300 1332.715 1494.080 1332.885 ;
RECT 1493.300 1331.625 1493.995 1332.715 ;
RECT 1493.300 1331.085 1493.305 1331.625 ;
RECT 6.295 1328.535 6.700 1329.075 ;
RECT 5.605 1327.445 6.700 1328.535 ;
RECT 5.520 1327.275 6.700 1327.445 ;
RECT 5.605 1326.185 6.700 1327.275 ;
RECT 6.295 1325.645 6.700 1326.185 ;
RECT 1493.300 1328.535 1493.305 1329.075 ;
RECT 1493.300 1327.445 1493.995 1328.535 ;
RECT 1493.300 1327.275 1494.080 1327.445 ;
RECT 1493.300 1326.185 1493.995 1327.275 ;
RECT 1493.300 1325.645 1493.305 1326.185 ;
RECT 6.295 1323.095 6.700 1323.635 ;
RECT 5.605 1322.005 6.700 1323.095 ;
RECT 5.520 1321.835 6.700 1322.005 ;
RECT 5.605 1320.745 6.700 1321.835 ;
RECT 6.295 1320.205 6.700 1320.745 ;
RECT 1493.300 1323.095 1493.305 1323.635 ;
RECT 1493.300 1322.005 1493.995 1323.095 ;
RECT 1493.300 1321.835 1494.080 1322.005 ;
RECT 1493.300 1320.745 1493.995 1321.835 ;
RECT 1493.300 1320.205 1493.305 1320.745 ;
RECT 6.295 1317.655 6.700 1318.195 ;
RECT 5.605 1316.565 6.700 1317.655 ;
RECT 5.520 1316.395 6.700 1316.565 ;
RECT 5.605 1315.305 6.700 1316.395 ;
RECT 6.295 1314.765 6.700 1315.305 ;
RECT 1493.300 1317.655 1493.305 1318.195 ;
RECT 1493.300 1316.565 1493.995 1317.655 ;
RECT 1493.300 1316.395 1494.080 1316.565 ;
RECT 1493.300 1315.305 1493.995 1316.395 ;
RECT 1493.300 1314.765 1493.305 1315.305 ;
RECT 6.295 1312.215 6.700 1312.755 ;
RECT 5.605 1311.125 6.700 1312.215 ;
RECT 5.520 1310.955 6.700 1311.125 ;
RECT 5.605 1309.865 6.700 1310.955 ;
RECT 6.295 1309.325 6.700 1309.865 ;
RECT 1493.300 1312.215 1493.305 1312.755 ;
RECT 1493.300 1311.125 1493.995 1312.215 ;
RECT 1493.300 1310.955 1494.080 1311.125 ;
RECT 1493.300 1309.865 1493.995 1310.955 ;
RECT 1493.300 1309.325 1493.305 1309.865 ;
RECT 6.295 1306.775 6.700 1307.315 ;
RECT 5.605 1305.685 6.700 1306.775 ;
RECT 5.520 1305.515 6.700 1305.685 ;
RECT 5.605 1304.425 6.700 1305.515 ;
RECT 6.295 1303.885 6.700 1304.425 ;
RECT 1493.300 1306.775 1493.305 1307.315 ;
RECT 1493.300 1305.685 1493.995 1306.775 ;
RECT 1493.300 1305.515 1494.080 1305.685 ;
RECT 1493.300 1304.425 1493.995 1305.515 ;
RECT 1493.300 1303.885 1493.305 1304.425 ;
RECT 6.295 1301.335 6.700 1301.875 ;
RECT 5.605 1300.245 6.700 1301.335 ;
RECT 5.520 1300.075 6.700 1300.245 ;
RECT 5.605 1298.985 6.700 1300.075 ;
RECT 6.295 1298.445 6.700 1298.985 ;
RECT 1493.300 1301.335 1493.305 1301.875 ;
RECT 1493.300 1300.245 1493.995 1301.335 ;
RECT 1493.300 1300.075 1494.080 1300.245 ;
RECT 1493.300 1298.985 1493.995 1300.075 ;
RECT 1493.300 1298.445 1493.305 1298.985 ;
RECT 6.295 1295.895 6.700 1296.435 ;
RECT 5.605 1294.805 6.700 1295.895 ;
RECT 5.520 1294.635 6.700 1294.805 ;
RECT 5.605 1293.545 6.700 1294.635 ;
RECT 6.295 1293.005 6.700 1293.545 ;
RECT 1493.300 1295.895 1493.305 1296.435 ;
RECT 1493.300 1294.805 1493.995 1295.895 ;
RECT 1493.300 1294.635 1494.080 1294.805 ;
RECT 1493.300 1293.545 1493.995 1294.635 ;
RECT 1493.300 1293.005 1493.305 1293.545 ;
RECT 6.295 1290.455 6.700 1290.995 ;
RECT 5.605 1289.365 6.700 1290.455 ;
RECT 5.520 1289.195 6.700 1289.365 ;
RECT 5.605 1288.105 6.700 1289.195 ;
RECT 6.295 1287.565 6.700 1288.105 ;
RECT 1493.300 1290.455 1493.305 1290.995 ;
RECT 1493.300 1289.365 1493.995 1290.455 ;
RECT 1493.300 1289.195 1494.080 1289.365 ;
RECT 1493.300 1288.105 1493.995 1289.195 ;
RECT 1493.300 1287.565 1493.305 1288.105 ;
RECT 6.295 1285.015 6.700 1285.555 ;
RECT 5.605 1283.925 6.700 1285.015 ;
RECT 5.520 1283.755 6.700 1283.925 ;
RECT 5.605 1282.665 6.700 1283.755 ;
RECT 6.295 1282.125 6.700 1282.665 ;
RECT 1493.300 1285.015 1493.305 1285.555 ;
RECT 1493.300 1283.925 1493.995 1285.015 ;
RECT 1493.300 1283.755 1494.080 1283.925 ;
RECT 1493.300 1282.665 1493.995 1283.755 ;
RECT 1493.300 1282.125 1493.305 1282.665 ;
RECT 6.295 1279.575 6.700 1280.115 ;
RECT 5.605 1278.485 6.700 1279.575 ;
RECT 5.520 1278.315 6.700 1278.485 ;
RECT 5.605 1277.225 6.700 1278.315 ;
RECT 6.295 1276.685 6.700 1277.225 ;
RECT 1493.300 1279.575 1493.305 1280.115 ;
RECT 1493.300 1278.485 1493.995 1279.575 ;
RECT 1493.300 1278.315 1494.080 1278.485 ;
RECT 1493.300 1277.225 1493.995 1278.315 ;
RECT 1493.300 1276.685 1493.305 1277.225 ;
RECT 6.295 1274.135 6.700 1274.675 ;
RECT 5.605 1273.045 6.700 1274.135 ;
RECT 5.520 1272.875 6.700 1273.045 ;
RECT 5.605 1271.785 6.700 1272.875 ;
RECT 6.295 1271.245 6.700 1271.785 ;
RECT 1493.300 1274.135 1493.305 1274.675 ;
RECT 1493.300 1273.045 1493.995 1274.135 ;
RECT 1493.300 1272.875 1494.080 1273.045 ;
RECT 1493.300 1271.785 1493.995 1272.875 ;
RECT 1493.300 1271.245 1493.305 1271.785 ;
RECT 6.295 1268.695 6.700 1269.235 ;
RECT 5.605 1267.605 6.700 1268.695 ;
RECT 5.520 1267.435 6.700 1267.605 ;
RECT 5.605 1266.345 6.700 1267.435 ;
RECT 6.295 1265.805 6.700 1266.345 ;
RECT 1493.300 1268.695 1493.305 1269.235 ;
RECT 1493.300 1267.605 1493.995 1268.695 ;
RECT 1493.300 1267.435 1494.080 1267.605 ;
RECT 1493.300 1266.345 1493.995 1267.435 ;
RECT 1493.300 1265.805 1493.305 1266.345 ;
RECT 6.295 1263.255 6.700 1263.795 ;
RECT 5.605 1262.165 6.700 1263.255 ;
RECT 5.520 1261.995 6.700 1262.165 ;
RECT 5.605 1260.905 6.700 1261.995 ;
RECT 6.295 1260.365 6.700 1260.905 ;
RECT 1493.300 1263.255 1493.305 1263.795 ;
RECT 1493.300 1262.165 1493.995 1263.255 ;
RECT 1493.300 1261.995 1494.080 1262.165 ;
RECT 1493.300 1260.905 1493.995 1261.995 ;
RECT 1493.300 1260.365 1493.305 1260.905 ;
RECT 6.295 1257.815 6.700 1258.355 ;
RECT 5.605 1256.725 6.700 1257.815 ;
RECT 5.520 1256.555 6.700 1256.725 ;
RECT 5.605 1255.465 6.700 1256.555 ;
RECT 6.295 1254.925 6.700 1255.465 ;
RECT 1493.300 1257.815 1493.305 1258.355 ;
RECT 1493.300 1256.725 1493.995 1257.815 ;
RECT 1493.300 1256.555 1494.080 1256.725 ;
RECT 1493.300 1255.465 1493.995 1256.555 ;
RECT 1493.300 1254.925 1493.305 1255.465 ;
RECT 6.295 1252.375 6.700 1252.915 ;
RECT 5.605 1251.285 6.700 1252.375 ;
RECT 5.520 1251.115 6.700 1251.285 ;
RECT 5.605 1250.025 6.700 1251.115 ;
RECT 6.295 1249.485 6.700 1250.025 ;
RECT 1493.300 1252.375 1493.305 1252.915 ;
RECT 1493.300 1251.285 1493.995 1252.375 ;
RECT 1493.300 1251.115 1494.080 1251.285 ;
RECT 1493.300 1250.025 1493.995 1251.115 ;
RECT 1493.300 1249.485 1493.305 1250.025 ;
RECT 6.295 1246.935 6.700 1247.475 ;
RECT 5.605 1245.845 6.700 1246.935 ;
RECT 5.520 1245.675 6.700 1245.845 ;
RECT 5.605 1244.585 6.700 1245.675 ;
RECT 6.295 1244.045 6.700 1244.585 ;
RECT 1493.300 1246.935 1493.305 1247.475 ;
RECT 1493.300 1245.845 1493.995 1246.935 ;
RECT 1493.300 1245.675 1494.080 1245.845 ;
RECT 1493.300 1244.585 1493.995 1245.675 ;
RECT 1493.300 1244.045 1493.305 1244.585 ;
RECT 6.295 1241.495 6.700 1242.035 ;
RECT 5.605 1240.405 6.700 1241.495 ;
RECT 5.520 1240.235 6.700 1240.405 ;
RECT 5.605 1239.145 6.700 1240.235 ;
RECT 6.295 1238.605 6.700 1239.145 ;
RECT 1493.300 1241.495 1493.305 1242.035 ;
RECT 1493.300 1240.405 1493.995 1241.495 ;
RECT 1493.300 1240.235 1494.080 1240.405 ;
RECT 1493.300 1239.145 1493.995 1240.235 ;
RECT 1493.300 1238.605 1493.305 1239.145 ;
RECT 6.295 1236.055 6.700 1236.595 ;
RECT 5.605 1234.965 6.700 1236.055 ;
RECT 5.520 1234.795 6.700 1234.965 ;
RECT 5.605 1233.705 6.700 1234.795 ;
RECT 6.295 1233.165 6.700 1233.705 ;
RECT 1493.300 1236.055 1493.305 1236.595 ;
RECT 1493.300 1234.965 1493.995 1236.055 ;
RECT 1493.300 1234.795 1494.080 1234.965 ;
RECT 1493.300 1233.705 1493.995 1234.795 ;
RECT 1493.300 1233.165 1493.305 1233.705 ;
RECT 6.295 1230.615 6.700 1231.155 ;
RECT 5.605 1229.525 6.700 1230.615 ;
RECT 5.520 1229.355 6.700 1229.525 ;
RECT 5.605 1228.265 6.700 1229.355 ;
RECT 6.295 1227.725 6.700 1228.265 ;
RECT 1493.300 1230.615 1493.305 1231.155 ;
RECT 1493.300 1229.525 1493.995 1230.615 ;
RECT 1493.300 1229.355 1494.080 1229.525 ;
RECT 1493.300 1228.265 1493.995 1229.355 ;
RECT 1493.300 1227.725 1493.305 1228.265 ;
RECT 6.295 1225.175 6.700 1225.715 ;
RECT 5.605 1224.085 6.700 1225.175 ;
RECT 5.520 1223.915 6.700 1224.085 ;
RECT 5.605 1222.825 6.700 1223.915 ;
RECT 6.295 1222.285 6.700 1222.825 ;
RECT 1493.300 1225.175 1493.305 1225.715 ;
RECT 1493.300 1224.085 1493.995 1225.175 ;
RECT 1493.300 1223.915 1494.080 1224.085 ;
RECT 1493.300 1222.825 1493.995 1223.915 ;
RECT 1493.300 1222.285 1493.305 1222.825 ;
RECT 6.295 1219.735 6.700 1220.275 ;
RECT 5.605 1218.645 6.700 1219.735 ;
RECT 5.520 1218.475 6.700 1218.645 ;
RECT 5.605 1217.385 6.700 1218.475 ;
RECT 6.295 1216.845 6.700 1217.385 ;
RECT 1493.300 1219.735 1493.305 1220.275 ;
RECT 1493.300 1218.645 1493.995 1219.735 ;
RECT 1493.300 1218.475 1494.080 1218.645 ;
RECT 1493.300 1217.385 1493.995 1218.475 ;
RECT 1493.300 1216.845 1493.305 1217.385 ;
RECT 6.295 1214.295 6.700 1214.835 ;
RECT 5.605 1213.205 6.700 1214.295 ;
RECT 5.520 1213.035 6.700 1213.205 ;
RECT 5.605 1211.945 6.700 1213.035 ;
RECT 6.295 1211.405 6.700 1211.945 ;
RECT 1493.300 1214.295 1493.305 1214.835 ;
RECT 1493.300 1213.205 1493.995 1214.295 ;
RECT 1493.300 1213.035 1494.080 1213.205 ;
RECT 1493.300 1211.945 1493.995 1213.035 ;
RECT 1493.300 1211.405 1493.305 1211.945 ;
RECT 6.295 1208.855 6.700 1209.395 ;
RECT 5.605 1207.765 6.700 1208.855 ;
RECT 5.520 1207.595 6.700 1207.765 ;
RECT 5.605 1206.505 6.700 1207.595 ;
RECT 6.295 1205.965 6.700 1206.505 ;
RECT 1493.300 1208.855 1493.305 1209.395 ;
RECT 1493.300 1207.765 1493.995 1208.855 ;
RECT 1493.300 1207.595 1494.080 1207.765 ;
RECT 1493.300 1206.505 1493.995 1207.595 ;
RECT 1493.300 1205.965 1493.305 1206.505 ;
RECT 6.295 1203.415 6.700 1203.955 ;
RECT 5.605 1202.325 6.700 1203.415 ;
RECT 5.520 1202.155 6.700 1202.325 ;
RECT 5.605 1201.065 6.700 1202.155 ;
RECT 6.295 1200.525 6.700 1201.065 ;
RECT 1493.300 1203.415 1493.305 1203.955 ;
RECT 1493.300 1202.325 1493.995 1203.415 ;
RECT 1493.300 1202.155 1494.080 1202.325 ;
RECT 1493.300 1201.065 1493.995 1202.155 ;
RECT 1493.300 1200.525 1493.305 1201.065 ;
RECT 6.295 1197.975 6.700 1198.515 ;
RECT 5.605 1196.885 6.700 1197.975 ;
RECT 5.520 1196.715 6.700 1196.885 ;
RECT 5.605 1195.625 6.700 1196.715 ;
RECT 6.295 1195.085 6.700 1195.625 ;
RECT 1493.300 1197.975 1493.305 1198.515 ;
RECT 1493.300 1196.885 1493.995 1197.975 ;
RECT 1493.300 1196.715 1494.080 1196.885 ;
RECT 1493.300 1195.625 1493.995 1196.715 ;
RECT 1493.300 1195.085 1493.305 1195.625 ;
RECT 6.295 1192.535 6.700 1193.075 ;
RECT 5.605 1191.445 6.700 1192.535 ;
RECT 5.520 1191.275 6.700 1191.445 ;
RECT 5.605 1190.185 6.700 1191.275 ;
RECT 6.295 1189.645 6.700 1190.185 ;
RECT 1493.300 1192.535 1493.305 1193.075 ;
RECT 1493.300 1191.445 1493.995 1192.535 ;
RECT 1493.300 1191.275 1494.080 1191.445 ;
RECT 1493.300 1190.185 1493.995 1191.275 ;
RECT 1493.300 1189.645 1493.305 1190.185 ;
RECT 6.295 1187.095 6.700 1187.635 ;
RECT 5.605 1186.005 6.700 1187.095 ;
RECT 5.520 1185.835 6.700 1186.005 ;
RECT 5.605 1184.745 6.700 1185.835 ;
RECT 6.295 1184.205 6.700 1184.745 ;
RECT 1493.300 1187.095 1493.305 1187.635 ;
RECT 1493.300 1186.005 1493.995 1187.095 ;
RECT 1493.300 1185.835 1494.080 1186.005 ;
RECT 1493.300 1184.745 1493.995 1185.835 ;
RECT 1493.300 1184.205 1493.305 1184.745 ;
RECT 6.295 1181.655 6.700 1182.195 ;
RECT 5.605 1180.565 6.700 1181.655 ;
RECT 5.520 1180.395 6.700 1180.565 ;
RECT 5.605 1179.305 6.700 1180.395 ;
RECT 6.295 1178.765 6.700 1179.305 ;
RECT 1493.300 1181.655 1493.305 1182.195 ;
RECT 1493.300 1180.565 1493.995 1181.655 ;
RECT 1493.300 1180.395 1494.080 1180.565 ;
RECT 1493.300 1179.305 1493.995 1180.395 ;
RECT 1493.300 1178.765 1493.305 1179.305 ;
RECT 6.295 1176.215 6.700 1176.755 ;
RECT 5.605 1175.125 6.700 1176.215 ;
RECT 5.520 1174.955 6.700 1175.125 ;
RECT 5.605 1173.865 6.700 1174.955 ;
RECT 6.295 1173.325 6.700 1173.865 ;
RECT 1493.300 1176.215 1493.305 1176.755 ;
RECT 1493.300 1175.125 1493.995 1176.215 ;
RECT 1493.300 1174.955 1494.080 1175.125 ;
RECT 1493.300 1173.865 1493.995 1174.955 ;
RECT 1493.300 1173.325 1493.305 1173.865 ;
RECT 6.295 1170.775 6.700 1171.315 ;
RECT 5.605 1169.685 6.700 1170.775 ;
RECT 5.520 1169.515 6.700 1169.685 ;
RECT 5.605 1168.425 6.700 1169.515 ;
RECT 6.295 1167.885 6.700 1168.425 ;
RECT 1493.300 1170.775 1493.305 1171.315 ;
RECT 1493.300 1169.685 1493.995 1170.775 ;
RECT 1493.300 1169.515 1494.080 1169.685 ;
RECT 1493.300 1168.425 1493.995 1169.515 ;
RECT 1493.300 1167.885 1493.305 1168.425 ;
RECT 6.295 1165.335 6.700 1165.875 ;
RECT 5.605 1164.245 6.700 1165.335 ;
RECT 5.520 1164.075 6.700 1164.245 ;
RECT 5.605 1162.985 6.700 1164.075 ;
RECT 6.295 1162.445 6.700 1162.985 ;
RECT 1493.300 1165.335 1493.305 1165.875 ;
RECT 1493.300 1164.245 1493.995 1165.335 ;
RECT 1493.300 1164.075 1494.080 1164.245 ;
RECT 1493.300 1162.985 1493.995 1164.075 ;
RECT 1493.300 1162.445 1493.305 1162.985 ;
RECT 6.295 1159.895 6.700 1160.435 ;
RECT 5.605 1158.805 6.700 1159.895 ;
RECT 5.520 1158.635 6.700 1158.805 ;
RECT 5.605 1157.545 6.700 1158.635 ;
RECT 6.295 1157.005 6.700 1157.545 ;
RECT 1493.300 1159.895 1493.305 1160.435 ;
RECT 1493.300 1158.805 1493.995 1159.895 ;
RECT 1493.300 1158.635 1494.080 1158.805 ;
RECT 1493.300 1157.545 1493.995 1158.635 ;
RECT 1493.300 1157.005 1493.305 1157.545 ;
RECT 6.295 1154.455 6.700 1154.995 ;
RECT 5.605 1153.365 6.700 1154.455 ;
RECT 5.520 1153.195 6.700 1153.365 ;
RECT 5.605 1152.105 6.700 1153.195 ;
RECT 6.295 1151.565 6.700 1152.105 ;
RECT 1493.300 1154.455 1493.305 1154.995 ;
RECT 1493.300 1153.365 1493.995 1154.455 ;
RECT 1493.300 1153.195 1494.080 1153.365 ;
RECT 1493.300 1152.105 1493.995 1153.195 ;
RECT 1493.300 1151.565 1493.305 1152.105 ;
RECT 6.295 1149.015 6.700 1149.555 ;
RECT 5.605 1147.925 6.700 1149.015 ;
RECT 5.520 1147.755 6.700 1147.925 ;
RECT 5.605 1146.665 6.700 1147.755 ;
RECT 6.295 1146.125 6.700 1146.665 ;
RECT 1493.300 1149.015 1493.305 1149.555 ;
RECT 1493.300 1147.925 1493.995 1149.015 ;
RECT 1493.300 1147.755 1494.080 1147.925 ;
RECT 1493.300 1146.665 1493.995 1147.755 ;
RECT 1493.300 1146.125 1493.305 1146.665 ;
RECT 6.295 1143.575 6.700 1144.115 ;
RECT 5.605 1142.485 6.700 1143.575 ;
RECT 5.520 1142.315 6.700 1142.485 ;
RECT 5.605 1141.225 6.700 1142.315 ;
RECT 6.295 1140.685 6.700 1141.225 ;
RECT 1493.300 1143.575 1493.305 1144.115 ;
RECT 1493.300 1142.485 1493.995 1143.575 ;
RECT 1493.300 1142.315 1494.080 1142.485 ;
RECT 1493.300 1141.225 1493.995 1142.315 ;
RECT 1493.300 1140.685 1493.305 1141.225 ;
RECT 6.295 1138.135 6.700 1138.675 ;
RECT 5.605 1137.045 6.700 1138.135 ;
RECT 5.520 1136.875 6.700 1137.045 ;
RECT 5.605 1135.785 6.700 1136.875 ;
RECT 6.295 1135.245 6.700 1135.785 ;
RECT 1493.300 1138.135 1493.305 1138.675 ;
RECT 1493.300 1137.045 1493.995 1138.135 ;
RECT 1493.300 1136.875 1494.080 1137.045 ;
RECT 1493.300 1135.785 1493.995 1136.875 ;
RECT 1493.300 1135.245 1493.305 1135.785 ;
RECT 6.295 1132.695 6.700 1133.235 ;
RECT 5.605 1131.605 6.700 1132.695 ;
RECT 5.520 1131.435 6.700 1131.605 ;
RECT 5.605 1130.345 6.700 1131.435 ;
RECT 6.295 1129.805 6.700 1130.345 ;
RECT 1493.300 1132.695 1493.305 1133.235 ;
RECT 1493.300 1131.605 1493.995 1132.695 ;
RECT 1493.300 1131.435 1494.080 1131.605 ;
RECT 1493.300 1130.345 1493.995 1131.435 ;
RECT 1493.300 1129.805 1493.305 1130.345 ;
RECT 6.295 1127.255 6.700 1127.795 ;
RECT 5.605 1126.165 6.700 1127.255 ;
RECT 5.520 1125.995 6.700 1126.165 ;
RECT 5.605 1124.905 6.700 1125.995 ;
RECT 6.295 1124.365 6.700 1124.905 ;
RECT 1493.300 1127.255 1493.305 1127.795 ;
RECT 1493.300 1126.165 1493.995 1127.255 ;
RECT 1493.300 1125.995 1494.080 1126.165 ;
RECT 1493.300 1124.905 1493.995 1125.995 ;
RECT 1493.300 1124.365 1493.305 1124.905 ;
RECT 6.295 1121.815 6.700 1122.355 ;
RECT 5.605 1120.725 6.700 1121.815 ;
RECT 5.520 1120.555 6.700 1120.725 ;
RECT 5.605 1119.465 6.700 1120.555 ;
RECT 6.295 1118.925 6.700 1119.465 ;
RECT 1493.300 1121.815 1493.305 1122.355 ;
RECT 1493.300 1120.725 1493.995 1121.815 ;
RECT 1493.300 1120.555 1494.080 1120.725 ;
RECT 1493.300 1119.465 1493.995 1120.555 ;
RECT 1493.300 1118.925 1493.305 1119.465 ;
RECT 6.295 1116.375 6.700 1116.915 ;
RECT 5.605 1115.285 6.700 1116.375 ;
RECT 5.520 1115.115 6.700 1115.285 ;
RECT 5.605 1114.025 6.700 1115.115 ;
RECT 6.295 1113.485 6.700 1114.025 ;
RECT 1493.300 1116.375 1493.305 1116.915 ;
RECT 1493.300 1115.285 1493.995 1116.375 ;
RECT 1493.300 1115.115 1494.080 1115.285 ;
RECT 1493.300 1114.025 1493.995 1115.115 ;
RECT 1493.300 1113.485 1493.305 1114.025 ;
RECT 6.295 1110.935 6.700 1111.475 ;
RECT 5.605 1109.845 6.700 1110.935 ;
RECT 5.520 1109.675 6.700 1109.845 ;
RECT 5.605 1108.585 6.700 1109.675 ;
RECT 6.295 1108.045 6.700 1108.585 ;
RECT 1493.300 1110.935 1493.305 1111.475 ;
RECT 1493.300 1109.845 1493.995 1110.935 ;
RECT 1493.300 1109.675 1494.080 1109.845 ;
RECT 1493.300 1108.585 1493.995 1109.675 ;
RECT 1493.300 1108.045 1493.305 1108.585 ;
RECT 6.295 1105.495 6.700 1106.035 ;
RECT 5.605 1104.405 6.700 1105.495 ;
RECT 5.520 1104.235 6.700 1104.405 ;
RECT 5.605 1103.145 6.700 1104.235 ;
RECT 6.295 1102.605 6.700 1103.145 ;
RECT 1493.300 1105.495 1493.305 1106.035 ;
RECT 1493.300 1104.405 1493.995 1105.495 ;
RECT 1493.300 1104.235 1494.080 1104.405 ;
RECT 1493.300 1103.145 1493.995 1104.235 ;
RECT 1493.300 1102.605 1493.305 1103.145 ;
RECT 6.295 1100.055 6.700 1100.595 ;
RECT 5.605 1098.965 6.700 1100.055 ;
RECT 5.520 1098.795 6.700 1098.965 ;
RECT 5.605 1097.705 6.700 1098.795 ;
RECT 6.295 1097.165 6.700 1097.705 ;
RECT 1493.300 1100.055 1493.305 1100.595 ;
RECT 1493.300 1098.965 1493.995 1100.055 ;
RECT 1493.300 1098.795 1494.080 1098.965 ;
RECT 1493.300 1097.705 1493.995 1098.795 ;
RECT 1493.300 1097.165 1493.305 1097.705 ;
RECT 6.295 1094.615 6.700 1095.155 ;
RECT 5.605 1093.525 6.700 1094.615 ;
RECT 5.520 1093.355 6.700 1093.525 ;
RECT 5.605 1092.265 6.700 1093.355 ;
RECT 6.295 1091.725 6.700 1092.265 ;
RECT 1493.300 1094.615 1493.305 1095.155 ;
RECT 1493.300 1093.525 1493.995 1094.615 ;
RECT 1493.300 1093.355 1494.080 1093.525 ;
RECT 1493.300 1092.265 1493.995 1093.355 ;
RECT 1493.300 1091.725 1493.305 1092.265 ;
RECT 6.295 1089.175 6.700 1089.715 ;
RECT 5.605 1088.085 6.700 1089.175 ;
RECT 5.520 1087.915 6.700 1088.085 ;
RECT 5.605 1086.825 6.700 1087.915 ;
RECT 6.295 1086.285 6.700 1086.825 ;
RECT 1493.300 1089.175 1493.305 1089.715 ;
RECT 1493.300 1088.085 1493.995 1089.175 ;
RECT 1493.300 1087.915 1494.080 1088.085 ;
RECT 1493.300 1086.825 1493.995 1087.915 ;
RECT 1493.300 1086.285 1493.305 1086.825 ;
RECT 6.295 1083.735 6.700 1084.275 ;
RECT 5.605 1082.645 6.700 1083.735 ;
RECT 5.520 1082.475 6.700 1082.645 ;
RECT 5.605 1081.385 6.700 1082.475 ;
RECT 6.295 1080.845 6.700 1081.385 ;
RECT 1493.300 1083.735 1493.305 1084.275 ;
RECT 1493.300 1082.645 1493.995 1083.735 ;
RECT 1493.300 1082.475 1494.080 1082.645 ;
RECT 1493.300 1081.385 1493.995 1082.475 ;
RECT 1493.300 1080.845 1493.305 1081.385 ;
RECT 6.295 1078.295 6.700 1078.835 ;
RECT 5.605 1077.205 6.700 1078.295 ;
RECT 5.520 1077.035 6.700 1077.205 ;
RECT 5.605 1075.945 6.700 1077.035 ;
RECT 6.295 1075.405 6.700 1075.945 ;
RECT 1493.300 1078.295 1493.305 1078.835 ;
RECT 1493.300 1077.205 1493.995 1078.295 ;
RECT 1493.300 1077.035 1494.080 1077.205 ;
RECT 1493.300 1075.945 1493.995 1077.035 ;
RECT 1493.300 1075.405 1493.305 1075.945 ;
RECT 6.295 1072.855 6.700 1073.395 ;
RECT 5.605 1071.765 6.700 1072.855 ;
RECT 5.520 1071.595 6.700 1071.765 ;
RECT 5.605 1070.505 6.700 1071.595 ;
RECT 6.295 1069.965 6.700 1070.505 ;
RECT 1493.300 1072.855 1493.305 1073.395 ;
RECT 1493.300 1071.765 1493.995 1072.855 ;
RECT 1493.300 1071.595 1494.080 1071.765 ;
RECT 1493.300 1070.505 1493.995 1071.595 ;
RECT 1493.300 1069.965 1493.305 1070.505 ;
RECT 6.295 1067.415 6.700 1067.955 ;
RECT 5.605 1066.325 6.700 1067.415 ;
RECT 5.520 1066.155 6.700 1066.325 ;
RECT 5.605 1065.065 6.700 1066.155 ;
RECT 6.295 1064.525 6.700 1065.065 ;
RECT 1493.300 1067.415 1493.305 1067.955 ;
RECT 1493.300 1066.325 1493.995 1067.415 ;
RECT 1493.300 1066.155 1494.080 1066.325 ;
RECT 1493.300 1065.065 1493.995 1066.155 ;
RECT 1493.300 1064.525 1493.305 1065.065 ;
RECT 6.295 1061.975 6.700 1062.515 ;
RECT 5.605 1060.885 6.700 1061.975 ;
RECT 5.520 1060.715 6.700 1060.885 ;
RECT 5.605 1059.625 6.700 1060.715 ;
RECT 6.295 1059.085 6.700 1059.625 ;
RECT 1493.300 1061.975 1493.305 1062.515 ;
RECT 1493.300 1060.885 1493.995 1061.975 ;
RECT 1493.300 1060.715 1494.080 1060.885 ;
RECT 1493.300 1059.625 1493.995 1060.715 ;
RECT 1493.300 1059.085 1493.305 1059.625 ;
RECT 6.295 1056.535 6.700 1057.075 ;
RECT 5.605 1055.445 6.700 1056.535 ;
RECT 5.520 1055.275 6.700 1055.445 ;
RECT 5.605 1054.185 6.700 1055.275 ;
RECT 6.295 1053.645 6.700 1054.185 ;
RECT 1493.300 1056.535 1493.305 1057.075 ;
RECT 1493.300 1055.445 1493.995 1056.535 ;
RECT 1493.300 1055.275 1494.080 1055.445 ;
RECT 1493.300 1054.185 1493.995 1055.275 ;
RECT 1493.300 1053.645 1493.305 1054.185 ;
RECT 6.295 1051.095 6.700 1051.635 ;
RECT 5.605 1050.005 6.700 1051.095 ;
RECT 5.520 1049.835 6.700 1050.005 ;
RECT 5.605 1048.745 6.700 1049.835 ;
RECT 6.295 1048.205 6.700 1048.745 ;
RECT 1493.300 1051.095 1493.305 1051.635 ;
RECT 1493.300 1050.005 1493.995 1051.095 ;
RECT 1493.300 1049.835 1494.080 1050.005 ;
RECT 1493.300 1048.745 1493.995 1049.835 ;
RECT 1493.300 1048.205 1493.305 1048.745 ;
RECT 6.295 1045.655 6.700 1046.195 ;
RECT 5.605 1044.565 6.700 1045.655 ;
RECT 5.520 1044.395 6.700 1044.565 ;
RECT 5.605 1043.305 6.700 1044.395 ;
RECT 6.295 1042.765 6.700 1043.305 ;
RECT 1493.300 1045.655 1493.305 1046.195 ;
RECT 1493.300 1044.565 1493.995 1045.655 ;
RECT 1493.300 1044.395 1494.080 1044.565 ;
RECT 1493.300 1043.305 1493.995 1044.395 ;
RECT 1493.300 1042.765 1493.305 1043.305 ;
RECT 6.295 1040.215 6.700 1040.755 ;
RECT 5.605 1039.125 6.700 1040.215 ;
RECT 5.520 1038.955 6.700 1039.125 ;
RECT 5.605 1037.865 6.700 1038.955 ;
RECT 6.295 1037.325 6.700 1037.865 ;
RECT 1493.300 1040.215 1493.305 1040.755 ;
RECT 1493.300 1039.125 1493.995 1040.215 ;
RECT 1493.300 1038.955 1494.080 1039.125 ;
RECT 1493.300 1037.865 1493.995 1038.955 ;
RECT 1493.300 1037.325 1493.305 1037.865 ;
RECT 6.295 1034.775 6.700 1035.315 ;
RECT 5.605 1033.685 6.700 1034.775 ;
RECT 5.520 1033.515 6.700 1033.685 ;
RECT 5.605 1032.425 6.700 1033.515 ;
RECT 6.295 1031.885 6.700 1032.425 ;
RECT 1493.300 1034.775 1493.305 1035.315 ;
RECT 1493.300 1033.685 1493.995 1034.775 ;
RECT 1493.300 1033.515 1494.080 1033.685 ;
RECT 1493.300 1032.425 1493.995 1033.515 ;
RECT 1493.300 1031.885 1493.305 1032.425 ;
RECT 6.295 1029.335 6.700 1029.875 ;
RECT 5.605 1028.245 6.700 1029.335 ;
RECT 5.520 1028.075 6.700 1028.245 ;
RECT 5.605 1026.985 6.700 1028.075 ;
RECT 6.295 1026.445 6.700 1026.985 ;
RECT 1493.300 1029.335 1493.305 1029.875 ;
RECT 1493.300 1028.245 1493.995 1029.335 ;
RECT 1493.300 1028.075 1494.080 1028.245 ;
RECT 1493.300 1026.985 1493.995 1028.075 ;
RECT 1493.300 1026.445 1493.305 1026.985 ;
RECT 6.295 1023.895 6.700 1024.435 ;
RECT 5.605 1022.805 6.700 1023.895 ;
RECT 5.520 1022.635 6.700 1022.805 ;
RECT 5.605 1021.545 6.700 1022.635 ;
RECT 6.295 1021.005 6.700 1021.545 ;
RECT 1493.300 1023.895 1493.305 1024.435 ;
RECT 1493.300 1022.805 1493.995 1023.895 ;
RECT 1493.300 1022.635 1494.080 1022.805 ;
RECT 1493.300 1021.545 1493.995 1022.635 ;
RECT 1493.300 1021.005 1493.305 1021.545 ;
RECT 6.295 1018.455 6.700 1018.995 ;
RECT 5.605 1017.365 6.700 1018.455 ;
RECT 5.520 1017.195 6.700 1017.365 ;
RECT 5.605 1016.105 6.700 1017.195 ;
RECT 6.295 1015.565 6.700 1016.105 ;
RECT 1493.300 1018.455 1493.305 1018.995 ;
RECT 1493.300 1017.365 1493.995 1018.455 ;
RECT 1493.300 1017.195 1494.080 1017.365 ;
RECT 1493.300 1016.105 1493.995 1017.195 ;
RECT 1493.300 1015.565 1493.305 1016.105 ;
RECT 6.295 1013.015 6.700 1013.555 ;
RECT 5.605 1011.925 6.700 1013.015 ;
RECT 5.520 1011.755 6.700 1011.925 ;
RECT 5.605 1010.665 6.700 1011.755 ;
RECT 6.295 1010.125 6.700 1010.665 ;
RECT 1493.300 1013.015 1493.305 1013.555 ;
RECT 1493.300 1011.925 1493.995 1013.015 ;
RECT 1493.300 1011.755 1494.080 1011.925 ;
RECT 1493.300 1010.665 1493.995 1011.755 ;
RECT 1493.300 1010.125 1493.305 1010.665 ;
RECT 6.295 1007.575 6.700 1008.115 ;
RECT 5.605 1006.485 6.700 1007.575 ;
RECT 5.520 1006.315 6.700 1006.485 ;
RECT 5.605 1005.225 6.700 1006.315 ;
RECT 6.295 1004.685 6.700 1005.225 ;
RECT 1493.300 1007.575 1493.305 1008.115 ;
RECT 1493.300 1006.485 1493.995 1007.575 ;
RECT 1493.300 1006.315 1494.080 1006.485 ;
RECT 1493.300 1005.225 1493.995 1006.315 ;
RECT 1493.300 1004.685 1493.305 1005.225 ;
RECT 6.295 1002.135 6.700 1002.675 ;
RECT 5.605 1001.045 6.700 1002.135 ;
RECT 5.520 1000.875 6.700 1001.045 ;
RECT 5.605 999.785 6.700 1000.875 ;
RECT 6.295 999.245 6.700 999.785 ;
RECT 1493.300 1002.135 1493.305 1002.675 ;
RECT 1493.300 1001.045 1493.995 1002.135 ;
RECT 1493.300 1000.875 1494.080 1001.045 ;
RECT 1493.300 999.785 1493.995 1000.875 ;
RECT 1493.300 999.245 1493.305 999.785 ;
RECT 6.295 996.695 6.700 997.235 ;
RECT 5.605 995.605 6.700 996.695 ;
RECT 5.520 995.435 6.700 995.605 ;
RECT 5.605 994.345 6.700 995.435 ;
RECT 6.295 993.805 6.700 994.345 ;
RECT 1493.300 996.695 1493.305 997.235 ;
RECT 1493.300 995.605 1493.995 996.695 ;
RECT 1493.300 995.435 1494.080 995.605 ;
RECT 1493.300 994.345 1493.995 995.435 ;
RECT 1493.300 993.805 1493.305 994.345 ;
RECT 6.295 991.255 6.700 991.795 ;
RECT 5.605 990.165 6.700 991.255 ;
RECT 5.520 989.995 6.700 990.165 ;
RECT 5.605 988.905 6.700 989.995 ;
RECT 6.295 988.365 6.700 988.905 ;
RECT 1493.300 991.255 1493.305 991.795 ;
RECT 1493.300 990.165 1493.995 991.255 ;
RECT 1493.300 989.995 1494.080 990.165 ;
RECT 1493.300 988.905 1493.995 989.995 ;
RECT 1493.300 988.365 1493.305 988.905 ;
RECT 6.295 985.815 6.700 986.355 ;
RECT 5.605 984.725 6.700 985.815 ;
RECT 5.520 984.555 6.700 984.725 ;
RECT 5.605 983.465 6.700 984.555 ;
RECT 6.295 982.925 6.700 983.465 ;
RECT 1493.300 985.815 1493.305 986.355 ;
RECT 1493.300 984.725 1493.995 985.815 ;
RECT 1493.300 984.555 1494.080 984.725 ;
RECT 1493.300 983.465 1493.995 984.555 ;
RECT 1493.300 982.925 1493.305 983.465 ;
RECT 6.295 980.375 6.700 980.915 ;
RECT 5.605 979.285 6.700 980.375 ;
RECT 5.520 979.115 6.700 979.285 ;
RECT 5.605 978.025 6.700 979.115 ;
RECT 6.295 977.485 6.700 978.025 ;
RECT 1493.300 980.375 1493.305 980.915 ;
RECT 1493.300 979.285 1493.995 980.375 ;
RECT 1493.300 979.115 1494.080 979.285 ;
RECT 1493.300 978.025 1493.995 979.115 ;
RECT 1493.300 977.485 1493.305 978.025 ;
RECT 6.295 974.935 6.700 975.475 ;
RECT 5.605 973.845 6.700 974.935 ;
RECT 5.520 973.675 6.700 973.845 ;
RECT 5.605 972.585 6.700 973.675 ;
RECT 6.295 972.045 6.700 972.585 ;
RECT 1493.300 974.935 1493.305 975.475 ;
RECT 1493.300 973.845 1493.995 974.935 ;
RECT 1493.300 973.675 1494.080 973.845 ;
RECT 1493.300 972.585 1493.995 973.675 ;
RECT 1493.300 972.045 1493.305 972.585 ;
RECT 6.295 969.495 6.700 970.035 ;
RECT 5.605 968.405 6.700 969.495 ;
RECT 5.520 968.235 6.700 968.405 ;
RECT 5.605 967.145 6.700 968.235 ;
RECT 6.295 966.605 6.700 967.145 ;
RECT 1493.300 969.495 1493.305 970.035 ;
RECT 1493.300 968.405 1493.995 969.495 ;
RECT 1493.300 968.235 1494.080 968.405 ;
RECT 1493.300 967.145 1493.995 968.235 ;
RECT 1493.300 966.605 1493.305 967.145 ;
RECT 6.295 964.055 6.700 964.595 ;
RECT 5.605 962.965 6.700 964.055 ;
RECT 5.520 962.795 6.700 962.965 ;
RECT 5.605 961.705 6.700 962.795 ;
RECT 6.295 961.165 6.700 961.705 ;
RECT 1493.300 964.055 1493.305 964.595 ;
RECT 1493.300 962.965 1493.995 964.055 ;
RECT 1493.300 962.795 1494.080 962.965 ;
RECT 1493.300 961.705 1493.995 962.795 ;
RECT 1493.300 961.165 1493.305 961.705 ;
RECT 6.295 958.615 6.700 959.155 ;
RECT 5.605 957.525 6.700 958.615 ;
RECT 5.520 957.355 6.700 957.525 ;
RECT 5.605 956.265 6.700 957.355 ;
RECT 6.295 955.725 6.700 956.265 ;
RECT 1493.300 958.615 1493.305 959.155 ;
RECT 1493.300 957.525 1493.995 958.615 ;
RECT 1493.300 957.355 1494.080 957.525 ;
RECT 1493.300 956.265 1493.995 957.355 ;
RECT 1493.300 955.725 1493.305 956.265 ;
RECT 6.295 953.175 6.700 953.715 ;
RECT 5.605 952.085 6.700 953.175 ;
RECT 5.520 951.915 6.700 952.085 ;
RECT 5.605 950.825 6.700 951.915 ;
RECT 6.295 950.285 6.700 950.825 ;
RECT 1493.300 953.175 1493.305 953.715 ;
RECT 1493.300 952.085 1493.995 953.175 ;
RECT 1493.300 951.915 1494.080 952.085 ;
RECT 1493.300 950.825 1493.995 951.915 ;
RECT 1493.300 950.285 1493.305 950.825 ;
RECT 6.295 947.735 6.700 948.275 ;
RECT 5.605 946.645 6.700 947.735 ;
RECT 5.520 946.475 6.700 946.645 ;
RECT 5.605 945.385 6.700 946.475 ;
RECT 6.295 944.845 6.700 945.385 ;
RECT 1493.300 947.735 1493.305 948.275 ;
RECT 1493.300 946.645 1493.995 947.735 ;
RECT 1493.300 946.475 1494.080 946.645 ;
RECT 1493.300 945.385 1493.995 946.475 ;
RECT 1493.300 944.845 1493.305 945.385 ;
RECT 6.295 942.295 6.700 942.835 ;
RECT 5.605 941.205 6.700 942.295 ;
RECT 5.520 941.035 6.700 941.205 ;
RECT 5.605 939.945 6.700 941.035 ;
RECT 6.295 939.405 6.700 939.945 ;
RECT 1493.300 942.295 1493.305 942.835 ;
RECT 1493.300 941.205 1493.995 942.295 ;
RECT 1493.300 941.035 1494.080 941.205 ;
RECT 1493.300 939.945 1493.995 941.035 ;
RECT 1493.300 939.405 1493.305 939.945 ;
RECT 6.295 936.855 6.700 937.395 ;
RECT 5.605 935.765 6.700 936.855 ;
RECT 5.520 935.595 6.700 935.765 ;
RECT 5.605 934.505 6.700 935.595 ;
RECT 6.295 933.965 6.700 934.505 ;
RECT 1493.300 936.855 1493.305 937.395 ;
RECT 1493.300 935.765 1493.995 936.855 ;
RECT 1493.300 935.595 1494.080 935.765 ;
RECT 1493.300 934.505 1493.995 935.595 ;
RECT 1493.300 933.965 1493.305 934.505 ;
RECT 6.295 931.415 6.700 931.955 ;
RECT 5.605 930.325 6.700 931.415 ;
RECT 5.520 930.155 6.700 930.325 ;
RECT 5.605 929.065 6.700 930.155 ;
RECT 6.295 928.525 6.700 929.065 ;
RECT 1493.300 931.415 1493.305 931.955 ;
RECT 1493.300 930.325 1493.995 931.415 ;
RECT 1493.300 930.155 1494.080 930.325 ;
RECT 1493.300 929.065 1493.995 930.155 ;
RECT 1493.300 928.525 1493.305 929.065 ;
RECT 6.295 925.975 6.700 926.515 ;
RECT 5.605 924.885 6.700 925.975 ;
RECT 5.520 924.715 6.700 924.885 ;
RECT 5.605 923.625 6.700 924.715 ;
RECT 6.295 923.085 6.700 923.625 ;
RECT 1493.300 925.975 1493.305 926.515 ;
RECT 1493.300 924.885 1493.995 925.975 ;
RECT 1493.300 924.715 1494.080 924.885 ;
RECT 1493.300 923.625 1493.995 924.715 ;
RECT 1493.300 923.085 1493.305 923.625 ;
RECT 6.295 920.535 6.700 921.075 ;
RECT 5.605 919.445 6.700 920.535 ;
RECT 5.520 919.275 6.700 919.445 ;
RECT 5.605 918.185 6.700 919.275 ;
RECT 6.295 917.645 6.700 918.185 ;
RECT 1493.300 920.535 1493.305 921.075 ;
RECT 1493.300 919.445 1493.995 920.535 ;
RECT 1493.300 919.275 1494.080 919.445 ;
RECT 1493.300 918.185 1493.995 919.275 ;
RECT 1493.300 917.645 1493.305 918.185 ;
RECT 6.295 915.095 6.700 915.635 ;
RECT 5.605 914.005 6.700 915.095 ;
RECT 5.520 913.835 6.700 914.005 ;
RECT 5.605 912.745 6.700 913.835 ;
RECT 6.295 912.205 6.700 912.745 ;
RECT 1493.300 915.095 1493.305 915.635 ;
RECT 1493.300 914.005 1493.995 915.095 ;
RECT 1493.300 913.835 1494.080 914.005 ;
RECT 1493.300 912.745 1493.995 913.835 ;
RECT 1493.300 912.205 1493.305 912.745 ;
RECT 6.295 909.655 6.700 910.195 ;
RECT 5.605 908.565 6.700 909.655 ;
RECT 5.520 908.395 6.700 908.565 ;
RECT 5.605 907.305 6.700 908.395 ;
RECT 6.295 906.765 6.700 907.305 ;
RECT 1493.300 909.655 1493.305 910.195 ;
RECT 1493.300 908.565 1493.995 909.655 ;
RECT 1493.300 908.395 1494.080 908.565 ;
RECT 1493.300 907.305 1493.995 908.395 ;
RECT 1493.300 906.765 1493.305 907.305 ;
RECT 6.295 904.215 6.700 904.755 ;
RECT 5.605 903.125 6.700 904.215 ;
RECT 5.520 902.955 6.700 903.125 ;
RECT 5.605 901.865 6.700 902.955 ;
RECT 6.295 901.325 6.700 901.865 ;
RECT 1493.300 904.215 1493.305 904.755 ;
RECT 1493.300 903.125 1493.995 904.215 ;
RECT 1493.300 902.955 1494.080 903.125 ;
RECT 1493.300 901.865 1493.995 902.955 ;
RECT 1493.300 901.325 1493.305 901.865 ;
RECT 6.295 898.775 6.700 899.315 ;
RECT 5.605 897.685 6.700 898.775 ;
RECT 5.520 897.515 6.700 897.685 ;
RECT 5.605 896.425 6.700 897.515 ;
RECT 6.295 895.885 6.700 896.425 ;
RECT 1493.300 898.775 1493.305 899.315 ;
RECT 1493.300 897.685 1493.995 898.775 ;
RECT 1493.300 897.515 1494.080 897.685 ;
RECT 1493.300 896.425 1493.995 897.515 ;
RECT 1493.300 895.885 1493.305 896.425 ;
RECT 6.295 893.335 6.700 893.875 ;
RECT 5.605 892.245 6.700 893.335 ;
RECT 5.520 892.075 6.700 892.245 ;
RECT 5.605 890.985 6.700 892.075 ;
RECT 6.295 890.445 6.700 890.985 ;
RECT 1493.300 893.335 1493.305 893.875 ;
RECT 1493.300 892.245 1493.995 893.335 ;
RECT 1493.300 892.075 1494.080 892.245 ;
RECT 1493.300 890.985 1493.995 892.075 ;
RECT 1493.300 890.445 1493.305 890.985 ;
RECT 6.295 887.895 6.700 888.435 ;
RECT 5.605 886.805 6.700 887.895 ;
RECT 5.520 886.635 6.700 886.805 ;
RECT 5.605 885.545 6.700 886.635 ;
RECT 6.295 885.005 6.700 885.545 ;
RECT 1493.300 887.895 1493.305 888.435 ;
RECT 1493.300 886.805 1493.995 887.895 ;
RECT 1493.300 886.635 1494.080 886.805 ;
RECT 1493.300 885.545 1493.995 886.635 ;
RECT 1493.300 885.005 1493.305 885.545 ;
RECT 6.295 882.455 6.700 882.995 ;
RECT 5.605 881.365 6.700 882.455 ;
RECT 5.520 881.195 6.700 881.365 ;
RECT 5.605 880.105 6.700 881.195 ;
RECT 6.295 879.565 6.700 880.105 ;
RECT 1493.300 882.455 1493.305 882.995 ;
RECT 1493.300 881.365 1493.995 882.455 ;
RECT 1493.300 881.195 1494.080 881.365 ;
RECT 1493.300 880.105 1493.995 881.195 ;
RECT 1493.300 879.565 1493.305 880.105 ;
RECT 6.295 877.015 6.700 877.555 ;
RECT 5.605 875.925 6.700 877.015 ;
RECT 5.520 875.755 6.700 875.925 ;
RECT 5.605 874.665 6.700 875.755 ;
RECT 6.295 874.125 6.700 874.665 ;
RECT 1493.300 877.015 1493.305 877.555 ;
RECT 1493.300 875.925 1493.995 877.015 ;
RECT 1493.300 875.755 1494.080 875.925 ;
RECT 1493.300 874.665 1493.995 875.755 ;
RECT 1493.300 874.125 1493.305 874.665 ;
RECT 6.295 871.575 6.700 872.115 ;
RECT 5.605 870.485 6.700 871.575 ;
RECT 5.520 870.315 6.700 870.485 ;
RECT 5.605 869.225 6.700 870.315 ;
RECT 6.295 868.685 6.700 869.225 ;
RECT 1493.300 871.575 1493.305 872.115 ;
RECT 1493.300 870.485 1493.995 871.575 ;
RECT 1493.300 870.315 1494.080 870.485 ;
RECT 1493.300 869.225 1493.995 870.315 ;
RECT 1493.300 868.685 1493.305 869.225 ;
RECT 6.295 866.135 6.700 866.675 ;
RECT 5.605 865.045 6.700 866.135 ;
RECT 5.520 864.875 6.700 865.045 ;
RECT 5.605 863.785 6.700 864.875 ;
RECT 6.295 863.245 6.700 863.785 ;
RECT 1493.300 866.135 1493.305 866.675 ;
RECT 1493.300 865.045 1493.995 866.135 ;
RECT 1493.300 864.875 1494.080 865.045 ;
RECT 1493.300 863.785 1493.995 864.875 ;
RECT 1493.300 863.245 1493.305 863.785 ;
RECT 6.295 860.695 6.700 861.235 ;
RECT 5.605 859.605 6.700 860.695 ;
RECT 5.520 859.435 6.700 859.605 ;
RECT 5.605 858.345 6.700 859.435 ;
RECT 6.295 857.805 6.700 858.345 ;
RECT 1493.300 860.695 1493.305 861.235 ;
RECT 1493.300 859.605 1493.995 860.695 ;
RECT 1493.300 859.435 1494.080 859.605 ;
RECT 1493.300 858.345 1493.995 859.435 ;
RECT 1493.300 857.805 1493.305 858.345 ;
RECT 6.295 855.255 6.700 855.795 ;
RECT 5.605 854.165 6.700 855.255 ;
RECT 5.520 853.995 6.700 854.165 ;
RECT 5.605 852.905 6.700 853.995 ;
RECT 6.295 852.365 6.700 852.905 ;
RECT 1493.300 855.255 1493.305 855.795 ;
RECT 1493.300 854.165 1493.995 855.255 ;
RECT 1493.300 853.995 1494.080 854.165 ;
RECT 1493.300 852.905 1493.995 853.995 ;
RECT 1493.300 852.365 1493.305 852.905 ;
RECT 6.295 849.815 6.700 850.355 ;
RECT 5.605 848.725 6.700 849.815 ;
RECT 5.520 848.555 6.700 848.725 ;
RECT 5.605 847.465 6.700 848.555 ;
RECT 6.295 846.925 6.700 847.465 ;
RECT 1493.300 849.815 1493.305 850.355 ;
RECT 1493.300 848.725 1493.995 849.815 ;
RECT 1493.300 848.555 1494.080 848.725 ;
RECT 1493.300 847.465 1493.995 848.555 ;
RECT 1493.300 846.925 1493.305 847.465 ;
RECT 6.295 844.375 6.700 844.915 ;
RECT 5.605 843.285 6.700 844.375 ;
RECT 5.520 843.115 6.700 843.285 ;
RECT 5.605 842.025 6.700 843.115 ;
RECT 6.295 841.485 6.700 842.025 ;
RECT 1493.300 844.375 1493.305 844.915 ;
RECT 1493.300 843.285 1493.995 844.375 ;
RECT 1493.300 843.115 1494.080 843.285 ;
RECT 1493.300 842.025 1493.995 843.115 ;
RECT 1493.300 841.485 1493.305 842.025 ;
RECT 6.295 838.935 6.700 839.475 ;
RECT 5.605 837.845 6.700 838.935 ;
RECT 5.520 837.675 6.700 837.845 ;
RECT 5.605 836.585 6.700 837.675 ;
RECT 6.295 836.045 6.700 836.585 ;
RECT 1493.300 838.935 1493.305 839.475 ;
RECT 1493.300 837.845 1493.995 838.935 ;
RECT 1493.300 837.675 1494.080 837.845 ;
RECT 1493.300 836.585 1493.995 837.675 ;
RECT 1493.300 836.045 1493.305 836.585 ;
RECT 6.295 833.495 6.700 834.035 ;
RECT 5.605 832.405 6.700 833.495 ;
RECT 5.520 832.235 6.700 832.405 ;
RECT 5.605 831.145 6.700 832.235 ;
RECT 6.295 830.605 6.700 831.145 ;
RECT 1493.300 833.495 1493.305 834.035 ;
RECT 1493.300 832.405 1493.995 833.495 ;
RECT 1493.300 832.235 1494.080 832.405 ;
RECT 1493.300 831.145 1493.995 832.235 ;
RECT 1493.300 830.605 1493.305 831.145 ;
RECT 6.295 828.055 6.700 828.595 ;
RECT 5.605 826.965 6.700 828.055 ;
RECT 5.520 826.795 6.700 826.965 ;
RECT 5.605 825.705 6.700 826.795 ;
RECT 6.295 825.165 6.700 825.705 ;
RECT 1493.300 828.055 1493.305 828.595 ;
RECT 1493.300 826.965 1493.995 828.055 ;
RECT 1493.300 826.795 1494.080 826.965 ;
RECT 1493.300 825.705 1493.995 826.795 ;
RECT 1493.300 825.165 1493.305 825.705 ;
RECT 6.295 822.615 6.700 823.155 ;
RECT 5.605 821.525 6.700 822.615 ;
RECT 5.520 821.355 6.700 821.525 ;
RECT 5.605 820.265 6.700 821.355 ;
RECT 6.295 819.725 6.700 820.265 ;
RECT 1493.300 822.615 1493.305 823.155 ;
RECT 1493.300 821.525 1493.995 822.615 ;
RECT 1493.300 821.355 1494.080 821.525 ;
RECT 1493.300 820.265 1493.995 821.355 ;
RECT 1493.300 819.725 1493.305 820.265 ;
RECT 6.295 817.175 6.700 817.715 ;
RECT 5.605 816.085 6.700 817.175 ;
RECT 5.520 815.915 6.700 816.085 ;
RECT 5.605 814.825 6.700 815.915 ;
RECT 6.295 814.285 6.700 814.825 ;
RECT 1493.300 817.175 1493.305 817.715 ;
RECT 1493.300 816.085 1493.995 817.175 ;
RECT 1493.300 815.915 1494.080 816.085 ;
RECT 1493.300 814.825 1493.995 815.915 ;
RECT 1493.300 814.285 1493.305 814.825 ;
RECT 6.295 811.735 6.700 812.275 ;
RECT 5.605 810.645 6.700 811.735 ;
RECT 5.520 810.475 6.700 810.645 ;
RECT 5.605 809.385 6.700 810.475 ;
RECT 6.295 808.845 6.700 809.385 ;
RECT 1493.300 811.735 1493.305 812.275 ;
RECT 1493.300 810.645 1493.995 811.735 ;
RECT 1493.300 810.475 1494.080 810.645 ;
RECT 1493.300 809.385 1493.995 810.475 ;
RECT 1493.300 808.845 1493.305 809.385 ;
RECT 6.295 806.295 6.700 806.835 ;
RECT 5.605 805.205 6.700 806.295 ;
RECT 5.520 805.035 6.700 805.205 ;
RECT 5.605 803.945 6.700 805.035 ;
RECT 6.295 803.405 6.700 803.945 ;
RECT 1493.300 806.295 1493.305 806.835 ;
RECT 1493.300 805.205 1493.995 806.295 ;
RECT 1493.300 805.035 1494.080 805.205 ;
RECT 1493.300 803.945 1493.995 805.035 ;
RECT 1493.300 803.405 1493.305 803.945 ;
RECT 6.295 800.855 6.700 801.395 ;
RECT 5.605 799.765 6.700 800.855 ;
RECT 5.520 799.595 6.700 799.765 ;
RECT 5.605 798.505 6.700 799.595 ;
RECT 6.295 797.965 6.700 798.505 ;
RECT 1493.300 800.855 1493.305 801.395 ;
RECT 1493.300 799.765 1493.995 800.855 ;
RECT 1493.300 799.595 1494.080 799.765 ;
RECT 1493.300 798.505 1493.995 799.595 ;
RECT 1493.300 797.965 1493.305 798.505 ;
RECT 6.295 795.415 6.700 795.955 ;
RECT 5.605 794.325 6.700 795.415 ;
RECT 5.520 794.155 6.700 794.325 ;
RECT 5.605 793.065 6.700 794.155 ;
RECT 6.295 792.525 6.700 793.065 ;
RECT 1493.300 795.415 1493.305 795.955 ;
RECT 1493.300 794.325 1493.995 795.415 ;
RECT 1493.300 794.155 1494.080 794.325 ;
RECT 1493.300 793.065 1493.995 794.155 ;
RECT 1493.300 792.525 1493.305 793.065 ;
RECT 6.295 789.975 6.700 790.515 ;
RECT 5.605 788.885 6.700 789.975 ;
RECT 5.520 788.715 6.700 788.885 ;
RECT 5.605 787.625 6.700 788.715 ;
RECT 6.295 787.085 6.700 787.625 ;
RECT 1493.300 789.975 1493.305 790.515 ;
RECT 1493.300 788.885 1493.995 789.975 ;
RECT 1493.300 788.715 1494.080 788.885 ;
RECT 1493.300 787.625 1493.995 788.715 ;
RECT 1493.300 787.085 1493.305 787.625 ;
RECT 6.295 784.535 6.700 785.075 ;
RECT 5.605 783.445 6.700 784.535 ;
RECT 5.520 783.275 6.700 783.445 ;
RECT 5.605 782.185 6.700 783.275 ;
RECT 6.295 781.645 6.700 782.185 ;
RECT 1493.300 784.535 1493.305 785.075 ;
RECT 1493.300 783.445 1493.995 784.535 ;
RECT 1493.300 783.275 1494.080 783.445 ;
RECT 1493.300 782.185 1493.995 783.275 ;
RECT 1493.300 781.645 1493.305 782.185 ;
RECT 6.295 779.095 6.700 779.635 ;
RECT 5.605 778.005 6.700 779.095 ;
RECT 5.520 777.835 6.700 778.005 ;
RECT 5.605 776.745 6.700 777.835 ;
RECT 6.295 776.205 6.700 776.745 ;
RECT 1493.300 779.095 1493.305 779.635 ;
RECT 1493.300 778.005 1493.995 779.095 ;
RECT 1493.300 777.835 1494.080 778.005 ;
RECT 1493.300 776.745 1493.995 777.835 ;
RECT 1493.300 776.205 1493.305 776.745 ;
RECT 6.295 773.655 6.700 774.195 ;
RECT 5.605 772.565 6.700 773.655 ;
RECT 5.520 772.395 6.700 772.565 ;
RECT 5.605 771.305 6.700 772.395 ;
RECT 6.295 770.765 6.700 771.305 ;
RECT 1493.300 773.655 1493.305 774.195 ;
RECT 1493.300 772.565 1493.995 773.655 ;
RECT 1493.300 772.395 1494.080 772.565 ;
RECT 1493.300 771.305 1493.995 772.395 ;
RECT 1493.300 770.765 1493.305 771.305 ;
RECT 6.295 768.215 6.700 768.755 ;
RECT 5.605 767.125 6.700 768.215 ;
RECT 5.520 766.955 6.700 767.125 ;
RECT 5.605 765.865 6.700 766.955 ;
RECT 6.295 765.325 6.700 765.865 ;
RECT 1493.300 768.215 1493.305 768.755 ;
RECT 1493.300 767.125 1493.995 768.215 ;
RECT 1493.300 766.955 1494.080 767.125 ;
RECT 1493.300 765.865 1493.995 766.955 ;
RECT 1493.300 765.325 1493.305 765.865 ;
RECT 6.295 762.775 6.700 763.315 ;
RECT 5.605 761.685 6.700 762.775 ;
RECT 5.520 761.515 6.700 761.685 ;
RECT 5.605 760.425 6.700 761.515 ;
RECT 6.295 759.885 6.700 760.425 ;
RECT 1493.300 762.775 1493.305 763.315 ;
RECT 1493.300 761.685 1493.995 762.775 ;
RECT 1493.300 761.515 1494.080 761.685 ;
RECT 1493.300 760.425 1493.995 761.515 ;
RECT 1493.300 759.885 1493.305 760.425 ;
RECT 6.295 757.335 6.700 757.875 ;
RECT 5.605 756.245 6.700 757.335 ;
RECT 5.520 756.075 6.700 756.245 ;
RECT 5.605 754.985 6.700 756.075 ;
RECT 6.295 754.445 6.700 754.985 ;
RECT 1493.300 757.335 1493.305 757.875 ;
RECT 1493.300 756.245 1493.995 757.335 ;
RECT 1493.300 756.075 1494.080 756.245 ;
RECT 1493.300 754.985 1493.995 756.075 ;
RECT 1493.300 754.445 1493.305 754.985 ;
RECT 6.295 751.895 6.700 752.435 ;
RECT 5.605 750.805 6.700 751.895 ;
RECT 5.520 750.635 6.700 750.805 ;
RECT 5.605 749.545 6.700 750.635 ;
RECT 6.295 749.005 6.700 749.545 ;
RECT 1493.300 751.895 1493.305 752.435 ;
RECT 1493.300 750.805 1493.995 751.895 ;
RECT 1493.300 750.635 1494.080 750.805 ;
RECT 1493.300 749.545 1493.995 750.635 ;
RECT 1493.300 749.005 1493.305 749.545 ;
RECT 6.295 746.455 6.700 746.995 ;
RECT 5.605 745.365 6.700 746.455 ;
RECT 5.520 745.195 6.700 745.365 ;
RECT 5.605 744.105 6.700 745.195 ;
RECT 6.295 743.565 6.700 744.105 ;
RECT 1493.300 746.455 1493.305 746.995 ;
RECT 1493.300 745.365 1493.995 746.455 ;
RECT 1493.300 745.195 1494.080 745.365 ;
RECT 1493.300 744.105 1493.995 745.195 ;
RECT 1493.300 743.565 1493.305 744.105 ;
RECT 6.295 741.015 6.700 741.555 ;
RECT 5.605 739.925 6.700 741.015 ;
RECT 5.520 739.755 6.700 739.925 ;
RECT 5.605 738.665 6.700 739.755 ;
RECT 6.295 738.125 6.700 738.665 ;
RECT 1493.300 741.015 1493.305 741.555 ;
RECT 1493.300 739.925 1493.995 741.015 ;
RECT 1493.300 739.755 1494.080 739.925 ;
RECT 1493.300 738.665 1493.995 739.755 ;
RECT 1493.300 738.125 1493.305 738.665 ;
RECT 6.295 735.575 6.700 736.115 ;
RECT 5.605 734.485 6.700 735.575 ;
RECT 5.520 734.315 6.700 734.485 ;
RECT 5.605 733.225 6.700 734.315 ;
RECT 6.295 732.685 6.700 733.225 ;
RECT 1493.300 735.575 1493.305 736.115 ;
RECT 1493.300 734.485 1493.995 735.575 ;
RECT 1493.300 734.315 1494.080 734.485 ;
RECT 1493.300 733.225 1493.995 734.315 ;
RECT 1493.300 732.685 1493.305 733.225 ;
RECT 6.295 730.135 6.700 730.675 ;
RECT 5.605 729.045 6.700 730.135 ;
RECT 5.520 728.875 6.700 729.045 ;
RECT 5.605 727.785 6.700 728.875 ;
RECT 6.295 727.245 6.700 727.785 ;
RECT 1493.300 730.135 1493.305 730.675 ;
RECT 1493.300 729.045 1493.995 730.135 ;
RECT 1493.300 728.875 1494.080 729.045 ;
RECT 1493.300 727.785 1493.995 728.875 ;
RECT 1493.300 727.245 1493.305 727.785 ;
RECT 6.295 724.695 6.700 725.235 ;
RECT 5.605 723.605 6.700 724.695 ;
RECT 5.520 723.435 6.700 723.605 ;
RECT 5.605 722.345 6.700 723.435 ;
RECT 6.295 721.805 6.700 722.345 ;
RECT 1493.300 724.695 1493.305 725.235 ;
RECT 1493.300 723.605 1493.995 724.695 ;
RECT 1493.300 723.435 1494.080 723.605 ;
RECT 1493.300 722.345 1493.995 723.435 ;
RECT 1493.300 721.805 1493.305 722.345 ;
RECT 6.295 719.255 6.700 719.795 ;
RECT 5.605 718.165 6.700 719.255 ;
RECT 5.520 717.995 6.700 718.165 ;
RECT 5.605 716.905 6.700 717.995 ;
RECT 6.295 716.365 6.700 716.905 ;
RECT 1493.300 719.255 1493.305 719.795 ;
RECT 1493.300 718.165 1493.995 719.255 ;
RECT 1493.300 717.995 1494.080 718.165 ;
RECT 1493.300 716.905 1493.995 717.995 ;
RECT 1493.300 716.365 1493.305 716.905 ;
RECT 6.295 713.815 6.700 714.355 ;
RECT 5.605 712.725 6.700 713.815 ;
RECT 5.520 712.555 6.700 712.725 ;
RECT 5.605 711.465 6.700 712.555 ;
RECT 6.295 710.925 6.700 711.465 ;
RECT 1493.300 713.815 1493.305 714.355 ;
RECT 1493.300 712.725 1493.995 713.815 ;
RECT 1493.300 712.555 1494.080 712.725 ;
RECT 1493.300 711.465 1493.995 712.555 ;
RECT 1493.300 710.925 1493.305 711.465 ;
RECT 6.295 708.375 6.700 708.915 ;
RECT 5.605 707.285 6.700 708.375 ;
RECT 5.520 707.115 6.700 707.285 ;
RECT 5.605 706.025 6.700 707.115 ;
RECT 6.295 705.485 6.700 706.025 ;
RECT 1493.300 708.375 1493.305 708.915 ;
RECT 1493.300 707.285 1493.995 708.375 ;
RECT 1493.300 707.115 1494.080 707.285 ;
RECT 1493.300 706.025 1493.995 707.115 ;
RECT 1493.300 705.485 1493.305 706.025 ;
RECT 6.295 702.935 6.700 703.475 ;
RECT 5.605 701.845 6.700 702.935 ;
RECT 5.520 701.675 6.700 701.845 ;
RECT 5.605 700.585 6.700 701.675 ;
RECT 6.295 700.045 6.700 700.585 ;
RECT 1493.300 702.935 1493.305 703.475 ;
RECT 1493.300 701.845 1493.995 702.935 ;
RECT 1493.300 701.675 1494.080 701.845 ;
RECT 1493.300 700.585 1493.995 701.675 ;
RECT 1493.300 700.045 1493.305 700.585 ;
RECT 6.295 697.495 6.700 698.035 ;
RECT 5.605 696.405 6.700 697.495 ;
RECT 5.520 696.235 6.700 696.405 ;
RECT 5.605 695.145 6.700 696.235 ;
RECT 6.295 694.605 6.700 695.145 ;
RECT 1493.300 697.495 1493.305 698.035 ;
RECT 1493.300 696.405 1493.995 697.495 ;
RECT 1493.300 696.235 1494.080 696.405 ;
RECT 1493.300 695.145 1493.995 696.235 ;
RECT 1493.300 694.605 1493.305 695.145 ;
RECT 6.295 692.055 6.700 692.595 ;
RECT 5.605 690.965 6.700 692.055 ;
RECT 5.520 690.795 6.700 690.965 ;
RECT 5.605 689.705 6.700 690.795 ;
RECT 6.295 689.165 6.700 689.705 ;
RECT 1493.300 692.055 1493.305 692.595 ;
RECT 1493.300 690.965 1493.995 692.055 ;
RECT 1493.300 690.795 1494.080 690.965 ;
RECT 1493.300 689.705 1493.995 690.795 ;
RECT 1493.300 689.165 1493.305 689.705 ;
RECT 6.295 686.615 6.700 687.155 ;
RECT 5.605 685.525 6.700 686.615 ;
RECT 5.520 685.355 6.700 685.525 ;
RECT 5.605 684.265 6.700 685.355 ;
RECT 6.295 683.725 6.700 684.265 ;
RECT 1493.300 686.615 1493.305 687.155 ;
RECT 1493.300 685.525 1493.995 686.615 ;
RECT 1493.300 685.355 1494.080 685.525 ;
RECT 1493.300 684.265 1493.995 685.355 ;
RECT 1493.300 683.725 1493.305 684.265 ;
RECT 6.295 681.175 6.700 681.715 ;
RECT 5.605 680.085 6.700 681.175 ;
RECT 5.520 679.915 6.700 680.085 ;
RECT 5.605 678.825 6.700 679.915 ;
RECT 6.295 678.285 6.700 678.825 ;
RECT 1493.300 681.175 1493.305 681.715 ;
RECT 1493.300 680.085 1493.995 681.175 ;
RECT 1493.300 679.915 1494.080 680.085 ;
RECT 1493.300 678.825 1493.995 679.915 ;
RECT 1493.300 678.285 1493.305 678.825 ;
RECT 6.295 675.735 6.700 676.275 ;
RECT 5.605 674.645 6.700 675.735 ;
RECT 5.520 674.475 6.700 674.645 ;
RECT 5.605 673.385 6.700 674.475 ;
RECT 6.295 672.845 6.700 673.385 ;
RECT 1493.300 675.735 1493.305 676.275 ;
RECT 1493.300 674.645 1493.995 675.735 ;
RECT 1493.300 674.475 1494.080 674.645 ;
RECT 1493.300 673.385 1493.995 674.475 ;
RECT 1493.300 672.845 1493.305 673.385 ;
RECT 6.295 670.295 6.700 670.835 ;
RECT 5.605 669.205 6.700 670.295 ;
RECT 5.520 669.035 6.700 669.205 ;
RECT 5.605 667.945 6.700 669.035 ;
RECT 6.295 667.405 6.700 667.945 ;
RECT 1493.300 670.295 1493.305 670.835 ;
RECT 1493.300 669.205 1493.995 670.295 ;
RECT 1493.300 669.035 1494.080 669.205 ;
RECT 1493.300 667.945 1493.995 669.035 ;
RECT 1493.300 667.405 1493.305 667.945 ;
RECT 6.295 664.855 6.700 665.395 ;
RECT 5.605 663.765 6.700 664.855 ;
RECT 5.520 663.595 6.700 663.765 ;
RECT 5.605 662.505 6.700 663.595 ;
RECT 6.295 661.965 6.700 662.505 ;
RECT 1493.300 664.855 1493.305 665.395 ;
RECT 1493.300 663.765 1493.995 664.855 ;
RECT 1493.300 663.595 1494.080 663.765 ;
RECT 1493.300 662.505 1493.995 663.595 ;
RECT 1493.300 661.965 1493.305 662.505 ;
RECT 6.295 659.415 6.700 659.955 ;
RECT 5.605 658.325 6.700 659.415 ;
RECT 5.520 658.155 6.700 658.325 ;
RECT 5.605 657.065 6.700 658.155 ;
RECT 6.295 656.525 6.700 657.065 ;
RECT 1493.300 659.415 1493.305 659.955 ;
RECT 1493.300 658.325 1493.995 659.415 ;
RECT 1493.300 658.155 1494.080 658.325 ;
RECT 1493.300 657.065 1493.995 658.155 ;
RECT 1493.300 656.525 1493.305 657.065 ;
RECT 6.295 653.975 6.700 654.515 ;
RECT 5.605 652.885 6.700 653.975 ;
RECT 5.520 652.715 6.700 652.885 ;
RECT 5.605 651.625 6.700 652.715 ;
RECT 6.295 651.085 6.700 651.625 ;
RECT 1493.300 653.975 1493.305 654.515 ;
RECT 1493.300 652.885 1493.995 653.975 ;
RECT 1493.300 652.715 1494.080 652.885 ;
RECT 1493.300 651.625 1493.995 652.715 ;
RECT 1493.300 651.085 1493.305 651.625 ;
RECT 6.295 648.535 6.700 649.075 ;
RECT 5.605 647.445 6.700 648.535 ;
RECT 5.520 647.275 6.700 647.445 ;
RECT 5.605 646.185 6.700 647.275 ;
RECT 6.295 645.645 6.700 646.185 ;
RECT 1493.300 648.535 1493.305 649.075 ;
RECT 1493.300 647.445 1493.995 648.535 ;
RECT 1493.300 647.275 1494.080 647.445 ;
RECT 1493.300 646.185 1493.995 647.275 ;
RECT 1493.300 645.645 1493.305 646.185 ;
RECT 6.295 643.095 6.700 643.635 ;
RECT 5.605 642.005 6.700 643.095 ;
RECT 5.520 641.835 6.700 642.005 ;
RECT 5.605 640.745 6.700 641.835 ;
RECT 6.295 640.205 6.700 640.745 ;
RECT 1493.300 643.095 1493.305 643.635 ;
RECT 1493.300 642.005 1493.995 643.095 ;
RECT 1493.300 641.835 1494.080 642.005 ;
RECT 1493.300 640.745 1493.995 641.835 ;
RECT 1493.300 640.205 1493.305 640.745 ;
RECT 6.295 637.655 6.700 638.195 ;
RECT 5.605 636.565 6.700 637.655 ;
RECT 5.520 636.395 6.700 636.565 ;
RECT 5.605 635.305 6.700 636.395 ;
RECT 6.295 634.765 6.700 635.305 ;
RECT 1493.300 637.655 1493.305 638.195 ;
RECT 1493.300 636.565 1493.995 637.655 ;
RECT 1493.300 636.395 1494.080 636.565 ;
RECT 1493.300 635.305 1493.995 636.395 ;
RECT 1493.300 634.765 1493.305 635.305 ;
RECT 6.295 632.215 6.700 632.755 ;
RECT 5.605 631.125 6.700 632.215 ;
RECT 5.520 630.955 6.700 631.125 ;
RECT 5.605 629.865 6.700 630.955 ;
RECT 6.295 629.325 6.700 629.865 ;
RECT 1493.300 632.215 1493.305 632.755 ;
RECT 1493.300 631.125 1493.995 632.215 ;
RECT 1493.300 630.955 1494.080 631.125 ;
RECT 1493.300 629.865 1493.995 630.955 ;
RECT 1493.300 629.325 1493.305 629.865 ;
RECT 6.295 626.775 6.700 627.315 ;
RECT 5.605 625.685 6.700 626.775 ;
RECT 5.520 625.515 6.700 625.685 ;
RECT 5.605 624.425 6.700 625.515 ;
RECT 6.295 623.885 6.700 624.425 ;
RECT 1493.300 626.775 1493.305 627.315 ;
RECT 1493.300 625.685 1493.995 626.775 ;
RECT 1493.300 625.515 1494.080 625.685 ;
RECT 1493.300 624.425 1493.995 625.515 ;
RECT 1493.300 623.885 1493.305 624.425 ;
RECT 6.295 621.335 6.700 621.875 ;
RECT 5.605 620.245 6.700 621.335 ;
RECT 5.520 620.075 6.700 620.245 ;
RECT 5.605 618.985 6.700 620.075 ;
RECT 6.295 618.445 6.700 618.985 ;
RECT 1493.300 621.335 1493.305 621.875 ;
RECT 1493.300 620.245 1493.995 621.335 ;
RECT 1493.300 620.075 1494.080 620.245 ;
RECT 1493.300 618.985 1493.995 620.075 ;
RECT 1493.300 618.445 1493.305 618.985 ;
RECT 6.295 615.895 6.700 616.435 ;
RECT 5.605 614.805 6.700 615.895 ;
RECT 5.520 614.635 6.700 614.805 ;
RECT 5.605 613.545 6.700 614.635 ;
RECT 6.295 613.005 6.700 613.545 ;
RECT 1493.300 615.895 1493.305 616.435 ;
RECT 1493.300 614.805 1493.995 615.895 ;
RECT 1493.300 614.635 1494.080 614.805 ;
RECT 1493.300 613.545 1493.995 614.635 ;
RECT 1493.300 613.005 1493.305 613.545 ;
RECT 6.295 610.455 6.700 610.995 ;
RECT 5.605 609.365 6.700 610.455 ;
RECT 5.520 609.195 6.700 609.365 ;
RECT 5.605 608.105 6.700 609.195 ;
RECT 6.295 607.565 6.700 608.105 ;
RECT 1493.300 610.455 1493.305 610.995 ;
RECT 1493.300 609.365 1493.995 610.455 ;
RECT 1493.300 609.195 1494.080 609.365 ;
RECT 1493.300 608.105 1493.995 609.195 ;
RECT 1493.300 607.565 1493.305 608.105 ;
RECT 6.295 605.015 6.700 605.555 ;
RECT 5.605 603.925 6.700 605.015 ;
RECT 5.520 603.755 6.700 603.925 ;
RECT 5.605 602.665 6.700 603.755 ;
RECT 6.295 602.125 6.700 602.665 ;
RECT 1493.300 605.015 1493.305 605.555 ;
RECT 1493.300 603.925 1493.995 605.015 ;
RECT 1493.300 603.755 1494.080 603.925 ;
RECT 1493.300 602.665 1493.995 603.755 ;
RECT 1493.300 602.125 1493.305 602.665 ;
RECT 6.295 599.575 6.700 600.115 ;
RECT 5.605 598.485 6.700 599.575 ;
RECT 5.520 598.315 6.700 598.485 ;
RECT 5.605 597.225 6.700 598.315 ;
RECT 6.295 596.685 6.700 597.225 ;
RECT 1493.300 599.575 1493.305 600.115 ;
RECT 1493.300 598.485 1493.995 599.575 ;
RECT 1493.300 598.315 1494.080 598.485 ;
RECT 1493.300 597.225 1493.995 598.315 ;
RECT 1493.300 596.685 1493.305 597.225 ;
RECT 6.295 594.135 6.700 594.675 ;
RECT 5.605 593.045 6.700 594.135 ;
RECT 5.520 592.875 6.700 593.045 ;
RECT 5.605 591.785 6.700 592.875 ;
RECT 6.295 591.245 6.700 591.785 ;
RECT 1493.300 594.135 1493.305 594.675 ;
RECT 1493.300 593.045 1493.995 594.135 ;
RECT 1493.300 592.875 1494.080 593.045 ;
RECT 1493.300 591.785 1493.995 592.875 ;
RECT 1493.300 591.245 1493.305 591.785 ;
RECT 6.295 588.695 6.700 589.235 ;
RECT 5.605 587.605 6.700 588.695 ;
RECT 5.520 587.435 6.700 587.605 ;
RECT 5.605 586.345 6.700 587.435 ;
RECT 6.295 585.805 6.700 586.345 ;
RECT 1493.300 588.695 1493.305 589.235 ;
RECT 1493.300 587.605 1493.995 588.695 ;
RECT 1493.300 587.435 1494.080 587.605 ;
RECT 1493.300 586.345 1493.995 587.435 ;
RECT 1493.300 585.805 1493.305 586.345 ;
RECT 6.295 583.255 6.700 583.795 ;
RECT 5.605 582.165 6.700 583.255 ;
RECT 5.520 581.995 6.700 582.165 ;
RECT 5.605 580.905 6.700 581.995 ;
RECT 6.295 580.365 6.700 580.905 ;
RECT 1493.300 583.255 1493.305 583.795 ;
RECT 1493.300 582.165 1493.995 583.255 ;
RECT 1493.300 581.995 1494.080 582.165 ;
RECT 1493.300 580.905 1493.995 581.995 ;
RECT 1493.300 580.365 1493.305 580.905 ;
RECT 6.295 577.815 6.700 578.355 ;
RECT 5.605 576.725 6.700 577.815 ;
RECT 5.520 576.555 6.700 576.725 ;
RECT 5.605 575.465 6.700 576.555 ;
RECT 6.295 574.925 6.700 575.465 ;
RECT 1493.300 577.815 1493.305 578.355 ;
RECT 1493.300 576.725 1493.995 577.815 ;
RECT 1493.300 576.555 1494.080 576.725 ;
RECT 1493.300 575.465 1493.995 576.555 ;
RECT 1493.300 574.925 1493.305 575.465 ;
RECT 6.295 572.375 6.700 572.915 ;
RECT 5.605 571.285 6.700 572.375 ;
RECT 5.520 571.115 6.700 571.285 ;
RECT 5.605 570.025 6.700 571.115 ;
RECT 6.295 569.485 6.700 570.025 ;
RECT 1493.300 572.375 1493.305 572.915 ;
RECT 1493.300 571.285 1493.995 572.375 ;
RECT 1493.300 571.115 1494.080 571.285 ;
RECT 1493.300 570.025 1493.995 571.115 ;
RECT 1493.300 569.485 1493.305 570.025 ;
RECT 6.295 566.935 6.700 567.475 ;
RECT 5.605 565.845 6.700 566.935 ;
RECT 5.520 565.675 6.700 565.845 ;
RECT 5.605 564.585 6.700 565.675 ;
RECT 6.295 564.045 6.700 564.585 ;
RECT 1493.300 566.935 1493.305 567.475 ;
RECT 1493.300 565.845 1493.995 566.935 ;
RECT 1493.300 565.675 1494.080 565.845 ;
RECT 1493.300 564.585 1493.995 565.675 ;
RECT 1493.300 564.045 1493.305 564.585 ;
RECT 6.295 561.495 6.700 562.035 ;
RECT 5.605 560.405 6.700 561.495 ;
RECT 5.520 560.235 6.700 560.405 ;
RECT 5.605 559.145 6.700 560.235 ;
RECT 6.295 558.605 6.700 559.145 ;
RECT 1493.300 561.495 1493.305 562.035 ;
RECT 1493.300 560.405 1493.995 561.495 ;
RECT 1493.300 560.235 1494.080 560.405 ;
RECT 1493.300 559.145 1493.995 560.235 ;
RECT 1493.300 558.605 1493.305 559.145 ;
RECT 6.295 556.055 6.700 556.595 ;
RECT 5.605 554.965 6.700 556.055 ;
RECT 5.520 554.795 6.700 554.965 ;
RECT 5.605 553.705 6.700 554.795 ;
RECT 6.295 553.165 6.700 553.705 ;
RECT 1493.300 556.055 1493.305 556.595 ;
RECT 1493.300 554.965 1493.995 556.055 ;
RECT 1493.300 554.795 1494.080 554.965 ;
RECT 1493.300 553.705 1493.995 554.795 ;
RECT 1493.300 553.165 1493.305 553.705 ;
RECT 6.295 550.615 6.700 551.155 ;
RECT 5.605 549.525 6.700 550.615 ;
RECT 5.520 549.355 6.700 549.525 ;
RECT 5.605 548.265 6.700 549.355 ;
RECT 6.295 547.725 6.700 548.265 ;
RECT 1493.300 550.615 1493.305 551.155 ;
RECT 1493.300 549.525 1493.995 550.615 ;
RECT 1493.300 549.355 1494.080 549.525 ;
RECT 1493.300 548.265 1493.995 549.355 ;
RECT 1493.300 547.725 1493.305 548.265 ;
RECT 6.295 545.175 6.700 545.715 ;
RECT 5.605 544.085 6.700 545.175 ;
RECT 5.520 543.915 6.700 544.085 ;
RECT 5.605 542.825 6.700 543.915 ;
RECT 6.295 542.285 6.700 542.825 ;
RECT 1493.300 545.175 1493.305 545.715 ;
RECT 1493.300 544.085 1493.995 545.175 ;
RECT 1493.300 543.915 1494.080 544.085 ;
RECT 1493.300 542.825 1493.995 543.915 ;
RECT 1493.300 542.285 1493.305 542.825 ;
RECT 6.295 539.735 6.700 540.275 ;
RECT 5.605 538.645 6.700 539.735 ;
RECT 5.520 538.475 6.700 538.645 ;
RECT 5.605 537.385 6.700 538.475 ;
RECT 6.295 536.845 6.700 537.385 ;
RECT 1493.300 539.735 1493.305 540.275 ;
RECT 1493.300 538.645 1493.995 539.735 ;
RECT 1493.300 538.475 1494.080 538.645 ;
RECT 1493.300 537.385 1493.995 538.475 ;
RECT 1493.300 536.845 1493.305 537.385 ;
RECT 6.295 534.295 6.700 534.835 ;
RECT 5.605 533.205 6.700 534.295 ;
RECT 5.520 533.035 6.700 533.205 ;
RECT 5.605 531.945 6.700 533.035 ;
RECT 6.295 531.405 6.700 531.945 ;
RECT 1493.300 534.295 1493.305 534.835 ;
RECT 1493.300 533.205 1493.995 534.295 ;
RECT 1493.300 533.035 1494.080 533.205 ;
RECT 1493.300 531.945 1493.995 533.035 ;
RECT 1493.300 531.405 1493.305 531.945 ;
RECT 6.295 528.855 6.700 529.395 ;
RECT 5.605 527.765 6.700 528.855 ;
RECT 5.520 527.595 6.700 527.765 ;
RECT 5.605 526.505 6.700 527.595 ;
RECT 6.295 525.965 6.700 526.505 ;
RECT 1493.300 528.855 1493.305 529.395 ;
RECT 1493.300 527.765 1493.995 528.855 ;
RECT 1493.300 527.595 1494.080 527.765 ;
RECT 1493.300 526.505 1493.995 527.595 ;
RECT 1493.300 525.965 1493.305 526.505 ;
RECT 6.295 523.415 6.700 523.955 ;
RECT 5.605 522.325 6.700 523.415 ;
RECT 5.520 522.155 6.700 522.325 ;
RECT 5.605 521.065 6.700 522.155 ;
RECT 6.295 520.525 6.700 521.065 ;
RECT 1493.300 523.415 1493.305 523.955 ;
RECT 1493.300 522.325 1493.995 523.415 ;
RECT 1493.300 522.155 1494.080 522.325 ;
RECT 1493.300 521.065 1493.995 522.155 ;
RECT 1493.300 520.525 1493.305 521.065 ;
RECT 6.295 517.975 6.700 518.515 ;
RECT 5.605 516.885 6.700 517.975 ;
RECT 5.520 516.715 6.700 516.885 ;
RECT 5.605 515.625 6.700 516.715 ;
RECT 6.295 515.085 6.700 515.625 ;
RECT 1493.300 517.975 1493.305 518.515 ;
RECT 1493.300 516.885 1493.995 517.975 ;
RECT 1493.300 516.715 1494.080 516.885 ;
RECT 1493.300 515.625 1493.995 516.715 ;
RECT 1493.300 515.085 1493.305 515.625 ;
RECT 6.295 512.535 6.700 513.075 ;
RECT 5.605 511.445 6.700 512.535 ;
RECT 5.520 511.275 6.700 511.445 ;
RECT 5.605 510.185 6.700 511.275 ;
RECT 6.295 509.645 6.700 510.185 ;
RECT 1493.300 512.535 1493.305 513.075 ;
RECT 1493.300 511.445 1493.995 512.535 ;
RECT 1493.300 511.275 1494.080 511.445 ;
RECT 1493.300 510.185 1493.995 511.275 ;
RECT 1493.300 509.645 1493.305 510.185 ;
RECT 6.295 507.095 6.700 507.635 ;
RECT 5.605 506.005 6.700 507.095 ;
RECT 5.520 505.835 6.700 506.005 ;
RECT 5.605 504.745 6.700 505.835 ;
RECT 6.295 504.205 6.700 504.745 ;
RECT 1493.300 507.095 1493.305 507.635 ;
RECT 1493.300 506.005 1493.995 507.095 ;
RECT 1493.300 505.835 1494.080 506.005 ;
RECT 1493.300 504.745 1493.995 505.835 ;
RECT 1493.300 504.205 1493.305 504.745 ;
RECT 6.295 501.655 6.700 502.195 ;
RECT 5.605 500.565 6.700 501.655 ;
RECT 5.520 500.395 6.700 500.565 ;
RECT 5.605 499.305 6.700 500.395 ;
RECT 6.295 498.765 6.700 499.305 ;
RECT 1493.300 501.655 1493.305 502.195 ;
RECT 1493.300 500.565 1493.995 501.655 ;
RECT 1493.300 500.395 1494.080 500.565 ;
RECT 1493.300 499.305 1493.995 500.395 ;
RECT 1493.300 498.765 1493.305 499.305 ;
RECT 6.295 496.215 6.700 496.755 ;
RECT 5.605 495.125 6.700 496.215 ;
RECT 5.520 494.955 6.700 495.125 ;
RECT 5.605 493.865 6.700 494.955 ;
RECT 6.295 493.325 6.700 493.865 ;
RECT 1493.300 496.215 1493.305 496.755 ;
RECT 1493.300 495.125 1493.995 496.215 ;
RECT 1493.300 494.955 1494.080 495.125 ;
RECT 1493.300 493.865 1493.995 494.955 ;
RECT 1493.300 493.325 1493.305 493.865 ;
RECT 6.295 490.775 6.700 491.315 ;
RECT 5.605 489.685 6.700 490.775 ;
RECT 5.520 489.515 6.700 489.685 ;
RECT 5.605 488.425 6.700 489.515 ;
RECT 6.295 487.885 6.700 488.425 ;
RECT 1493.300 490.775 1493.305 491.315 ;
RECT 1493.300 489.685 1493.995 490.775 ;
RECT 1493.300 489.515 1494.080 489.685 ;
RECT 1493.300 488.425 1493.995 489.515 ;
RECT 1493.300 487.885 1493.305 488.425 ;
RECT 6.295 485.335 6.700 485.875 ;
RECT 5.605 484.245 6.700 485.335 ;
RECT 5.520 484.075 6.700 484.245 ;
RECT 5.605 482.985 6.700 484.075 ;
RECT 6.295 482.445 6.700 482.985 ;
RECT 1493.300 485.335 1493.305 485.875 ;
RECT 1493.300 484.245 1493.995 485.335 ;
RECT 1493.300 484.075 1494.080 484.245 ;
RECT 1493.300 482.985 1493.995 484.075 ;
RECT 1493.300 482.445 1493.305 482.985 ;
RECT 6.295 479.895 6.700 480.435 ;
RECT 5.605 478.805 6.700 479.895 ;
RECT 5.520 478.635 6.700 478.805 ;
RECT 5.605 477.545 6.700 478.635 ;
RECT 6.295 477.005 6.700 477.545 ;
RECT 1493.300 479.895 1493.305 480.435 ;
RECT 1493.300 478.805 1493.995 479.895 ;
RECT 1493.300 478.635 1494.080 478.805 ;
RECT 1493.300 477.545 1493.995 478.635 ;
RECT 1493.300 477.005 1493.305 477.545 ;
RECT 6.295 474.455 6.700 474.995 ;
RECT 5.605 473.365 6.700 474.455 ;
RECT 5.520 473.195 6.700 473.365 ;
RECT 5.605 472.105 6.700 473.195 ;
RECT 6.295 471.565 6.700 472.105 ;
RECT 1493.300 474.455 1493.305 474.995 ;
RECT 1493.300 473.365 1493.995 474.455 ;
RECT 1493.300 473.195 1494.080 473.365 ;
RECT 1493.300 472.105 1493.995 473.195 ;
RECT 1493.300 471.565 1493.305 472.105 ;
RECT 6.295 469.015 6.700 469.555 ;
RECT 5.605 467.925 6.700 469.015 ;
RECT 5.520 467.755 6.700 467.925 ;
RECT 5.605 466.665 6.700 467.755 ;
RECT 6.295 466.125 6.700 466.665 ;
RECT 1493.300 469.015 1493.305 469.555 ;
RECT 1493.300 467.925 1493.995 469.015 ;
RECT 1493.300 467.755 1494.080 467.925 ;
RECT 1493.300 466.665 1493.995 467.755 ;
RECT 1493.300 466.125 1493.305 466.665 ;
RECT 6.295 463.575 6.700 464.115 ;
RECT 5.605 462.485 6.700 463.575 ;
RECT 5.520 462.315 6.700 462.485 ;
RECT 5.605 461.225 6.700 462.315 ;
RECT 6.295 460.685 6.700 461.225 ;
RECT 1493.300 463.575 1493.305 464.115 ;
RECT 1493.300 462.485 1493.995 463.575 ;
RECT 1493.300 462.315 1494.080 462.485 ;
RECT 1493.300 461.225 1493.995 462.315 ;
RECT 1493.300 460.685 1493.305 461.225 ;
RECT 6.295 458.135 6.700 458.675 ;
RECT 5.605 457.045 6.700 458.135 ;
RECT 5.520 456.875 6.700 457.045 ;
RECT 5.605 455.785 6.700 456.875 ;
RECT 6.295 455.245 6.700 455.785 ;
RECT 1493.300 458.135 1493.305 458.675 ;
RECT 1493.300 457.045 1493.995 458.135 ;
RECT 1493.300 456.875 1494.080 457.045 ;
RECT 1493.300 455.785 1493.995 456.875 ;
RECT 1493.300 455.245 1493.305 455.785 ;
RECT 6.295 452.695 6.700 453.235 ;
RECT 5.605 451.605 6.700 452.695 ;
RECT 5.520 451.435 6.700 451.605 ;
RECT 5.605 450.345 6.700 451.435 ;
RECT 6.295 449.805 6.700 450.345 ;
RECT 1493.300 452.695 1493.305 453.235 ;
RECT 1493.300 451.605 1493.995 452.695 ;
RECT 1493.300 451.435 1494.080 451.605 ;
RECT 1493.300 450.345 1493.995 451.435 ;
RECT 1493.300 449.805 1493.305 450.345 ;
RECT 6.295 447.255 6.700 447.795 ;
RECT 5.605 446.165 6.700 447.255 ;
RECT 5.520 445.995 6.700 446.165 ;
RECT 5.605 444.905 6.700 445.995 ;
RECT 6.295 444.365 6.700 444.905 ;
RECT 1493.300 447.255 1493.305 447.795 ;
RECT 1493.300 446.165 1493.995 447.255 ;
RECT 1493.300 445.995 1494.080 446.165 ;
RECT 1493.300 444.905 1493.995 445.995 ;
RECT 1493.300 444.365 1493.305 444.905 ;
RECT 6.295 441.815 6.700 442.355 ;
RECT 5.605 440.725 6.700 441.815 ;
RECT 5.520 440.555 6.700 440.725 ;
RECT 5.605 439.465 6.700 440.555 ;
RECT 6.295 438.925 6.700 439.465 ;
RECT 1493.300 441.815 1493.305 442.355 ;
RECT 1493.300 440.725 1493.995 441.815 ;
RECT 1493.300 440.555 1494.080 440.725 ;
RECT 1493.300 439.465 1493.995 440.555 ;
RECT 1493.300 438.925 1493.305 439.465 ;
RECT 6.295 436.375 6.700 436.915 ;
RECT 5.605 435.285 6.700 436.375 ;
RECT 5.520 435.115 6.700 435.285 ;
RECT 5.605 434.025 6.700 435.115 ;
RECT 6.295 433.485 6.700 434.025 ;
RECT 1493.300 436.375 1493.305 436.915 ;
RECT 1493.300 435.285 1493.995 436.375 ;
RECT 1493.300 435.115 1494.080 435.285 ;
RECT 1493.300 434.025 1493.995 435.115 ;
RECT 1493.300 433.485 1493.305 434.025 ;
RECT 6.295 430.935 6.700 431.475 ;
RECT 5.605 429.845 6.700 430.935 ;
RECT 5.520 429.675 6.700 429.845 ;
RECT 5.605 428.585 6.700 429.675 ;
RECT 6.295 428.045 6.700 428.585 ;
RECT 1493.300 430.935 1493.305 431.475 ;
RECT 1493.300 429.845 1493.995 430.935 ;
RECT 1493.300 429.675 1494.080 429.845 ;
RECT 1493.300 428.585 1493.995 429.675 ;
RECT 1493.300 428.045 1493.305 428.585 ;
RECT 6.295 425.495 6.700 426.035 ;
RECT 5.605 424.405 6.700 425.495 ;
RECT 5.520 424.235 6.700 424.405 ;
RECT 5.605 423.145 6.700 424.235 ;
RECT 6.295 422.605 6.700 423.145 ;
RECT 1493.300 425.495 1493.305 426.035 ;
RECT 1493.300 424.405 1493.995 425.495 ;
RECT 1493.300 424.235 1494.080 424.405 ;
RECT 1493.300 423.145 1493.995 424.235 ;
RECT 1493.300 422.605 1493.305 423.145 ;
RECT 6.295 420.055 6.700 420.595 ;
RECT 5.605 418.965 6.700 420.055 ;
RECT 5.520 418.795 6.700 418.965 ;
RECT 5.605 417.705 6.700 418.795 ;
RECT 6.295 417.165 6.700 417.705 ;
RECT 1493.300 420.055 1493.305 420.595 ;
RECT 1493.300 418.965 1493.995 420.055 ;
RECT 1493.300 418.795 1494.080 418.965 ;
RECT 1493.300 417.705 1493.995 418.795 ;
RECT 1493.300 417.165 1493.305 417.705 ;
RECT 6.295 414.615 6.700 415.155 ;
RECT 5.605 413.525 6.700 414.615 ;
RECT 5.520 413.355 6.700 413.525 ;
RECT 5.605 412.265 6.700 413.355 ;
RECT 6.295 411.725 6.700 412.265 ;
RECT 1493.300 414.615 1493.305 415.155 ;
RECT 1493.300 413.525 1493.995 414.615 ;
RECT 1493.300 413.355 1494.080 413.525 ;
RECT 1493.300 412.265 1493.995 413.355 ;
RECT 1493.300 411.725 1493.305 412.265 ;
RECT 6.295 409.175 6.700 409.715 ;
RECT 5.605 408.085 6.700 409.175 ;
RECT 5.520 407.915 6.700 408.085 ;
RECT 5.605 406.825 6.700 407.915 ;
RECT 6.295 406.285 6.700 406.825 ;
RECT 1493.300 409.175 1493.305 409.715 ;
RECT 1493.300 408.085 1493.995 409.175 ;
RECT 1493.300 407.915 1494.080 408.085 ;
RECT 1493.300 406.825 1493.995 407.915 ;
RECT 1493.300 406.285 1493.305 406.825 ;
RECT 6.295 403.735 6.700 404.275 ;
RECT 5.605 402.645 6.700 403.735 ;
RECT 5.520 402.475 6.700 402.645 ;
RECT 5.605 401.385 6.700 402.475 ;
RECT 6.295 400.845 6.700 401.385 ;
RECT 1493.300 403.735 1493.305 404.275 ;
RECT 1493.300 402.645 1493.995 403.735 ;
RECT 1493.300 402.475 1494.080 402.645 ;
RECT 1493.300 401.385 1493.995 402.475 ;
RECT 1493.300 400.845 1493.305 401.385 ;
RECT 6.295 398.295 6.700 398.835 ;
RECT 5.605 397.205 6.700 398.295 ;
RECT 5.520 397.035 6.700 397.205 ;
RECT 5.605 395.945 6.700 397.035 ;
RECT 6.295 395.405 6.700 395.945 ;
RECT 1493.300 398.295 1493.305 398.835 ;
RECT 1493.300 397.205 1493.995 398.295 ;
RECT 1493.300 397.035 1494.080 397.205 ;
RECT 1493.300 395.945 1493.995 397.035 ;
RECT 1493.300 395.405 1493.305 395.945 ;
RECT 6.295 392.855 6.700 393.395 ;
RECT 5.605 391.765 6.700 392.855 ;
RECT 5.520 391.595 6.700 391.765 ;
RECT 5.605 390.505 6.700 391.595 ;
RECT 6.295 389.965 6.700 390.505 ;
RECT 1493.300 392.855 1493.305 393.395 ;
RECT 1493.300 391.765 1493.995 392.855 ;
RECT 1493.300 391.595 1494.080 391.765 ;
RECT 1493.300 390.505 1493.995 391.595 ;
RECT 1493.300 389.965 1493.305 390.505 ;
RECT 6.295 387.415 6.700 387.955 ;
RECT 5.605 386.325 6.700 387.415 ;
RECT 5.520 386.155 6.700 386.325 ;
RECT 5.605 385.065 6.700 386.155 ;
RECT 6.295 384.525 6.700 385.065 ;
RECT 1493.300 387.415 1493.305 387.955 ;
RECT 1493.300 386.325 1493.995 387.415 ;
RECT 1493.300 386.155 1494.080 386.325 ;
RECT 1493.300 385.065 1493.995 386.155 ;
RECT 1493.300 384.525 1493.305 385.065 ;
RECT 6.295 381.975 6.700 382.515 ;
RECT 5.605 380.885 6.700 381.975 ;
RECT 5.520 380.715 6.700 380.885 ;
RECT 5.605 379.625 6.700 380.715 ;
RECT 6.295 379.085 6.700 379.625 ;
RECT 1493.300 381.975 1493.305 382.515 ;
RECT 1493.300 380.885 1493.995 381.975 ;
RECT 1493.300 380.715 1494.080 380.885 ;
RECT 1493.300 379.625 1493.995 380.715 ;
RECT 1493.300 379.085 1493.305 379.625 ;
RECT 6.295 376.535 6.700 377.075 ;
RECT 5.605 375.445 6.700 376.535 ;
RECT 5.520 375.275 6.700 375.445 ;
RECT 5.605 374.185 6.700 375.275 ;
RECT 6.295 373.645 6.700 374.185 ;
RECT 1493.300 376.535 1493.305 377.075 ;
RECT 1493.300 375.445 1493.995 376.535 ;
RECT 1493.300 375.275 1494.080 375.445 ;
RECT 1493.300 374.185 1493.995 375.275 ;
RECT 1493.300 373.645 1493.305 374.185 ;
RECT 6.295 371.095 6.700 371.635 ;
RECT 5.605 370.005 6.700 371.095 ;
RECT 5.520 369.835 6.700 370.005 ;
RECT 5.605 368.745 6.700 369.835 ;
RECT 6.295 368.205 6.700 368.745 ;
RECT 1493.300 371.095 1493.305 371.635 ;
RECT 1493.300 370.005 1493.995 371.095 ;
RECT 1493.300 369.835 1494.080 370.005 ;
RECT 1493.300 368.745 1493.995 369.835 ;
RECT 1493.300 368.205 1493.305 368.745 ;
RECT 6.295 365.655 6.700 366.195 ;
RECT 5.605 364.565 6.700 365.655 ;
RECT 5.520 364.395 6.700 364.565 ;
RECT 5.605 363.305 6.700 364.395 ;
RECT 6.295 362.765 6.700 363.305 ;
RECT 1493.300 365.655 1493.305 366.195 ;
RECT 1493.300 364.565 1493.995 365.655 ;
RECT 1493.300 364.395 1494.080 364.565 ;
RECT 1493.300 363.305 1493.995 364.395 ;
RECT 1493.300 362.765 1493.305 363.305 ;
RECT 6.295 360.215 6.700 360.755 ;
RECT 5.605 359.125 6.700 360.215 ;
RECT 5.520 358.955 6.700 359.125 ;
RECT 5.605 357.865 6.700 358.955 ;
RECT 6.295 357.325 6.700 357.865 ;
RECT 1493.300 360.215 1493.305 360.755 ;
RECT 1493.300 359.125 1493.995 360.215 ;
RECT 1493.300 358.955 1494.080 359.125 ;
RECT 1493.300 357.865 1493.995 358.955 ;
RECT 1493.300 357.325 1493.305 357.865 ;
RECT 6.295 354.775 6.700 355.315 ;
RECT 5.605 353.685 6.700 354.775 ;
RECT 5.520 353.515 6.700 353.685 ;
RECT 5.605 352.425 6.700 353.515 ;
RECT 6.295 351.885 6.700 352.425 ;
RECT 1493.300 354.775 1493.305 355.315 ;
RECT 1493.300 353.685 1493.995 354.775 ;
RECT 1493.300 353.515 1494.080 353.685 ;
RECT 1493.300 352.425 1493.995 353.515 ;
RECT 1493.300 351.885 1493.305 352.425 ;
RECT 6.295 349.335 6.700 349.875 ;
RECT 5.605 348.245 6.700 349.335 ;
RECT 5.520 348.075 6.700 348.245 ;
RECT 5.605 346.985 6.700 348.075 ;
RECT 6.295 346.445 6.700 346.985 ;
RECT 1493.300 349.335 1493.305 349.875 ;
RECT 1493.300 348.245 1493.995 349.335 ;
RECT 1493.300 348.075 1494.080 348.245 ;
RECT 1493.300 346.985 1493.995 348.075 ;
RECT 1493.300 346.445 1493.305 346.985 ;
RECT 6.295 343.895 6.700 344.435 ;
RECT 5.605 342.805 6.700 343.895 ;
RECT 5.520 342.635 6.700 342.805 ;
RECT 5.605 341.545 6.700 342.635 ;
RECT 6.295 341.005 6.700 341.545 ;
RECT 1493.300 343.895 1493.305 344.435 ;
RECT 1493.300 342.805 1493.995 343.895 ;
RECT 1493.300 342.635 1494.080 342.805 ;
RECT 1493.300 341.545 1493.995 342.635 ;
RECT 1493.300 341.005 1493.305 341.545 ;
RECT 6.295 338.455 6.700 338.995 ;
RECT 5.605 337.365 6.700 338.455 ;
RECT 5.520 337.195 6.700 337.365 ;
RECT 5.605 336.105 6.700 337.195 ;
RECT 6.295 335.565 6.700 336.105 ;
RECT 1493.300 338.455 1493.305 338.995 ;
RECT 1493.300 337.365 1493.995 338.455 ;
RECT 1493.300 337.195 1494.080 337.365 ;
RECT 1493.300 336.105 1493.995 337.195 ;
RECT 1493.300 335.565 1493.305 336.105 ;
RECT 6.295 333.015 6.700 333.555 ;
RECT 5.605 331.925 6.700 333.015 ;
RECT 5.520 331.755 6.700 331.925 ;
RECT 5.605 330.665 6.700 331.755 ;
RECT 6.295 330.125 6.700 330.665 ;
RECT 1493.300 333.015 1493.305 333.555 ;
RECT 1493.300 331.925 1493.995 333.015 ;
RECT 1493.300 331.755 1494.080 331.925 ;
RECT 1493.300 330.665 1493.995 331.755 ;
RECT 1493.300 330.125 1493.305 330.665 ;
RECT 6.295 327.575 6.700 328.115 ;
RECT 5.605 326.485 6.700 327.575 ;
RECT 5.520 326.315 6.700 326.485 ;
RECT 5.605 325.225 6.700 326.315 ;
RECT 6.295 324.685 6.700 325.225 ;
RECT 1493.300 327.575 1493.305 328.115 ;
RECT 1493.300 326.485 1493.995 327.575 ;
RECT 1493.300 326.315 1494.080 326.485 ;
RECT 1493.300 325.225 1493.995 326.315 ;
RECT 1493.300 324.685 1493.305 325.225 ;
RECT 6.295 322.135 6.700 322.675 ;
RECT 5.605 321.045 6.700 322.135 ;
RECT 5.520 320.875 6.700 321.045 ;
RECT 5.605 319.785 6.700 320.875 ;
RECT 6.295 319.245 6.700 319.785 ;
RECT 1493.300 322.135 1493.305 322.675 ;
RECT 1493.300 321.045 1493.995 322.135 ;
RECT 1493.300 320.875 1494.080 321.045 ;
RECT 1493.300 319.785 1493.995 320.875 ;
RECT 1493.300 319.245 1493.305 319.785 ;
RECT 6.295 316.695 6.700 317.235 ;
RECT 5.605 315.605 6.700 316.695 ;
RECT 5.520 315.435 6.700 315.605 ;
RECT 5.605 314.345 6.700 315.435 ;
RECT 6.295 313.805 6.700 314.345 ;
RECT 1493.300 316.695 1493.305 317.235 ;
RECT 1493.300 315.605 1493.995 316.695 ;
RECT 1493.300 315.435 1494.080 315.605 ;
RECT 1493.300 314.345 1493.995 315.435 ;
RECT 1493.300 313.805 1493.305 314.345 ;
RECT 6.295 311.255 6.700 311.795 ;
RECT 5.605 310.165 6.700 311.255 ;
RECT 5.520 309.995 6.700 310.165 ;
RECT 5.605 308.905 6.700 309.995 ;
RECT 6.295 308.365 6.700 308.905 ;
RECT 1493.300 311.255 1493.305 311.795 ;
RECT 1493.300 310.165 1493.995 311.255 ;
RECT 1493.300 309.995 1494.080 310.165 ;
RECT 1493.300 308.905 1493.995 309.995 ;
RECT 1493.300 308.365 1493.305 308.905 ;
RECT 6.295 305.815 6.700 306.355 ;
RECT 5.605 304.725 6.700 305.815 ;
RECT 5.520 304.555 6.700 304.725 ;
RECT 5.605 303.465 6.700 304.555 ;
RECT 6.295 302.925 6.700 303.465 ;
RECT 1493.300 305.815 1493.305 306.355 ;
RECT 1493.300 304.725 1493.995 305.815 ;
RECT 1493.300 304.555 1494.080 304.725 ;
RECT 1493.300 303.465 1493.995 304.555 ;
RECT 1493.300 302.925 1493.305 303.465 ;
RECT 6.295 300.375 6.700 300.915 ;
RECT 5.605 299.285 6.700 300.375 ;
RECT 5.520 299.115 6.700 299.285 ;
RECT 5.605 298.025 6.700 299.115 ;
RECT 6.295 297.485 6.700 298.025 ;
RECT 1493.300 300.375 1493.305 300.915 ;
RECT 1493.300 299.285 1493.995 300.375 ;
RECT 1493.300 299.115 1494.080 299.285 ;
RECT 1493.300 298.025 1493.995 299.115 ;
RECT 1493.300 297.485 1493.305 298.025 ;
RECT 6.295 294.935 6.700 295.475 ;
RECT 5.605 293.845 6.700 294.935 ;
RECT 5.520 293.675 6.700 293.845 ;
RECT 5.605 292.585 6.700 293.675 ;
RECT 6.295 292.045 6.700 292.585 ;
RECT 1493.300 294.935 1493.305 295.475 ;
RECT 1493.300 293.845 1493.995 294.935 ;
RECT 1493.300 293.675 1494.080 293.845 ;
RECT 1493.300 292.585 1493.995 293.675 ;
RECT 1493.300 292.045 1493.305 292.585 ;
RECT 6.295 289.495 6.700 290.035 ;
RECT 5.605 288.405 6.700 289.495 ;
RECT 5.520 288.235 6.700 288.405 ;
RECT 5.605 287.145 6.700 288.235 ;
RECT 6.295 286.605 6.700 287.145 ;
RECT 1493.300 289.495 1493.305 290.035 ;
RECT 1493.300 288.405 1493.995 289.495 ;
RECT 1493.300 288.235 1494.080 288.405 ;
RECT 1493.300 287.145 1493.995 288.235 ;
RECT 1493.300 286.605 1493.305 287.145 ;
RECT 6.295 284.055 6.700 284.595 ;
RECT 5.605 282.965 6.700 284.055 ;
RECT 5.520 282.795 6.700 282.965 ;
RECT 5.605 281.705 6.700 282.795 ;
RECT 6.295 281.165 6.700 281.705 ;
RECT 1493.300 284.055 1493.305 284.595 ;
RECT 1493.300 282.965 1493.995 284.055 ;
RECT 1493.300 282.795 1494.080 282.965 ;
RECT 1493.300 281.705 1493.995 282.795 ;
RECT 1493.300 281.165 1493.305 281.705 ;
RECT 6.295 278.615 6.700 279.155 ;
RECT 5.605 277.525 6.700 278.615 ;
RECT 5.520 277.355 6.700 277.525 ;
RECT 5.605 276.265 6.700 277.355 ;
RECT 6.295 275.725 6.700 276.265 ;
RECT 1493.300 278.615 1493.305 279.155 ;
RECT 1493.300 277.525 1493.995 278.615 ;
RECT 1493.300 277.355 1494.080 277.525 ;
RECT 1493.300 276.265 1493.995 277.355 ;
RECT 1493.300 275.725 1493.305 276.265 ;
RECT 6.295 273.175 6.700 273.715 ;
RECT 5.605 272.085 6.700 273.175 ;
RECT 5.520 271.915 6.700 272.085 ;
RECT 5.605 270.825 6.700 271.915 ;
RECT 6.295 270.285 6.700 270.825 ;
RECT 1493.300 273.175 1493.305 273.715 ;
RECT 1493.300 272.085 1493.995 273.175 ;
RECT 1493.300 271.915 1494.080 272.085 ;
RECT 1493.300 270.825 1493.995 271.915 ;
RECT 1493.300 270.285 1493.305 270.825 ;
RECT 6.295 267.735 6.700 268.275 ;
RECT 5.605 266.645 6.700 267.735 ;
RECT 5.520 266.475 6.700 266.645 ;
RECT 5.605 265.385 6.700 266.475 ;
RECT 6.295 264.845 6.700 265.385 ;
RECT 1493.300 267.735 1493.305 268.275 ;
RECT 1493.300 266.645 1493.995 267.735 ;
RECT 1493.300 266.475 1494.080 266.645 ;
RECT 1493.300 265.385 1493.995 266.475 ;
RECT 1493.300 264.845 1493.305 265.385 ;
RECT 6.295 262.295 6.700 262.835 ;
RECT 5.605 261.205 6.700 262.295 ;
RECT 5.520 261.035 6.700 261.205 ;
RECT 5.605 259.945 6.700 261.035 ;
RECT 6.295 259.405 6.700 259.945 ;
RECT 1493.300 262.295 1493.305 262.835 ;
RECT 1493.300 261.205 1493.995 262.295 ;
RECT 1493.300 261.035 1494.080 261.205 ;
RECT 1493.300 259.945 1493.995 261.035 ;
RECT 1493.300 259.405 1493.305 259.945 ;
RECT 6.295 256.855 6.700 257.395 ;
RECT 5.605 255.765 6.700 256.855 ;
RECT 5.520 255.595 6.700 255.765 ;
RECT 5.605 254.505 6.700 255.595 ;
RECT 6.295 253.965 6.700 254.505 ;
RECT 1493.300 256.855 1493.305 257.395 ;
RECT 1493.300 255.765 1493.995 256.855 ;
RECT 1493.300 255.595 1494.080 255.765 ;
RECT 1493.300 254.505 1493.995 255.595 ;
RECT 1493.300 253.965 1493.305 254.505 ;
RECT 6.295 251.415 6.700 251.955 ;
RECT 5.605 250.325 6.700 251.415 ;
RECT 5.520 250.155 6.700 250.325 ;
RECT 5.605 249.065 6.700 250.155 ;
RECT 6.295 248.525 6.700 249.065 ;
RECT 1493.300 251.415 1493.305 251.955 ;
RECT 1493.300 250.325 1493.995 251.415 ;
RECT 1493.300 250.155 1494.080 250.325 ;
RECT 1493.300 249.065 1493.995 250.155 ;
RECT 1493.300 248.525 1493.305 249.065 ;
RECT 6.295 245.975 6.700 246.515 ;
RECT 5.605 244.885 6.700 245.975 ;
RECT 5.520 244.715 6.700 244.885 ;
RECT 5.605 243.625 6.700 244.715 ;
RECT 6.295 243.085 6.700 243.625 ;
RECT 1493.300 245.975 1493.305 246.515 ;
RECT 1493.300 244.885 1493.995 245.975 ;
RECT 1493.300 244.715 1494.080 244.885 ;
RECT 1493.300 243.625 1493.995 244.715 ;
RECT 1493.300 243.085 1493.305 243.625 ;
RECT 6.295 240.535 6.700 241.075 ;
RECT 5.605 239.445 6.700 240.535 ;
RECT 5.520 239.275 6.700 239.445 ;
RECT 5.605 238.185 6.700 239.275 ;
RECT 6.295 237.645 6.700 238.185 ;
RECT 1493.300 240.535 1493.305 241.075 ;
RECT 1493.300 239.445 1493.995 240.535 ;
RECT 1493.300 239.275 1494.080 239.445 ;
RECT 1493.300 238.185 1493.995 239.275 ;
RECT 1493.300 237.645 1493.305 238.185 ;
RECT 6.295 235.095 6.700 235.635 ;
RECT 5.605 234.005 6.700 235.095 ;
RECT 5.520 233.835 6.700 234.005 ;
RECT 5.605 232.745 6.700 233.835 ;
RECT 6.295 232.205 6.700 232.745 ;
RECT 1493.300 235.095 1493.305 235.635 ;
RECT 1493.300 234.005 1493.995 235.095 ;
RECT 1493.300 233.835 1494.080 234.005 ;
RECT 1493.300 232.745 1493.995 233.835 ;
RECT 1493.300 232.205 1493.305 232.745 ;
RECT 6.295 229.655 6.700 230.195 ;
RECT 5.605 228.565 6.700 229.655 ;
RECT 5.520 228.395 6.700 228.565 ;
RECT 5.605 227.305 6.700 228.395 ;
RECT 6.295 226.765 6.700 227.305 ;
RECT 1493.300 229.655 1493.305 230.195 ;
RECT 1493.300 228.565 1493.995 229.655 ;
RECT 1493.300 228.395 1494.080 228.565 ;
RECT 1493.300 227.305 1493.995 228.395 ;
RECT 1493.300 226.765 1493.305 227.305 ;
RECT 6.295 224.215 6.700 224.755 ;
RECT 5.605 223.125 6.700 224.215 ;
RECT 5.520 222.955 6.700 223.125 ;
RECT 5.605 221.865 6.700 222.955 ;
RECT 6.295 221.325 6.700 221.865 ;
RECT 1493.300 224.215 1493.305 224.755 ;
RECT 1493.300 223.125 1493.995 224.215 ;
RECT 1493.300 222.955 1494.080 223.125 ;
RECT 1493.300 221.865 1493.995 222.955 ;
RECT 1493.300 221.325 1493.305 221.865 ;
RECT 6.295 218.775 6.700 219.315 ;
RECT 5.605 217.685 6.700 218.775 ;
RECT 5.520 217.515 6.700 217.685 ;
RECT 5.605 216.425 6.700 217.515 ;
RECT 6.295 215.885 6.700 216.425 ;
RECT 1493.300 218.775 1493.305 219.315 ;
RECT 1493.300 217.685 1493.995 218.775 ;
RECT 1493.300 217.515 1494.080 217.685 ;
RECT 1493.300 216.425 1493.995 217.515 ;
RECT 1493.300 215.885 1493.305 216.425 ;
RECT 6.295 213.335 6.700 213.875 ;
RECT 5.605 212.245 6.700 213.335 ;
RECT 5.520 212.075 6.700 212.245 ;
RECT 5.605 210.985 6.700 212.075 ;
RECT 6.295 210.445 6.700 210.985 ;
RECT 1493.300 213.335 1493.305 213.875 ;
RECT 1493.300 212.245 1493.995 213.335 ;
RECT 1493.300 212.075 1494.080 212.245 ;
RECT 1493.300 210.985 1493.995 212.075 ;
RECT 1493.300 210.445 1493.305 210.985 ;
RECT 6.295 207.895 6.700 208.435 ;
RECT 5.605 206.805 6.700 207.895 ;
RECT 5.520 206.635 6.700 206.805 ;
RECT 5.605 205.545 6.700 206.635 ;
RECT 6.295 205.005 6.700 205.545 ;
RECT 1493.300 207.895 1493.305 208.435 ;
RECT 1493.300 206.805 1493.995 207.895 ;
RECT 1493.300 206.635 1494.080 206.805 ;
RECT 1493.300 205.545 1493.995 206.635 ;
RECT 1493.300 205.005 1493.305 205.545 ;
RECT 6.295 202.455 6.700 202.995 ;
RECT 5.605 201.365 6.700 202.455 ;
RECT 5.520 201.195 6.700 201.365 ;
RECT 5.605 200.105 6.700 201.195 ;
RECT 6.295 199.565 6.700 200.105 ;
RECT 1493.300 202.455 1493.305 202.995 ;
RECT 1493.300 201.365 1493.995 202.455 ;
RECT 1493.300 201.195 1494.080 201.365 ;
RECT 1493.300 200.105 1493.995 201.195 ;
RECT 1493.300 199.565 1493.305 200.105 ;
RECT 6.295 197.015 6.700 197.555 ;
RECT 5.605 195.925 6.700 197.015 ;
RECT 5.520 195.755 6.700 195.925 ;
RECT 5.605 194.665 6.700 195.755 ;
RECT 6.295 194.125 6.700 194.665 ;
RECT 1493.300 197.015 1493.305 197.555 ;
RECT 1493.300 195.925 1493.995 197.015 ;
RECT 1493.300 195.755 1494.080 195.925 ;
RECT 1493.300 194.665 1493.995 195.755 ;
RECT 1493.300 194.125 1493.305 194.665 ;
RECT 6.295 191.575 6.700 192.115 ;
RECT 5.605 190.485 6.700 191.575 ;
RECT 5.520 190.315 6.700 190.485 ;
RECT 5.605 189.225 6.700 190.315 ;
RECT 6.295 188.685 6.700 189.225 ;
RECT 1493.300 191.575 1493.305 192.115 ;
RECT 1493.300 190.485 1493.995 191.575 ;
RECT 1493.300 190.315 1494.080 190.485 ;
RECT 1493.300 189.225 1493.995 190.315 ;
RECT 1493.300 188.685 1493.305 189.225 ;
RECT 6.295 186.135 6.700 186.675 ;
RECT 5.605 185.045 6.700 186.135 ;
RECT 5.520 184.875 6.700 185.045 ;
RECT 5.605 183.785 6.700 184.875 ;
RECT 6.295 183.245 6.700 183.785 ;
RECT 1493.300 186.135 1493.305 186.675 ;
RECT 1493.300 185.045 1493.995 186.135 ;
RECT 1493.300 184.875 1494.080 185.045 ;
RECT 1493.300 183.785 1493.995 184.875 ;
RECT 1493.300 183.245 1493.305 183.785 ;
RECT 6.295 180.695 6.700 181.235 ;
RECT 5.605 179.605 6.700 180.695 ;
RECT 5.520 179.435 6.700 179.605 ;
RECT 5.605 178.345 6.700 179.435 ;
RECT 6.295 177.805 6.700 178.345 ;
RECT 1493.300 180.695 1493.305 181.235 ;
RECT 1493.300 179.605 1493.995 180.695 ;
RECT 1493.300 179.435 1494.080 179.605 ;
RECT 1493.300 178.345 1493.995 179.435 ;
RECT 1493.300 177.805 1493.305 178.345 ;
RECT 6.295 175.255 6.700 175.795 ;
RECT 5.605 174.165 6.700 175.255 ;
RECT 5.520 173.995 6.700 174.165 ;
RECT 5.605 172.905 6.700 173.995 ;
RECT 6.295 172.365 6.700 172.905 ;
RECT 1493.300 175.255 1493.305 175.795 ;
RECT 1493.300 174.165 1493.995 175.255 ;
RECT 1493.300 173.995 1494.080 174.165 ;
RECT 1493.300 172.905 1493.995 173.995 ;
RECT 1493.300 172.365 1493.305 172.905 ;
RECT 6.295 169.815 6.700 170.355 ;
RECT 5.605 168.725 6.700 169.815 ;
RECT 5.520 168.555 6.700 168.725 ;
RECT 5.605 167.465 6.700 168.555 ;
RECT 6.295 166.925 6.700 167.465 ;
RECT 1493.300 169.815 1493.305 170.355 ;
RECT 1493.300 168.725 1493.995 169.815 ;
RECT 1493.300 168.555 1494.080 168.725 ;
RECT 1493.300 167.465 1493.995 168.555 ;
RECT 1493.300 166.925 1493.305 167.465 ;
RECT 6.295 164.375 6.700 164.915 ;
RECT 5.605 163.285 6.700 164.375 ;
RECT 5.520 163.115 6.700 163.285 ;
RECT 5.605 162.025 6.700 163.115 ;
RECT 6.295 161.485 6.700 162.025 ;
RECT 1493.300 164.375 1493.305 164.915 ;
RECT 1493.300 163.285 1493.995 164.375 ;
RECT 1493.300 163.115 1494.080 163.285 ;
RECT 1493.300 162.025 1493.995 163.115 ;
RECT 1493.300 161.485 1493.305 162.025 ;
RECT 6.295 158.935 6.700 159.475 ;
RECT 5.605 157.845 6.700 158.935 ;
RECT 5.520 157.675 6.700 157.845 ;
RECT 5.605 156.585 6.700 157.675 ;
RECT 6.295 156.045 6.700 156.585 ;
RECT 1493.300 158.935 1493.305 159.475 ;
RECT 1493.300 157.845 1493.995 158.935 ;
RECT 1493.300 157.675 1494.080 157.845 ;
RECT 1493.300 156.585 1493.995 157.675 ;
RECT 1493.300 156.045 1493.305 156.585 ;
RECT 6.295 153.495 6.700 154.035 ;
RECT 5.605 152.405 6.700 153.495 ;
RECT 5.520 152.235 6.700 152.405 ;
RECT 5.605 151.145 6.700 152.235 ;
RECT 6.295 150.605 6.700 151.145 ;
RECT 1493.300 153.495 1493.305 154.035 ;
RECT 1493.300 152.405 1493.995 153.495 ;
RECT 1493.300 152.235 1494.080 152.405 ;
RECT 1493.300 151.145 1493.995 152.235 ;
RECT 1493.300 150.605 1493.305 151.145 ;
RECT 6.295 148.055 6.700 148.595 ;
RECT 5.605 146.965 6.700 148.055 ;
RECT 5.520 146.795 6.700 146.965 ;
RECT 5.605 145.705 6.700 146.795 ;
RECT 6.295 145.165 6.700 145.705 ;
RECT 1493.300 148.055 1493.305 148.595 ;
RECT 1493.300 146.965 1493.995 148.055 ;
RECT 1493.300 146.795 1494.080 146.965 ;
RECT 1493.300 145.705 1493.995 146.795 ;
RECT 1493.300 145.165 1493.305 145.705 ;
RECT 6.295 142.615 6.700 143.155 ;
RECT 5.605 141.525 6.700 142.615 ;
RECT 5.520 141.355 6.700 141.525 ;
RECT 5.605 140.265 6.700 141.355 ;
RECT 6.295 139.725 6.700 140.265 ;
RECT 1493.300 142.615 1493.305 143.155 ;
RECT 1493.300 141.525 1493.995 142.615 ;
RECT 1493.300 141.355 1494.080 141.525 ;
RECT 1493.300 140.265 1493.995 141.355 ;
RECT 1493.300 139.725 1493.305 140.265 ;
RECT 6.295 137.175 6.700 137.715 ;
RECT 5.605 136.085 6.700 137.175 ;
RECT 5.520 135.915 6.700 136.085 ;
RECT 5.605 134.825 6.700 135.915 ;
RECT 6.295 134.285 6.700 134.825 ;
RECT 1493.300 137.175 1493.305 137.715 ;
RECT 1493.300 136.085 1493.995 137.175 ;
RECT 1493.300 135.915 1494.080 136.085 ;
RECT 1493.300 134.825 1493.995 135.915 ;
RECT 1493.300 134.285 1493.305 134.825 ;
RECT 6.295 131.735 6.700 132.275 ;
RECT 5.605 130.645 6.700 131.735 ;
RECT 5.520 130.475 6.700 130.645 ;
RECT 5.605 129.385 6.700 130.475 ;
RECT 6.295 128.845 6.700 129.385 ;
RECT 1493.300 131.735 1493.305 132.275 ;
RECT 1493.300 130.645 1493.995 131.735 ;
RECT 1493.300 130.475 1494.080 130.645 ;
RECT 1493.300 129.385 1493.995 130.475 ;
RECT 1493.300 128.845 1493.305 129.385 ;
RECT 6.295 126.295 6.700 126.835 ;
RECT 5.605 125.205 6.700 126.295 ;
RECT 5.520 125.035 6.700 125.205 ;
RECT 5.605 123.945 6.700 125.035 ;
RECT 6.295 123.405 6.700 123.945 ;
RECT 1493.300 126.295 1493.305 126.835 ;
RECT 1493.300 125.205 1493.995 126.295 ;
RECT 1493.300 125.035 1494.080 125.205 ;
RECT 1493.300 123.945 1493.995 125.035 ;
RECT 1493.300 123.405 1493.305 123.945 ;
RECT 6.295 120.855 6.700 121.395 ;
RECT 5.605 119.765 6.700 120.855 ;
RECT 5.520 119.595 6.700 119.765 ;
RECT 5.605 118.505 6.700 119.595 ;
RECT 6.295 117.965 6.700 118.505 ;
RECT 1493.300 120.855 1493.305 121.395 ;
RECT 1493.300 119.765 1493.995 120.855 ;
RECT 1493.300 119.595 1494.080 119.765 ;
RECT 1493.300 118.505 1493.995 119.595 ;
RECT 1493.300 117.965 1493.305 118.505 ;
RECT 6.295 115.415 6.700 115.955 ;
RECT 5.605 114.325 6.700 115.415 ;
RECT 5.520 114.155 6.700 114.325 ;
RECT 5.605 113.065 6.700 114.155 ;
RECT 6.295 112.525 6.700 113.065 ;
RECT 1493.300 115.415 1493.305 115.955 ;
RECT 1493.300 114.325 1493.995 115.415 ;
RECT 1493.300 114.155 1494.080 114.325 ;
RECT 1493.300 113.065 1493.995 114.155 ;
RECT 1493.300 112.525 1493.305 113.065 ;
RECT 6.295 109.975 6.700 110.515 ;
RECT 5.605 108.885 6.700 109.975 ;
RECT 5.520 108.715 6.700 108.885 ;
RECT 5.605 107.625 6.700 108.715 ;
RECT 6.295 107.085 6.700 107.625 ;
RECT 1493.300 109.975 1493.305 110.515 ;
RECT 1493.300 108.885 1493.995 109.975 ;
RECT 1493.300 108.715 1494.080 108.885 ;
RECT 1493.300 107.625 1493.995 108.715 ;
RECT 1493.300 107.085 1493.305 107.625 ;
RECT 6.295 104.535 6.700 105.075 ;
RECT 5.605 103.445 6.700 104.535 ;
RECT 5.520 103.275 6.700 103.445 ;
RECT 5.605 102.185 6.700 103.275 ;
RECT 6.295 101.645 6.700 102.185 ;
RECT 1493.300 104.535 1493.305 105.075 ;
RECT 1493.300 103.445 1493.995 104.535 ;
RECT 1493.300 103.275 1494.080 103.445 ;
RECT 1493.300 102.185 1493.995 103.275 ;
RECT 1493.300 101.645 1493.305 102.185 ;
RECT 6.295 99.095 6.700 99.635 ;
RECT 5.605 98.005 6.700 99.095 ;
RECT 5.520 97.835 6.700 98.005 ;
RECT 5.605 96.745 6.700 97.835 ;
RECT 6.295 96.205 6.700 96.745 ;
RECT 1493.300 99.095 1493.305 99.635 ;
RECT 1493.300 98.005 1493.995 99.095 ;
RECT 1493.300 97.835 1494.080 98.005 ;
RECT 1493.300 96.745 1493.995 97.835 ;
RECT 1493.300 96.205 1493.305 96.745 ;
RECT 6.295 93.655 6.700 94.195 ;
RECT 5.605 92.565 6.700 93.655 ;
RECT 5.520 92.395 6.700 92.565 ;
RECT 5.605 91.305 6.700 92.395 ;
RECT 6.295 90.765 6.700 91.305 ;
RECT 1493.300 93.655 1493.305 94.195 ;
RECT 1493.300 92.565 1493.995 93.655 ;
RECT 1493.300 92.395 1494.080 92.565 ;
RECT 1493.300 91.305 1493.995 92.395 ;
RECT 1493.300 90.765 1493.305 91.305 ;
RECT 6.295 88.215 6.700 88.755 ;
RECT 5.605 87.125 6.700 88.215 ;
RECT 5.520 86.955 6.700 87.125 ;
RECT 5.605 85.865 6.700 86.955 ;
RECT 6.295 85.325 6.700 85.865 ;
RECT 1493.300 88.215 1493.305 88.755 ;
RECT 1493.300 87.125 1493.995 88.215 ;
RECT 1493.300 86.955 1494.080 87.125 ;
RECT 1493.300 85.865 1493.995 86.955 ;
RECT 1493.300 85.325 1493.305 85.865 ;
RECT 6.295 82.775 6.700 83.315 ;
RECT 5.605 81.685 6.700 82.775 ;
RECT 5.520 81.515 6.700 81.685 ;
RECT 5.605 80.425 6.700 81.515 ;
RECT 6.295 79.885 6.700 80.425 ;
RECT 1493.300 82.775 1493.305 83.315 ;
RECT 1493.300 81.685 1493.995 82.775 ;
RECT 1493.300 81.515 1494.080 81.685 ;
RECT 1493.300 80.425 1493.995 81.515 ;
RECT 1493.300 79.885 1493.305 80.425 ;
RECT 6.295 77.335 6.700 77.875 ;
RECT 5.605 76.245 6.700 77.335 ;
RECT 5.520 76.075 6.700 76.245 ;
RECT 5.605 74.985 6.700 76.075 ;
RECT 6.295 74.445 6.700 74.985 ;
RECT 1493.300 77.335 1493.305 77.875 ;
RECT 1493.300 76.245 1493.995 77.335 ;
RECT 1493.300 76.075 1494.080 76.245 ;
RECT 1493.300 74.985 1493.995 76.075 ;
RECT 1493.300 74.445 1493.305 74.985 ;
RECT 6.295 71.895 6.700 72.435 ;
RECT 5.605 70.805 6.700 71.895 ;
RECT 5.520 70.635 6.700 70.805 ;
RECT 5.605 69.545 6.700 70.635 ;
RECT 6.295 69.005 6.700 69.545 ;
RECT 1493.300 71.895 1493.305 72.435 ;
RECT 1493.300 70.805 1493.995 71.895 ;
RECT 1493.300 70.635 1494.080 70.805 ;
RECT 1493.300 69.545 1493.995 70.635 ;
RECT 1493.300 69.005 1493.305 69.545 ;
RECT 6.295 66.455 6.700 66.995 ;
RECT 5.605 65.365 6.700 66.455 ;
RECT 5.520 65.195 6.700 65.365 ;
RECT 5.605 64.105 6.700 65.195 ;
RECT 6.295 63.565 6.700 64.105 ;
RECT 1493.300 66.455 1493.305 66.995 ;
RECT 1493.300 65.365 1493.995 66.455 ;
RECT 1493.300 65.195 1494.080 65.365 ;
RECT 1493.300 64.105 1493.995 65.195 ;
RECT 1493.300 63.565 1493.305 64.105 ;
RECT 6.295 61.015 6.700 61.555 ;
RECT 5.605 59.925 6.700 61.015 ;
RECT 5.520 59.755 6.700 59.925 ;
RECT 5.605 58.665 6.700 59.755 ;
RECT 6.295 58.125 6.700 58.665 ;
RECT 1493.300 61.015 1493.305 61.555 ;
RECT 1493.300 59.925 1493.995 61.015 ;
RECT 1493.300 59.755 1494.080 59.925 ;
RECT 1493.300 58.665 1493.995 59.755 ;
RECT 1493.300 58.125 1493.305 58.665 ;
RECT 6.295 55.575 6.700 56.115 ;
RECT 5.605 54.485 6.700 55.575 ;
RECT 5.520 54.315 6.700 54.485 ;
RECT 5.605 53.225 6.700 54.315 ;
RECT 6.295 52.685 6.700 53.225 ;
RECT 1493.300 55.575 1493.305 56.115 ;
RECT 1493.300 54.485 1493.995 55.575 ;
RECT 1493.300 54.315 1494.080 54.485 ;
RECT 1493.300 53.225 1493.995 54.315 ;
RECT 1493.300 52.685 1493.305 53.225 ;
RECT 6.295 50.135 6.700 50.675 ;
RECT 5.605 49.045 6.700 50.135 ;
RECT 5.520 48.875 6.700 49.045 ;
RECT 5.605 47.785 6.700 48.875 ;
RECT 6.295 47.245 6.700 47.785 ;
RECT 1493.300 50.135 1493.305 50.675 ;
RECT 1493.300 49.045 1493.995 50.135 ;
RECT 1493.300 48.875 1494.080 49.045 ;
RECT 1493.300 47.785 1493.995 48.875 ;
RECT 1493.300 47.245 1493.305 47.785 ;
RECT 6.295 44.695 6.700 45.235 ;
RECT 5.605 43.605 6.700 44.695 ;
RECT 5.520 43.435 6.700 43.605 ;
RECT 5.605 42.345 6.700 43.435 ;
RECT 6.295 41.805 6.700 42.345 ;
RECT 1493.300 44.695 1493.305 45.235 ;
RECT 1493.300 43.605 1493.995 44.695 ;
RECT 1493.300 43.435 1494.080 43.605 ;
RECT 1493.300 42.345 1493.995 43.435 ;
RECT 1493.300 41.805 1493.305 42.345 ;
RECT 6.295 39.255 6.700 39.795 ;
RECT 5.605 38.165 6.700 39.255 ;
RECT 5.520 37.995 6.700 38.165 ;
RECT 5.605 36.905 6.700 37.995 ;
RECT 6.295 36.365 6.700 36.905 ;
RECT 1493.300 39.255 1493.305 39.795 ;
RECT 1493.300 38.165 1493.995 39.255 ;
RECT 1493.300 37.995 1494.080 38.165 ;
RECT 1493.300 36.905 1493.995 37.995 ;
RECT 1493.300 36.365 1493.305 36.905 ;
RECT 6.295 33.815 6.700 34.355 ;
RECT 5.605 32.725 6.700 33.815 ;
RECT 5.520 32.555 6.700 32.725 ;
RECT 5.605 31.465 6.700 32.555 ;
RECT 6.295 30.925 6.700 31.465 ;
RECT 1493.300 33.815 1493.305 34.355 ;
RECT 1493.300 32.725 1493.995 33.815 ;
RECT 1493.300 32.555 1494.080 32.725 ;
RECT 1493.300 31.465 1493.995 32.555 ;
RECT 1493.300 30.925 1493.305 31.465 ;
RECT 6.295 28.375 6.700 28.915 ;
RECT 5.605 27.285 6.700 28.375 ;
RECT 5.520 27.115 6.700 27.285 ;
RECT 5.605 26.025 6.700 27.115 ;
RECT 6.295 25.485 6.700 26.025 ;
RECT 1493.300 28.375 1493.305 28.915 ;
RECT 1493.300 27.285 1493.995 28.375 ;
RECT 1493.300 27.115 1494.080 27.285 ;
RECT 1493.300 26.025 1493.995 27.115 ;
RECT 1493.300 25.485 1493.305 26.025 ;
RECT 6.295 22.935 6.700 23.475 ;
RECT 5.605 21.845 6.700 22.935 ;
RECT 5.520 21.675 6.700 21.845 ;
RECT 5.605 20.585 6.700 21.675 ;
RECT 6.295 20.045 6.700 20.585 ;
RECT 1493.300 22.935 1493.305 23.475 ;
RECT 1493.300 21.845 1493.995 22.935 ;
RECT 1493.300 21.675 1494.080 21.845 ;
RECT 1493.300 20.585 1493.995 21.675 ;
RECT 1493.300 20.045 1493.305 20.585 ;
RECT 6.295 17.495 6.700 18.035 ;
RECT 5.605 16.405 6.700 17.495 ;
RECT 5.520 16.235 6.700 16.405 ;
RECT 5.605 15.145 6.700 16.235 ;
RECT 6.295 14.605 6.700 15.145 ;
RECT 1493.300 17.495 1493.305 18.035 ;
RECT 1493.300 16.405 1493.995 17.495 ;
RECT 1493.300 16.235 1494.080 16.405 ;
RECT 1493.300 15.145 1493.995 16.235 ;
RECT 1493.300 14.605 1493.305 15.145 ;
RECT 6.295 12.055 6.700 12.595 ;
RECT 5.605 10.965 6.700 12.055 ;
RECT 5.520 10.795 6.700 10.965 ;
RECT 1493.300 12.055 1493.305 12.595 ;
RECT 1493.300 10.965 1493.995 12.055 ;
RECT 1493.300 10.795 1494.080 10.965 ;
LAYER mcon ;
RECT 5.665 1485.035 5.835 1485.205 ;
RECT 6.125 1485.035 6.295 1485.205 ;
RECT 6.585 1485.035 6.700 1485.205 ;
RECT 1493.305 1485.035 1493.475 1485.205 ;
RECT 1493.765 1485.035 1493.935 1485.205 ;
RECT 5.665 1479.595 5.835 1479.765 ;
RECT 6.125 1479.595 6.295 1479.765 ;
RECT 6.585 1479.595 6.700 1479.765 ;
RECT 1493.305 1479.595 1493.475 1479.765 ;
RECT 1493.765 1479.595 1493.935 1479.765 ;
RECT 5.665 1474.155 5.835 1474.325 ;
RECT 6.125 1474.155 6.295 1474.325 ;
RECT 6.585 1474.155 6.700 1474.325 ;
RECT 1493.305 1474.155 1493.475 1474.325 ;
RECT 1493.765 1474.155 1493.935 1474.325 ;
RECT 5.665 1468.715 5.835 1468.885 ;
RECT 6.125 1468.715 6.295 1468.885 ;
RECT 6.585 1468.715 6.700 1468.885 ;
RECT 1493.305 1468.715 1493.475 1468.885 ;
RECT 1493.765 1468.715 1493.935 1468.885 ;
RECT 5.665 1463.275 5.835 1463.445 ;
RECT 6.125 1463.275 6.295 1463.445 ;
RECT 6.585 1463.275 6.700 1463.445 ;
RECT 1493.305 1463.275 1493.475 1463.445 ;
RECT 1493.765 1463.275 1493.935 1463.445 ;
RECT 5.665 1457.835 5.835 1458.005 ;
RECT 6.125 1457.835 6.295 1458.005 ;
RECT 6.585 1457.835 6.700 1458.005 ;
RECT 1493.305 1457.835 1493.475 1458.005 ;
RECT 1493.765 1457.835 1493.935 1458.005 ;
RECT 5.665 1452.395 5.835 1452.565 ;
RECT 6.125 1452.395 6.295 1452.565 ;
RECT 6.585 1452.395 6.700 1452.565 ;
RECT 1493.305 1452.395 1493.475 1452.565 ;
RECT 1493.765 1452.395 1493.935 1452.565 ;
RECT 5.665 1446.955 5.835 1447.125 ;
RECT 6.125 1446.955 6.295 1447.125 ;
RECT 6.585 1446.955 6.700 1447.125 ;
RECT 1493.305 1446.955 1493.475 1447.125 ;
RECT 1493.765 1446.955 1493.935 1447.125 ;
RECT 5.665 1441.515 5.835 1441.685 ;
RECT 6.125 1441.515 6.295 1441.685 ;
RECT 6.585 1441.515 6.700 1441.685 ;
RECT 1493.305 1441.515 1493.475 1441.685 ;
RECT 1493.765 1441.515 1493.935 1441.685 ;
RECT 5.665 1436.075 5.835 1436.245 ;
RECT 6.125 1436.075 6.295 1436.245 ;
RECT 6.585 1436.075 6.700 1436.245 ;
RECT 1493.305 1436.075 1493.475 1436.245 ;
RECT 1493.765 1436.075 1493.935 1436.245 ;
RECT 5.665 1430.635 5.835 1430.805 ;
RECT 6.125 1430.635 6.295 1430.805 ;
RECT 6.585 1430.635 6.700 1430.805 ;
RECT 1493.305 1430.635 1493.475 1430.805 ;
RECT 1493.765 1430.635 1493.935 1430.805 ;
RECT 5.665 1425.195 5.835 1425.365 ;
RECT 6.125 1425.195 6.295 1425.365 ;
RECT 6.585 1425.195 6.700 1425.365 ;
RECT 1493.305 1425.195 1493.475 1425.365 ;
RECT 1493.765 1425.195 1493.935 1425.365 ;
RECT 5.665 1419.755 5.835 1419.925 ;
RECT 6.125 1419.755 6.295 1419.925 ;
RECT 6.585 1419.755 6.700 1419.925 ;
RECT 1493.305 1419.755 1493.475 1419.925 ;
RECT 1493.765 1419.755 1493.935 1419.925 ;
RECT 5.665 1414.315 5.835 1414.485 ;
RECT 6.125 1414.315 6.295 1414.485 ;
RECT 6.585 1414.315 6.700 1414.485 ;
RECT 1493.305 1414.315 1493.475 1414.485 ;
RECT 1493.765 1414.315 1493.935 1414.485 ;
RECT 5.665 1408.875 5.835 1409.045 ;
RECT 6.125 1408.875 6.295 1409.045 ;
RECT 6.585 1408.875 6.700 1409.045 ;
RECT 1493.305 1408.875 1493.475 1409.045 ;
RECT 1493.765 1408.875 1493.935 1409.045 ;
RECT 5.665 1403.435 5.835 1403.605 ;
RECT 6.125 1403.435 6.295 1403.605 ;
RECT 6.585 1403.435 6.700 1403.605 ;
RECT 1493.305 1403.435 1493.475 1403.605 ;
RECT 1493.765 1403.435 1493.935 1403.605 ;
RECT 5.665 1397.995 5.835 1398.165 ;
RECT 6.125 1397.995 6.295 1398.165 ;
RECT 6.585 1397.995 6.700 1398.165 ;
RECT 1493.305 1397.995 1493.475 1398.165 ;
RECT 1493.765 1397.995 1493.935 1398.165 ;
RECT 5.665 1392.555 5.835 1392.725 ;
RECT 6.125 1392.555 6.295 1392.725 ;
RECT 6.585 1392.555 6.700 1392.725 ;
RECT 1493.305 1392.555 1493.475 1392.725 ;
RECT 1493.765 1392.555 1493.935 1392.725 ;
RECT 5.665 1387.115 5.835 1387.285 ;
RECT 6.125 1387.115 6.295 1387.285 ;
RECT 6.585 1387.115 6.700 1387.285 ;
RECT 1493.305 1387.115 1493.475 1387.285 ;
RECT 1493.765 1387.115 1493.935 1387.285 ;
RECT 5.665 1381.675 5.835 1381.845 ;
RECT 6.125 1381.675 6.295 1381.845 ;
RECT 6.585 1381.675 6.700 1381.845 ;
RECT 1493.305 1381.675 1493.475 1381.845 ;
RECT 1493.765 1381.675 1493.935 1381.845 ;
RECT 5.665 1376.235 5.835 1376.405 ;
RECT 6.125 1376.235 6.295 1376.405 ;
RECT 6.585 1376.235 6.700 1376.405 ;
RECT 1493.305 1376.235 1493.475 1376.405 ;
RECT 1493.765 1376.235 1493.935 1376.405 ;
RECT 5.665 1370.795 5.835 1370.965 ;
RECT 6.125 1370.795 6.295 1370.965 ;
RECT 6.585 1370.795 6.700 1370.965 ;
RECT 1493.305 1370.795 1493.475 1370.965 ;
RECT 1493.765 1370.795 1493.935 1370.965 ;
RECT 5.665 1365.355 5.835 1365.525 ;
RECT 6.125 1365.355 6.295 1365.525 ;
RECT 6.585 1365.355 6.700 1365.525 ;
RECT 1493.305 1365.355 1493.475 1365.525 ;
RECT 1493.765 1365.355 1493.935 1365.525 ;
RECT 5.665 1359.915 5.835 1360.085 ;
RECT 6.125 1359.915 6.295 1360.085 ;
RECT 6.585 1359.915 6.700 1360.085 ;
RECT 1493.305 1359.915 1493.475 1360.085 ;
RECT 1493.765 1359.915 1493.935 1360.085 ;
RECT 5.665 1354.475 5.835 1354.645 ;
RECT 6.125 1354.475 6.295 1354.645 ;
RECT 6.585 1354.475 6.700 1354.645 ;
RECT 1493.305 1354.475 1493.475 1354.645 ;
RECT 1493.765 1354.475 1493.935 1354.645 ;
RECT 5.665 1349.035 5.835 1349.205 ;
RECT 6.125 1349.035 6.295 1349.205 ;
RECT 6.585 1349.035 6.700 1349.205 ;
RECT 1493.305 1349.035 1493.475 1349.205 ;
RECT 1493.765 1349.035 1493.935 1349.205 ;
RECT 5.665 1343.595 5.835 1343.765 ;
RECT 6.125 1343.595 6.295 1343.765 ;
RECT 6.585 1343.595 6.700 1343.765 ;
RECT 1493.305 1343.595 1493.475 1343.765 ;
RECT 1493.765 1343.595 1493.935 1343.765 ;
RECT 5.665 1338.155 5.835 1338.325 ;
RECT 6.125 1338.155 6.295 1338.325 ;
RECT 6.585 1338.155 6.700 1338.325 ;
RECT 1493.305 1338.155 1493.475 1338.325 ;
RECT 1493.765 1338.155 1493.935 1338.325 ;
RECT 5.665 1332.715 5.835 1332.885 ;
RECT 6.125 1332.715 6.295 1332.885 ;
RECT 6.585 1332.715 6.700 1332.885 ;
RECT 1493.305 1332.715 1493.475 1332.885 ;
RECT 1493.765 1332.715 1493.935 1332.885 ;
RECT 5.665 1327.275 5.835 1327.445 ;
RECT 6.125 1327.275 6.295 1327.445 ;
RECT 6.585 1327.275 6.700 1327.445 ;
RECT 1493.305 1327.275 1493.475 1327.445 ;
RECT 1493.765 1327.275 1493.935 1327.445 ;
RECT 5.665 1321.835 5.835 1322.005 ;
RECT 6.125 1321.835 6.295 1322.005 ;
RECT 6.585 1321.835 6.700 1322.005 ;
RECT 1493.305 1321.835 1493.475 1322.005 ;
RECT 1493.765 1321.835 1493.935 1322.005 ;
RECT 5.665 1316.395 5.835 1316.565 ;
RECT 6.125 1316.395 6.295 1316.565 ;
RECT 6.585 1316.395 6.700 1316.565 ;
RECT 1493.305 1316.395 1493.475 1316.565 ;
RECT 1493.765 1316.395 1493.935 1316.565 ;
RECT 5.665 1310.955 5.835 1311.125 ;
RECT 6.125 1310.955 6.295 1311.125 ;
RECT 6.585 1310.955 6.700 1311.125 ;
RECT 1493.305 1310.955 1493.475 1311.125 ;
RECT 1493.765 1310.955 1493.935 1311.125 ;
RECT 5.665 1305.515 5.835 1305.685 ;
RECT 6.125 1305.515 6.295 1305.685 ;
RECT 6.585 1305.515 6.700 1305.685 ;
RECT 1493.305 1305.515 1493.475 1305.685 ;
RECT 1493.765 1305.515 1493.935 1305.685 ;
RECT 5.665 1300.075 5.835 1300.245 ;
RECT 6.125 1300.075 6.295 1300.245 ;
RECT 6.585 1300.075 6.700 1300.245 ;
RECT 1493.305 1300.075 1493.475 1300.245 ;
RECT 1493.765 1300.075 1493.935 1300.245 ;
RECT 5.665 1294.635 5.835 1294.805 ;
RECT 6.125 1294.635 6.295 1294.805 ;
RECT 6.585 1294.635 6.700 1294.805 ;
RECT 1493.305 1294.635 1493.475 1294.805 ;
RECT 1493.765 1294.635 1493.935 1294.805 ;
RECT 5.665 1289.195 5.835 1289.365 ;
RECT 6.125 1289.195 6.295 1289.365 ;
RECT 6.585 1289.195 6.700 1289.365 ;
RECT 1493.305 1289.195 1493.475 1289.365 ;
RECT 1493.765 1289.195 1493.935 1289.365 ;
RECT 5.665 1283.755 5.835 1283.925 ;
RECT 6.125 1283.755 6.295 1283.925 ;
RECT 6.585 1283.755 6.700 1283.925 ;
RECT 1493.305 1283.755 1493.475 1283.925 ;
RECT 1493.765 1283.755 1493.935 1283.925 ;
RECT 5.665 1278.315 5.835 1278.485 ;
RECT 6.125 1278.315 6.295 1278.485 ;
RECT 6.585 1278.315 6.700 1278.485 ;
RECT 1493.305 1278.315 1493.475 1278.485 ;
RECT 1493.765 1278.315 1493.935 1278.485 ;
RECT 5.665 1272.875 5.835 1273.045 ;
RECT 6.125 1272.875 6.295 1273.045 ;
RECT 6.585 1272.875 6.700 1273.045 ;
RECT 1493.305 1272.875 1493.475 1273.045 ;
RECT 1493.765 1272.875 1493.935 1273.045 ;
RECT 5.665 1267.435 5.835 1267.605 ;
RECT 6.125 1267.435 6.295 1267.605 ;
RECT 6.585 1267.435 6.700 1267.605 ;
RECT 1493.305 1267.435 1493.475 1267.605 ;
RECT 1493.765 1267.435 1493.935 1267.605 ;
RECT 5.665 1261.995 5.835 1262.165 ;
RECT 6.125 1261.995 6.295 1262.165 ;
RECT 6.585 1261.995 6.700 1262.165 ;
RECT 1493.305 1261.995 1493.475 1262.165 ;
RECT 1493.765 1261.995 1493.935 1262.165 ;
RECT 5.665 1256.555 5.835 1256.725 ;
RECT 6.125 1256.555 6.295 1256.725 ;
RECT 6.585 1256.555 6.700 1256.725 ;
RECT 1493.305 1256.555 1493.475 1256.725 ;
RECT 1493.765 1256.555 1493.935 1256.725 ;
RECT 5.665 1251.115 5.835 1251.285 ;
RECT 6.125 1251.115 6.295 1251.285 ;
RECT 6.585 1251.115 6.700 1251.285 ;
RECT 1493.305 1251.115 1493.475 1251.285 ;
RECT 1493.765 1251.115 1493.935 1251.285 ;
RECT 5.665 1245.675 5.835 1245.845 ;
RECT 6.125 1245.675 6.295 1245.845 ;
RECT 6.585 1245.675 6.700 1245.845 ;
RECT 1493.305 1245.675 1493.475 1245.845 ;
RECT 1493.765 1245.675 1493.935 1245.845 ;
RECT 5.665 1240.235 5.835 1240.405 ;
RECT 6.125 1240.235 6.295 1240.405 ;
RECT 6.585 1240.235 6.700 1240.405 ;
RECT 1493.305 1240.235 1493.475 1240.405 ;
RECT 1493.765 1240.235 1493.935 1240.405 ;
RECT 5.665 1234.795 5.835 1234.965 ;
RECT 6.125 1234.795 6.295 1234.965 ;
RECT 6.585 1234.795 6.700 1234.965 ;
RECT 1493.305 1234.795 1493.475 1234.965 ;
RECT 1493.765 1234.795 1493.935 1234.965 ;
RECT 5.665 1229.355 5.835 1229.525 ;
RECT 6.125 1229.355 6.295 1229.525 ;
RECT 6.585 1229.355 6.700 1229.525 ;
RECT 1493.305 1229.355 1493.475 1229.525 ;
RECT 1493.765 1229.355 1493.935 1229.525 ;
RECT 5.665 1223.915 5.835 1224.085 ;
RECT 6.125 1223.915 6.295 1224.085 ;
RECT 6.585 1223.915 6.700 1224.085 ;
RECT 1493.305 1223.915 1493.475 1224.085 ;
RECT 1493.765 1223.915 1493.935 1224.085 ;
RECT 5.665 1218.475 5.835 1218.645 ;
RECT 6.125 1218.475 6.295 1218.645 ;
RECT 6.585 1218.475 6.700 1218.645 ;
RECT 1493.305 1218.475 1493.475 1218.645 ;
RECT 1493.765 1218.475 1493.935 1218.645 ;
RECT 5.665 1213.035 5.835 1213.205 ;
RECT 6.125 1213.035 6.295 1213.205 ;
RECT 6.585 1213.035 6.700 1213.205 ;
RECT 1493.305 1213.035 1493.475 1213.205 ;
RECT 1493.765 1213.035 1493.935 1213.205 ;
RECT 5.665 1207.595 5.835 1207.765 ;
RECT 6.125 1207.595 6.295 1207.765 ;
RECT 6.585 1207.595 6.700 1207.765 ;
RECT 1493.305 1207.595 1493.475 1207.765 ;
RECT 1493.765 1207.595 1493.935 1207.765 ;
RECT 5.665 1202.155 5.835 1202.325 ;
RECT 6.125 1202.155 6.295 1202.325 ;
RECT 6.585 1202.155 6.700 1202.325 ;
RECT 1493.305 1202.155 1493.475 1202.325 ;
RECT 1493.765 1202.155 1493.935 1202.325 ;
RECT 5.665 1196.715 5.835 1196.885 ;
RECT 6.125 1196.715 6.295 1196.885 ;
RECT 6.585 1196.715 6.700 1196.885 ;
RECT 1493.305 1196.715 1493.475 1196.885 ;
RECT 1493.765 1196.715 1493.935 1196.885 ;
RECT 5.665 1191.275 5.835 1191.445 ;
RECT 6.125 1191.275 6.295 1191.445 ;
RECT 6.585 1191.275 6.700 1191.445 ;
RECT 1493.305 1191.275 1493.475 1191.445 ;
RECT 1493.765 1191.275 1493.935 1191.445 ;
RECT 5.665 1185.835 5.835 1186.005 ;
RECT 6.125 1185.835 6.295 1186.005 ;
RECT 6.585 1185.835 6.700 1186.005 ;
RECT 1493.305 1185.835 1493.475 1186.005 ;
RECT 1493.765 1185.835 1493.935 1186.005 ;
RECT 5.665 1180.395 5.835 1180.565 ;
RECT 6.125 1180.395 6.295 1180.565 ;
RECT 6.585 1180.395 6.700 1180.565 ;
RECT 1493.305 1180.395 1493.475 1180.565 ;
RECT 1493.765 1180.395 1493.935 1180.565 ;
RECT 5.665 1174.955 5.835 1175.125 ;
RECT 6.125 1174.955 6.295 1175.125 ;
RECT 6.585 1174.955 6.700 1175.125 ;
RECT 1493.305 1174.955 1493.475 1175.125 ;
RECT 1493.765 1174.955 1493.935 1175.125 ;
RECT 5.665 1169.515 5.835 1169.685 ;
RECT 6.125 1169.515 6.295 1169.685 ;
RECT 6.585 1169.515 6.700 1169.685 ;
RECT 1493.305 1169.515 1493.475 1169.685 ;
RECT 1493.765 1169.515 1493.935 1169.685 ;
RECT 5.665 1164.075 5.835 1164.245 ;
RECT 6.125 1164.075 6.295 1164.245 ;
RECT 6.585 1164.075 6.700 1164.245 ;
RECT 1493.305 1164.075 1493.475 1164.245 ;
RECT 1493.765 1164.075 1493.935 1164.245 ;
RECT 5.665 1158.635 5.835 1158.805 ;
RECT 6.125 1158.635 6.295 1158.805 ;
RECT 6.585 1158.635 6.700 1158.805 ;
RECT 1493.305 1158.635 1493.475 1158.805 ;
RECT 1493.765 1158.635 1493.935 1158.805 ;
RECT 5.665 1153.195 5.835 1153.365 ;
RECT 6.125 1153.195 6.295 1153.365 ;
RECT 6.585 1153.195 6.700 1153.365 ;
RECT 1493.305 1153.195 1493.475 1153.365 ;
RECT 1493.765 1153.195 1493.935 1153.365 ;
RECT 5.665 1147.755 5.835 1147.925 ;
RECT 6.125 1147.755 6.295 1147.925 ;
RECT 6.585 1147.755 6.700 1147.925 ;
RECT 1493.305 1147.755 1493.475 1147.925 ;
RECT 1493.765 1147.755 1493.935 1147.925 ;
RECT 5.665 1142.315 5.835 1142.485 ;
RECT 6.125 1142.315 6.295 1142.485 ;
RECT 6.585 1142.315 6.700 1142.485 ;
RECT 1493.305 1142.315 1493.475 1142.485 ;
RECT 1493.765 1142.315 1493.935 1142.485 ;
RECT 5.665 1136.875 5.835 1137.045 ;
RECT 6.125 1136.875 6.295 1137.045 ;
RECT 6.585 1136.875 6.700 1137.045 ;
RECT 1493.305 1136.875 1493.475 1137.045 ;
RECT 1493.765 1136.875 1493.935 1137.045 ;
RECT 5.665 1131.435 5.835 1131.605 ;
RECT 6.125 1131.435 6.295 1131.605 ;
RECT 6.585 1131.435 6.700 1131.605 ;
RECT 1493.305 1131.435 1493.475 1131.605 ;
RECT 1493.765 1131.435 1493.935 1131.605 ;
RECT 5.665 1125.995 5.835 1126.165 ;
RECT 6.125 1125.995 6.295 1126.165 ;
RECT 6.585 1125.995 6.700 1126.165 ;
RECT 1493.305 1125.995 1493.475 1126.165 ;
RECT 1493.765 1125.995 1493.935 1126.165 ;
RECT 5.665 1120.555 5.835 1120.725 ;
RECT 6.125 1120.555 6.295 1120.725 ;
RECT 6.585 1120.555 6.700 1120.725 ;
RECT 1493.305 1120.555 1493.475 1120.725 ;
RECT 1493.765 1120.555 1493.935 1120.725 ;
RECT 5.665 1115.115 5.835 1115.285 ;
RECT 6.125 1115.115 6.295 1115.285 ;
RECT 6.585 1115.115 6.700 1115.285 ;
RECT 1493.305 1115.115 1493.475 1115.285 ;
RECT 1493.765 1115.115 1493.935 1115.285 ;
RECT 5.665 1109.675 5.835 1109.845 ;
RECT 6.125 1109.675 6.295 1109.845 ;
RECT 6.585 1109.675 6.700 1109.845 ;
RECT 1493.305 1109.675 1493.475 1109.845 ;
RECT 1493.765 1109.675 1493.935 1109.845 ;
RECT 5.665 1104.235 5.835 1104.405 ;
RECT 6.125 1104.235 6.295 1104.405 ;
RECT 6.585 1104.235 6.700 1104.405 ;
RECT 1493.305 1104.235 1493.475 1104.405 ;
RECT 1493.765 1104.235 1493.935 1104.405 ;
RECT 5.665 1098.795 5.835 1098.965 ;
RECT 6.125 1098.795 6.295 1098.965 ;
RECT 6.585 1098.795 6.700 1098.965 ;
RECT 1493.305 1098.795 1493.475 1098.965 ;
RECT 1493.765 1098.795 1493.935 1098.965 ;
RECT 5.665 1093.355 5.835 1093.525 ;
RECT 6.125 1093.355 6.295 1093.525 ;
RECT 6.585 1093.355 6.700 1093.525 ;
RECT 1493.305 1093.355 1493.475 1093.525 ;
RECT 1493.765 1093.355 1493.935 1093.525 ;
RECT 5.665 1087.915 5.835 1088.085 ;
RECT 6.125 1087.915 6.295 1088.085 ;
RECT 6.585 1087.915 6.700 1088.085 ;
RECT 1493.305 1087.915 1493.475 1088.085 ;
RECT 1493.765 1087.915 1493.935 1088.085 ;
RECT 5.665 1082.475 5.835 1082.645 ;
RECT 6.125 1082.475 6.295 1082.645 ;
RECT 6.585 1082.475 6.700 1082.645 ;
RECT 1493.305 1082.475 1493.475 1082.645 ;
RECT 1493.765 1082.475 1493.935 1082.645 ;
RECT 5.665 1077.035 5.835 1077.205 ;
RECT 6.125 1077.035 6.295 1077.205 ;
RECT 6.585 1077.035 6.700 1077.205 ;
RECT 1493.305 1077.035 1493.475 1077.205 ;
RECT 1493.765 1077.035 1493.935 1077.205 ;
RECT 5.665 1071.595 5.835 1071.765 ;
RECT 6.125 1071.595 6.295 1071.765 ;
RECT 6.585 1071.595 6.700 1071.765 ;
RECT 1493.305 1071.595 1493.475 1071.765 ;
RECT 1493.765 1071.595 1493.935 1071.765 ;
RECT 5.665 1066.155 5.835 1066.325 ;
RECT 6.125 1066.155 6.295 1066.325 ;
RECT 6.585 1066.155 6.700 1066.325 ;
RECT 1493.305 1066.155 1493.475 1066.325 ;
RECT 1493.765 1066.155 1493.935 1066.325 ;
RECT 5.665 1060.715 5.835 1060.885 ;
RECT 6.125 1060.715 6.295 1060.885 ;
RECT 6.585 1060.715 6.700 1060.885 ;
RECT 1493.305 1060.715 1493.475 1060.885 ;
RECT 1493.765 1060.715 1493.935 1060.885 ;
RECT 5.665 1055.275 5.835 1055.445 ;
RECT 6.125 1055.275 6.295 1055.445 ;
RECT 6.585 1055.275 6.700 1055.445 ;
RECT 1493.305 1055.275 1493.475 1055.445 ;
RECT 1493.765 1055.275 1493.935 1055.445 ;
RECT 5.665 1049.835 5.835 1050.005 ;
RECT 6.125 1049.835 6.295 1050.005 ;
RECT 6.585 1049.835 6.700 1050.005 ;
RECT 1493.305 1049.835 1493.475 1050.005 ;
RECT 1493.765 1049.835 1493.935 1050.005 ;
RECT 5.665 1044.395 5.835 1044.565 ;
RECT 6.125 1044.395 6.295 1044.565 ;
RECT 6.585 1044.395 6.700 1044.565 ;
RECT 1493.305 1044.395 1493.475 1044.565 ;
RECT 1493.765 1044.395 1493.935 1044.565 ;
RECT 5.665 1038.955 5.835 1039.125 ;
RECT 6.125 1038.955 6.295 1039.125 ;
RECT 6.585 1038.955 6.700 1039.125 ;
RECT 1493.305 1038.955 1493.475 1039.125 ;
RECT 1493.765 1038.955 1493.935 1039.125 ;
RECT 5.665 1033.515 5.835 1033.685 ;
RECT 6.125 1033.515 6.295 1033.685 ;
RECT 6.585 1033.515 6.700 1033.685 ;
RECT 1493.305 1033.515 1493.475 1033.685 ;
RECT 1493.765 1033.515 1493.935 1033.685 ;
RECT 5.665 1028.075 5.835 1028.245 ;
RECT 6.125 1028.075 6.295 1028.245 ;
RECT 6.585 1028.075 6.700 1028.245 ;
RECT 1493.305 1028.075 1493.475 1028.245 ;
RECT 1493.765 1028.075 1493.935 1028.245 ;
RECT 5.665 1022.635 5.835 1022.805 ;
RECT 6.125 1022.635 6.295 1022.805 ;
RECT 6.585 1022.635 6.700 1022.805 ;
RECT 1493.305 1022.635 1493.475 1022.805 ;
RECT 1493.765 1022.635 1493.935 1022.805 ;
RECT 5.665 1017.195 5.835 1017.365 ;
RECT 6.125 1017.195 6.295 1017.365 ;
RECT 6.585 1017.195 6.700 1017.365 ;
RECT 1493.305 1017.195 1493.475 1017.365 ;
RECT 1493.765 1017.195 1493.935 1017.365 ;
RECT 5.665 1011.755 5.835 1011.925 ;
RECT 6.125 1011.755 6.295 1011.925 ;
RECT 6.585 1011.755 6.700 1011.925 ;
RECT 1493.305 1011.755 1493.475 1011.925 ;
RECT 1493.765 1011.755 1493.935 1011.925 ;
RECT 5.665 1006.315 5.835 1006.485 ;
RECT 6.125 1006.315 6.295 1006.485 ;
RECT 6.585 1006.315 6.700 1006.485 ;
RECT 1493.305 1006.315 1493.475 1006.485 ;
RECT 1493.765 1006.315 1493.935 1006.485 ;
RECT 5.665 1000.875 5.835 1001.045 ;
RECT 6.125 1000.875 6.295 1001.045 ;
RECT 6.585 1000.875 6.700 1001.045 ;
RECT 1493.305 1000.875 1493.475 1001.045 ;
RECT 1493.765 1000.875 1493.935 1001.045 ;
RECT 5.665 995.435 5.835 995.605 ;
RECT 6.125 995.435 6.295 995.605 ;
RECT 6.585 995.435 6.700 995.605 ;
RECT 1493.305 995.435 1493.475 995.605 ;
RECT 1493.765 995.435 1493.935 995.605 ;
RECT 5.665 989.995 5.835 990.165 ;
RECT 6.125 989.995 6.295 990.165 ;
RECT 6.585 989.995 6.700 990.165 ;
RECT 1493.305 989.995 1493.475 990.165 ;
RECT 1493.765 989.995 1493.935 990.165 ;
RECT 5.665 984.555 5.835 984.725 ;
RECT 6.125 984.555 6.295 984.725 ;
RECT 6.585 984.555 6.700 984.725 ;
RECT 1493.305 984.555 1493.475 984.725 ;
RECT 1493.765 984.555 1493.935 984.725 ;
RECT 5.665 979.115 5.835 979.285 ;
RECT 6.125 979.115 6.295 979.285 ;
RECT 6.585 979.115 6.700 979.285 ;
RECT 1493.305 979.115 1493.475 979.285 ;
RECT 1493.765 979.115 1493.935 979.285 ;
RECT 5.665 973.675 5.835 973.845 ;
RECT 6.125 973.675 6.295 973.845 ;
RECT 6.585 973.675 6.700 973.845 ;
RECT 1493.305 973.675 1493.475 973.845 ;
RECT 1493.765 973.675 1493.935 973.845 ;
RECT 5.665 968.235 5.835 968.405 ;
RECT 6.125 968.235 6.295 968.405 ;
RECT 6.585 968.235 6.700 968.405 ;
RECT 1493.305 968.235 1493.475 968.405 ;
RECT 1493.765 968.235 1493.935 968.405 ;
RECT 5.665 962.795 5.835 962.965 ;
RECT 6.125 962.795 6.295 962.965 ;
RECT 6.585 962.795 6.700 962.965 ;
RECT 1493.305 962.795 1493.475 962.965 ;
RECT 1493.765 962.795 1493.935 962.965 ;
RECT 5.665 957.355 5.835 957.525 ;
RECT 6.125 957.355 6.295 957.525 ;
RECT 6.585 957.355 6.700 957.525 ;
RECT 1493.305 957.355 1493.475 957.525 ;
RECT 1493.765 957.355 1493.935 957.525 ;
RECT 5.665 951.915 5.835 952.085 ;
RECT 6.125 951.915 6.295 952.085 ;
RECT 6.585 951.915 6.700 952.085 ;
RECT 1493.305 951.915 1493.475 952.085 ;
RECT 1493.765 951.915 1493.935 952.085 ;
RECT 5.665 946.475 5.835 946.645 ;
RECT 6.125 946.475 6.295 946.645 ;
RECT 6.585 946.475 6.700 946.645 ;
RECT 1493.305 946.475 1493.475 946.645 ;
RECT 1493.765 946.475 1493.935 946.645 ;
RECT 5.665 941.035 5.835 941.205 ;
RECT 6.125 941.035 6.295 941.205 ;
RECT 6.585 941.035 6.700 941.205 ;
RECT 1493.305 941.035 1493.475 941.205 ;
RECT 1493.765 941.035 1493.935 941.205 ;
RECT 5.665 935.595 5.835 935.765 ;
RECT 6.125 935.595 6.295 935.765 ;
RECT 6.585 935.595 6.700 935.765 ;
RECT 1493.305 935.595 1493.475 935.765 ;
RECT 1493.765 935.595 1493.935 935.765 ;
RECT 5.665 930.155 5.835 930.325 ;
RECT 6.125 930.155 6.295 930.325 ;
RECT 6.585 930.155 6.700 930.325 ;
RECT 1493.305 930.155 1493.475 930.325 ;
RECT 1493.765 930.155 1493.935 930.325 ;
RECT 5.665 924.715 5.835 924.885 ;
RECT 6.125 924.715 6.295 924.885 ;
RECT 6.585 924.715 6.700 924.885 ;
RECT 1493.305 924.715 1493.475 924.885 ;
RECT 1493.765 924.715 1493.935 924.885 ;
RECT 5.665 919.275 5.835 919.445 ;
RECT 6.125 919.275 6.295 919.445 ;
RECT 6.585 919.275 6.700 919.445 ;
RECT 1493.305 919.275 1493.475 919.445 ;
RECT 1493.765 919.275 1493.935 919.445 ;
RECT 5.665 913.835 5.835 914.005 ;
RECT 6.125 913.835 6.295 914.005 ;
RECT 6.585 913.835 6.700 914.005 ;
RECT 1493.305 913.835 1493.475 914.005 ;
RECT 1493.765 913.835 1493.935 914.005 ;
RECT 5.665 908.395 5.835 908.565 ;
RECT 6.125 908.395 6.295 908.565 ;
RECT 6.585 908.395 6.700 908.565 ;
RECT 1493.305 908.395 1493.475 908.565 ;
RECT 1493.765 908.395 1493.935 908.565 ;
RECT 5.665 902.955 5.835 903.125 ;
RECT 6.125 902.955 6.295 903.125 ;
RECT 6.585 902.955 6.700 903.125 ;
RECT 1493.305 902.955 1493.475 903.125 ;
RECT 1493.765 902.955 1493.935 903.125 ;
RECT 5.665 897.515 5.835 897.685 ;
RECT 6.125 897.515 6.295 897.685 ;
RECT 6.585 897.515 6.700 897.685 ;
RECT 1493.305 897.515 1493.475 897.685 ;
RECT 1493.765 897.515 1493.935 897.685 ;
RECT 5.665 892.075 5.835 892.245 ;
RECT 6.125 892.075 6.295 892.245 ;
RECT 6.585 892.075 6.700 892.245 ;
RECT 1493.305 892.075 1493.475 892.245 ;
RECT 1493.765 892.075 1493.935 892.245 ;
RECT 5.665 886.635 5.835 886.805 ;
RECT 6.125 886.635 6.295 886.805 ;
RECT 6.585 886.635 6.700 886.805 ;
RECT 1493.305 886.635 1493.475 886.805 ;
RECT 1493.765 886.635 1493.935 886.805 ;
RECT 5.665 881.195 5.835 881.365 ;
RECT 6.125 881.195 6.295 881.365 ;
RECT 6.585 881.195 6.700 881.365 ;
RECT 1493.305 881.195 1493.475 881.365 ;
RECT 1493.765 881.195 1493.935 881.365 ;
RECT 5.665 875.755 5.835 875.925 ;
RECT 6.125 875.755 6.295 875.925 ;
RECT 6.585 875.755 6.700 875.925 ;
RECT 1493.305 875.755 1493.475 875.925 ;
RECT 1493.765 875.755 1493.935 875.925 ;
RECT 5.665 870.315 5.835 870.485 ;
RECT 6.125 870.315 6.295 870.485 ;
RECT 6.585 870.315 6.700 870.485 ;
RECT 1493.305 870.315 1493.475 870.485 ;
RECT 1493.765 870.315 1493.935 870.485 ;
RECT 5.665 864.875 5.835 865.045 ;
RECT 6.125 864.875 6.295 865.045 ;
RECT 6.585 864.875 6.700 865.045 ;
RECT 1493.305 864.875 1493.475 865.045 ;
RECT 1493.765 864.875 1493.935 865.045 ;
RECT 5.665 859.435 5.835 859.605 ;
RECT 6.125 859.435 6.295 859.605 ;
RECT 6.585 859.435 6.700 859.605 ;
RECT 1493.305 859.435 1493.475 859.605 ;
RECT 1493.765 859.435 1493.935 859.605 ;
RECT 5.665 853.995 5.835 854.165 ;
RECT 6.125 853.995 6.295 854.165 ;
RECT 6.585 853.995 6.700 854.165 ;
RECT 1493.305 853.995 1493.475 854.165 ;
RECT 1493.765 853.995 1493.935 854.165 ;
RECT 5.665 848.555 5.835 848.725 ;
RECT 6.125 848.555 6.295 848.725 ;
RECT 6.585 848.555 6.700 848.725 ;
RECT 1493.305 848.555 1493.475 848.725 ;
RECT 1493.765 848.555 1493.935 848.725 ;
RECT 5.665 843.115 5.835 843.285 ;
RECT 6.125 843.115 6.295 843.285 ;
RECT 6.585 843.115 6.700 843.285 ;
RECT 1493.305 843.115 1493.475 843.285 ;
RECT 1493.765 843.115 1493.935 843.285 ;
RECT 5.665 837.675 5.835 837.845 ;
RECT 6.125 837.675 6.295 837.845 ;
RECT 6.585 837.675 6.700 837.845 ;
RECT 1493.305 837.675 1493.475 837.845 ;
RECT 1493.765 837.675 1493.935 837.845 ;
RECT 5.665 832.235 5.835 832.405 ;
RECT 6.125 832.235 6.295 832.405 ;
RECT 6.585 832.235 6.700 832.405 ;
RECT 1493.305 832.235 1493.475 832.405 ;
RECT 1493.765 832.235 1493.935 832.405 ;
RECT 5.665 826.795 5.835 826.965 ;
RECT 6.125 826.795 6.295 826.965 ;
RECT 6.585 826.795 6.700 826.965 ;
RECT 1493.305 826.795 1493.475 826.965 ;
RECT 1493.765 826.795 1493.935 826.965 ;
RECT 5.665 821.355 5.835 821.525 ;
RECT 6.125 821.355 6.295 821.525 ;
RECT 6.585 821.355 6.700 821.525 ;
RECT 1493.305 821.355 1493.475 821.525 ;
RECT 1493.765 821.355 1493.935 821.525 ;
RECT 5.665 815.915 5.835 816.085 ;
RECT 6.125 815.915 6.295 816.085 ;
RECT 6.585 815.915 6.700 816.085 ;
RECT 1493.305 815.915 1493.475 816.085 ;
RECT 1493.765 815.915 1493.935 816.085 ;
RECT 5.665 810.475 5.835 810.645 ;
RECT 6.125 810.475 6.295 810.645 ;
RECT 6.585 810.475 6.700 810.645 ;
RECT 1493.305 810.475 1493.475 810.645 ;
RECT 1493.765 810.475 1493.935 810.645 ;
RECT 5.665 805.035 5.835 805.205 ;
RECT 6.125 805.035 6.295 805.205 ;
RECT 6.585 805.035 6.700 805.205 ;
RECT 1493.305 805.035 1493.475 805.205 ;
RECT 1493.765 805.035 1493.935 805.205 ;
RECT 5.665 799.595 5.835 799.765 ;
RECT 6.125 799.595 6.295 799.765 ;
RECT 6.585 799.595 6.700 799.765 ;
RECT 1493.305 799.595 1493.475 799.765 ;
RECT 1493.765 799.595 1493.935 799.765 ;
RECT 5.665 794.155 5.835 794.325 ;
RECT 6.125 794.155 6.295 794.325 ;
RECT 6.585 794.155 6.700 794.325 ;
RECT 1493.305 794.155 1493.475 794.325 ;
RECT 1493.765 794.155 1493.935 794.325 ;
RECT 5.665 788.715 5.835 788.885 ;
RECT 6.125 788.715 6.295 788.885 ;
RECT 6.585 788.715 6.700 788.885 ;
RECT 1493.305 788.715 1493.475 788.885 ;
RECT 1493.765 788.715 1493.935 788.885 ;
RECT 5.665 783.275 5.835 783.445 ;
RECT 6.125 783.275 6.295 783.445 ;
RECT 6.585 783.275 6.700 783.445 ;
RECT 1493.305 783.275 1493.475 783.445 ;
RECT 1493.765 783.275 1493.935 783.445 ;
RECT 5.665 777.835 5.835 778.005 ;
RECT 6.125 777.835 6.295 778.005 ;
RECT 6.585 777.835 6.700 778.005 ;
RECT 1493.305 777.835 1493.475 778.005 ;
RECT 1493.765 777.835 1493.935 778.005 ;
RECT 5.665 772.395 5.835 772.565 ;
RECT 6.125 772.395 6.295 772.565 ;
RECT 6.585 772.395 6.700 772.565 ;
RECT 1493.305 772.395 1493.475 772.565 ;
RECT 1493.765 772.395 1493.935 772.565 ;
RECT 5.665 766.955 5.835 767.125 ;
RECT 6.125 766.955 6.295 767.125 ;
RECT 6.585 766.955 6.700 767.125 ;
RECT 1493.305 766.955 1493.475 767.125 ;
RECT 1493.765 766.955 1493.935 767.125 ;
RECT 5.665 761.515 5.835 761.685 ;
RECT 6.125 761.515 6.295 761.685 ;
RECT 6.585 761.515 6.700 761.685 ;
RECT 1493.305 761.515 1493.475 761.685 ;
RECT 1493.765 761.515 1493.935 761.685 ;
RECT 5.665 756.075 5.835 756.245 ;
RECT 6.125 756.075 6.295 756.245 ;
RECT 6.585 756.075 6.700 756.245 ;
RECT 1493.305 756.075 1493.475 756.245 ;
RECT 1493.765 756.075 1493.935 756.245 ;
RECT 5.665 750.635 5.835 750.805 ;
RECT 6.125 750.635 6.295 750.805 ;
RECT 6.585 750.635 6.700 750.805 ;
RECT 1493.305 750.635 1493.475 750.805 ;
RECT 1493.765 750.635 1493.935 750.805 ;
RECT 5.665 745.195 5.835 745.365 ;
RECT 6.125 745.195 6.295 745.365 ;
RECT 6.585 745.195 6.700 745.365 ;
RECT 1493.305 745.195 1493.475 745.365 ;
RECT 1493.765 745.195 1493.935 745.365 ;
RECT 5.665 739.755 5.835 739.925 ;
RECT 6.125 739.755 6.295 739.925 ;
RECT 6.585 739.755 6.700 739.925 ;
RECT 1493.305 739.755 1493.475 739.925 ;
RECT 1493.765 739.755 1493.935 739.925 ;
RECT 5.665 734.315 5.835 734.485 ;
RECT 6.125 734.315 6.295 734.485 ;
RECT 6.585 734.315 6.700 734.485 ;
RECT 1493.305 734.315 1493.475 734.485 ;
RECT 1493.765 734.315 1493.935 734.485 ;
RECT 5.665 728.875 5.835 729.045 ;
RECT 6.125 728.875 6.295 729.045 ;
RECT 6.585 728.875 6.700 729.045 ;
RECT 1493.305 728.875 1493.475 729.045 ;
RECT 1493.765 728.875 1493.935 729.045 ;
RECT 5.665 723.435 5.835 723.605 ;
RECT 6.125 723.435 6.295 723.605 ;
RECT 6.585 723.435 6.700 723.605 ;
RECT 1493.305 723.435 1493.475 723.605 ;
RECT 1493.765 723.435 1493.935 723.605 ;
RECT 5.665 717.995 5.835 718.165 ;
RECT 6.125 717.995 6.295 718.165 ;
RECT 6.585 717.995 6.700 718.165 ;
RECT 1493.305 717.995 1493.475 718.165 ;
RECT 1493.765 717.995 1493.935 718.165 ;
RECT 5.665 712.555 5.835 712.725 ;
RECT 6.125 712.555 6.295 712.725 ;
RECT 6.585 712.555 6.700 712.725 ;
RECT 1493.305 712.555 1493.475 712.725 ;
RECT 1493.765 712.555 1493.935 712.725 ;
RECT 5.665 707.115 5.835 707.285 ;
RECT 6.125 707.115 6.295 707.285 ;
RECT 6.585 707.115 6.700 707.285 ;
RECT 1493.305 707.115 1493.475 707.285 ;
RECT 1493.765 707.115 1493.935 707.285 ;
RECT 5.665 701.675 5.835 701.845 ;
RECT 6.125 701.675 6.295 701.845 ;
RECT 6.585 701.675 6.700 701.845 ;
RECT 1493.305 701.675 1493.475 701.845 ;
RECT 1493.765 701.675 1493.935 701.845 ;
RECT 5.665 696.235 5.835 696.405 ;
RECT 6.125 696.235 6.295 696.405 ;
RECT 6.585 696.235 6.700 696.405 ;
RECT 1493.305 696.235 1493.475 696.405 ;
RECT 1493.765 696.235 1493.935 696.405 ;
RECT 5.665 690.795 5.835 690.965 ;
RECT 6.125 690.795 6.295 690.965 ;
RECT 6.585 690.795 6.700 690.965 ;
RECT 1493.305 690.795 1493.475 690.965 ;
RECT 1493.765 690.795 1493.935 690.965 ;
RECT 5.665 685.355 5.835 685.525 ;
RECT 6.125 685.355 6.295 685.525 ;
RECT 6.585 685.355 6.700 685.525 ;
RECT 1493.305 685.355 1493.475 685.525 ;
RECT 1493.765 685.355 1493.935 685.525 ;
RECT 5.665 679.915 5.835 680.085 ;
RECT 6.125 679.915 6.295 680.085 ;
RECT 6.585 679.915 6.700 680.085 ;
RECT 1493.305 679.915 1493.475 680.085 ;
RECT 1493.765 679.915 1493.935 680.085 ;
RECT 5.665 674.475 5.835 674.645 ;
RECT 6.125 674.475 6.295 674.645 ;
RECT 6.585 674.475 6.700 674.645 ;
RECT 1493.305 674.475 1493.475 674.645 ;
RECT 1493.765 674.475 1493.935 674.645 ;
RECT 5.665 669.035 5.835 669.205 ;
RECT 6.125 669.035 6.295 669.205 ;
RECT 6.585 669.035 6.700 669.205 ;
RECT 1493.305 669.035 1493.475 669.205 ;
RECT 1493.765 669.035 1493.935 669.205 ;
RECT 5.665 663.595 5.835 663.765 ;
RECT 6.125 663.595 6.295 663.765 ;
RECT 6.585 663.595 6.700 663.765 ;
RECT 1493.305 663.595 1493.475 663.765 ;
RECT 1493.765 663.595 1493.935 663.765 ;
RECT 5.665 658.155 5.835 658.325 ;
RECT 6.125 658.155 6.295 658.325 ;
RECT 6.585 658.155 6.700 658.325 ;
RECT 1493.305 658.155 1493.475 658.325 ;
RECT 1493.765 658.155 1493.935 658.325 ;
RECT 5.665 652.715 5.835 652.885 ;
RECT 6.125 652.715 6.295 652.885 ;
RECT 6.585 652.715 6.700 652.885 ;
RECT 1493.305 652.715 1493.475 652.885 ;
RECT 1493.765 652.715 1493.935 652.885 ;
RECT 5.665 647.275 5.835 647.445 ;
RECT 6.125 647.275 6.295 647.445 ;
RECT 6.585 647.275 6.700 647.445 ;
RECT 1493.305 647.275 1493.475 647.445 ;
RECT 1493.765 647.275 1493.935 647.445 ;
RECT 5.665 641.835 5.835 642.005 ;
RECT 6.125 641.835 6.295 642.005 ;
RECT 6.585 641.835 6.700 642.005 ;
RECT 1493.305 641.835 1493.475 642.005 ;
RECT 1493.765 641.835 1493.935 642.005 ;
RECT 5.665 636.395 5.835 636.565 ;
RECT 6.125 636.395 6.295 636.565 ;
RECT 6.585 636.395 6.700 636.565 ;
RECT 1493.305 636.395 1493.475 636.565 ;
RECT 1493.765 636.395 1493.935 636.565 ;
RECT 5.665 630.955 5.835 631.125 ;
RECT 6.125 630.955 6.295 631.125 ;
RECT 6.585 630.955 6.700 631.125 ;
RECT 1493.305 630.955 1493.475 631.125 ;
RECT 1493.765 630.955 1493.935 631.125 ;
RECT 5.665 625.515 5.835 625.685 ;
RECT 6.125 625.515 6.295 625.685 ;
RECT 6.585 625.515 6.700 625.685 ;
RECT 1493.305 625.515 1493.475 625.685 ;
RECT 1493.765 625.515 1493.935 625.685 ;
RECT 5.665 620.075 5.835 620.245 ;
RECT 6.125 620.075 6.295 620.245 ;
RECT 6.585 620.075 6.700 620.245 ;
RECT 1493.305 620.075 1493.475 620.245 ;
RECT 1493.765 620.075 1493.935 620.245 ;
RECT 5.665 614.635 5.835 614.805 ;
RECT 6.125 614.635 6.295 614.805 ;
RECT 6.585 614.635 6.700 614.805 ;
RECT 1493.305 614.635 1493.475 614.805 ;
RECT 1493.765 614.635 1493.935 614.805 ;
RECT 5.665 609.195 5.835 609.365 ;
RECT 6.125 609.195 6.295 609.365 ;
RECT 6.585 609.195 6.700 609.365 ;
RECT 1493.305 609.195 1493.475 609.365 ;
RECT 1493.765 609.195 1493.935 609.365 ;
RECT 5.665 603.755 5.835 603.925 ;
RECT 6.125 603.755 6.295 603.925 ;
RECT 6.585 603.755 6.700 603.925 ;
RECT 1493.305 603.755 1493.475 603.925 ;
RECT 1493.765 603.755 1493.935 603.925 ;
RECT 5.665 598.315 5.835 598.485 ;
RECT 6.125 598.315 6.295 598.485 ;
RECT 6.585 598.315 6.700 598.485 ;
RECT 1493.305 598.315 1493.475 598.485 ;
RECT 1493.765 598.315 1493.935 598.485 ;
RECT 5.665 592.875 5.835 593.045 ;
RECT 6.125 592.875 6.295 593.045 ;
RECT 6.585 592.875 6.700 593.045 ;
RECT 1493.305 592.875 1493.475 593.045 ;
RECT 1493.765 592.875 1493.935 593.045 ;
RECT 5.665 587.435 5.835 587.605 ;
RECT 6.125 587.435 6.295 587.605 ;
RECT 6.585 587.435 6.700 587.605 ;
RECT 1493.305 587.435 1493.475 587.605 ;
RECT 1493.765 587.435 1493.935 587.605 ;
RECT 5.665 581.995 5.835 582.165 ;
RECT 6.125 581.995 6.295 582.165 ;
RECT 6.585 581.995 6.700 582.165 ;
RECT 1493.305 581.995 1493.475 582.165 ;
RECT 1493.765 581.995 1493.935 582.165 ;
RECT 5.665 576.555 5.835 576.725 ;
RECT 6.125 576.555 6.295 576.725 ;
RECT 6.585 576.555 6.700 576.725 ;
RECT 1493.305 576.555 1493.475 576.725 ;
RECT 1493.765 576.555 1493.935 576.725 ;
RECT 5.665 571.115 5.835 571.285 ;
RECT 6.125 571.115 6.295 571.285 ;
RECT 6.585 571.115 6.700 571.285 ;
RECT 1493.305 571.115 1493.475 571.285 ;
RECT 1493.765 571.115 1493.935 571.285 ;
RECT 5.665 565.675 5.835 565.845 ;
RECT 6.125 565.675 6.295 565.845 ;
RECT 6.585 565.675 6.700 565.845 ;
RECT 1493.305 565.675 1493.475 565.845 ;
RECT 1493.765 565.675 1493.935 565.845 ;
RECT 5.665 560.235 5.835 560.405 ;
RECT 6.125 560.235 6.295 560.405 ;
RECT 6.585 560.235 6.700 560.405 ;
RECT 1493.305 560.235 1493.475 560.405 ;
RECT 1493.765 560.235 1493.935 560.405 ;
RECT 5.665 554.795 5.835 554.965 ;
RECT 6.125 554.795 6.295 554.965 ;
RECT 6.585 554.795 6.700 554.965 ;
RECT 1493.305 554.795 1493.475 554.965 ;
RECT 1493.765 554.795 1493.935 554.965 ;
RECT 5.665 549.355 5.835 549.525 ;
RECT 6.125 549.355 6.295 549.525 ;
RECT 6.585 549.355 6.700 549.525 ;
RECT 1493.305 549.355 1493.475 549.525 ;
RECT 1493.765 549.355 1493.935 549.525 ;
RECT 5.665 543.915 5.835 544.085 ;
RECT 6.125 543.915 6.295 544.085 ;
RECT 6.585 543.915 6.700 544.085 ;
RECT 1493.305 543.915 1493.475 544.085 ;
RECT 1493.765 543.915 1493.935 544.085 ;
RECT 5.665 538.475 5.835 538.645 ;
RECT 6.125 538.475 6.295 538.645 ;
RECT 6.585 538.475 6.700 538.645 ;
RECT 1493.305 538.475 1493.475 538.645 ;
RECT 1493.765 538.475 1493.935 538.645 ;
RECT 5.665 533.035 5.835 533.205 ;
RECT 6.125 533.035 6.295 533.205 ;
RECT 6.585 533.035 6.700 533.205 ;
RECT 1493.305 533.035 1493.475 533.205 ;
RECT 1493.765 533.035 1493.935 533.205 ;
RECT 5.665 527.595 5.835 527.765 ;
RECT 6.125 527.595 6.295 527.765 ;
RECT 6.585 527.595 6.700 527.765 ;
RECT 1493.305 527.595 1493.475 527.765 ;
RECT 1493.765 527.595 1493.935 527.765 ;
RECT 5.665 522.155 5.835 522.325 ;
RECT 6.125 522.155 6.295 522.325 ;
RECT 6.585 522.155 6.700 522.325 ;
RECT 1493.305 522.155 1493.475 522.325 ;
RECT 1493.765 522.155 1493.935 522.325 ;
RECT 5.665 516.715 5.835 516.885 ;
RECT 6.125 516.715 6.295 516.885 ;
RECT 6.585 516.715 6.700 516.885 ;
RECT 1493.305 516.715 1493.475 516.885 ;
RECT 1493.765 516.715 1493.935 516.885 ;
RECT 5.665 511.275 5.835 511.445 ;
RECT 6.125 511.275 6.295 511.445 ;
RECT 6.585 511.275 6.700 511.445 ;
RECT 1493.305 511.275 1493.475 511.445 ;
RECT 1493.765 511.275 1493.935 511.445 ;
RECT 5.665 505.835 5.835 506.005 ;
RECT 6.125 505.835 6.295 506.005 ;
RECT 6.585 505.835 6.700 506.005 ;
RECT 1493.305 505.835 1493.475 506.005 ;
RECT 1493.765 505.835 1493.935 506.005 ;
RECT 5.665 500.395 5.835 500.565 ;
RECT 6.125 500.395 6.295 500.565 ;
RECT 6.585 500.395 6.700 500.565 ;
RECT 1493.305 500.395 1493.475 500.565 ;
RECT 1493.765 500.395 1493.935 500.565 ;
RECT 5.665 494.955 5.835 495.125 ;
RECT 6.125 494.955 6.295 495.125 ;
RECT 6.585 494.955 6.700 495.125 ;
RECT 1493.305 494.955 1493.475 495.125 ;
RECT 1493.765 494.955 1493.935 495.125 ;
RECT 5.665 489.515 5.835 489.685 ;
RECT 6.125 489.515 6.295 489.685 ;
RECT 6.585 489.515 6.700 489.685 ;
RECT 1493.305 489.515 1493.475 489.685 ;
RECT 1493.765 489.515 1493.935 489.685 ;
RECT 5.665 484.075 5.835 484.245 ;
RECT 6.125 484.075 6.295 484.245 ;
RECT 6.585 484.075 6.700 484.245 ;
RECT 1493.305 484.075 1493.475 484.245 ;
RECT 1493.765 484.075 1493.935 484.245 ;
RECT 5.665 478.635 5.835 478.805 ;
RECT 6.125 478.635 6.295 478.805 ;
RECT 6.585 478.635 6.700 478.805 ;
RECT 1493.305 478.635 1493.475 478.805 ;
RECT 1493.765 478.635 1493.935 478.805 ;
RECT 5.665 473.195 5.835 473.365 ;
RECT 6.125 473.195 6.295 473.365 ;
RECT 6.585 473.195 6.700 473.365 ;
RECT 1493.305 473.195 1493.475 473.365 ;
RECT 1493.765 473.195 1493.935 473.365 ;
RECT 5.665 467.755 5.835 467.925 ;
RECT 6.125 467.755 6.295 467.925 ;
RECT 6.585 467.755 6.700 467.925 ;
RECT 1493.305 467.755 1493.475 467.925 ;
RECT 1493.765 467.755 1493.935 467.925 ;
RECT 5.665 462.315 5.835 462.485 ;
RECT 6.125 462.315 6.295 462.485 ;
RECT 6.585 462.315 6.700 462.485 ;
RECT 1493.305 462.315 1493.475 462.485 ;
RECT 1493.765 462.315 1493.935 462.485 ;
RECT 5.665 456.875 5.835 457.045 ;
RECT 6.125 456.875 6.295 457.045 ;
RECT 6.585 456.875 6.700 457.045 ;
RECT 1493.305 456.875 1493.475 457.045 ;
RECT 1493.765 456.875 1493.935 457.045 ;
RECT 5.665 451.435 5.835 451.605 ;
RECT 6.125 451.435 6.295 451.605 ;
RECT 6.585 451.435 6.700 451.605 ;
RECT 1493.305 451.435 1493.475 451.605 ;
RECT 1493.765 451.435 1493.935 451.605 ;
RECT 5.665 445.995 5.835 446.165 ;
RECT 6.125 445.995 6.295 446.165 ;
RECT 6.585 445.995 6.700 446.165 ;
RECT 1493.305 445.995 1493.475 446.165 ;
RECT 1493.765 445.995 1493.935 446.165 ;
RECT 5.665 440.555 5.835 440.725 ;
RECT 6.125 440.555 6.295 440.725 ;
RECT 6.585 440.555 6.700 440.725 ;
RECT 1493.305 440.555 1493.475 440.725 ;
RECT 1493.765 440.555 1493.935 440.725 ;
RECT 5.665 435.115 5.835 435.285 ;
RECT 6.125 435.115 6.295 435.285 ;
RECT 6.585 435.115 6.700 435.285 ;
RECT 1493.305 435.115 1493.475 435.285 ;
RECT 1493.765 435.115 1493.935 435.285 ;
RECT 5.665 429.675 5.835 429.845 ;
RECT 6.125 429.675 6.295 429.845 ;
RECT 6.585 429.675 6.700 429.845 ;
RECT 1493.305 429.675 1493.475 429.845 ;
RECT 1493.765 429.675 1493.935 429.845 ;
RECT 5.665 424.235 5.835 424.405 ;
RECT 6.125 424.235 6.295 424.405 ;
RECT 6.585 424.235 6.700 424.405 ;
RECT 1493.305 424.235 1493.475 424.405 ;
RECT 1493.765 424.235 1493.935 424.405 ;
RECT 5.665 418.795 5.835 418.965 ;
RECT 6.125 418.795 6.295 418.965 ;
RECT 6.585 418.795 6.700 418.965 ;
RECT 1493.305 418.795 1493.475 418.965 ;
RECT 1493.765 418.795 1493.935 418.965 ;
RECT 5.665 413.355 5.835 413.525 ;
RECT 6.125 413.355 6.295 413.525 ;
RECT 6.585 413.355 6.700 413.525 ;
RECT 1493.305 413.355 1493.475 413.525 ;
RECT 1493.765 413.355 1493.935 413.525 ;
RECT 5.665 407.915 5.835 408.085 ;
RECT 6.125 407.915 6.295 408.085 ;
RECT 6.585 407.915 6.700 408.085 ;
RECT 1493.305 407.915 1493.475 408.085 ;
RECT 1493.765 407.915 1493.935 408.085 ;
RECT 5.665 402.475 5.835 402.645 ;
RECT 6.125 402.475 6.295 402.645 ;
RECT 6.585 402.475 6.700 402.645 ;
RECT 1493.305 402.475 1493.475 402.645 ;
RECT 1493.765 402.475 1493.935 402.645 ;
RECT 5.665 397.035 5.835 397.205 ;
RECT 6.125 397.035 6.295 397.205 ;
RECT 6.585 397.035 6.700 397.205 ;
RECT 1493.305 397.035 1493.475 397.205 ;
RECT 1493.765 397.035 1493.935 397.205 ;
RECT 5.665 391.595 5.835 391.765 ;
RECT 6.125 391.595 6.295 391.765 ;
RECT 6.585 391.595 6.700 391.765 ;
RECT 1493.305 391.595 1493.475 391.765 ;
RECT 1493.765 391.595 1493.935 391.765 ;
RECT 5.665 386.155 5.835 386.325 ;
RECT 6.125 386.155 6.295 386.325 ;
RECT 6.585 386.155 6.700 386.325 ;
RECT 1493.305 386.155 1493.475 386.325 ;
RECT 1493.765 386.155 1493.935 386.325 ;
RECT 5.665 380.715 5.835 380.885 ;
RECT 6.125 380.715 6.295 380.885 ;
RECT 6.585 380.715 6.700 380.885 ;
RECT 1493.305 380.715 1493.475 380.885 ;
RECT 1493.765 380.715 1493.935 380.885 ;
RECT 5.665 375.275 5.835 375.445 ;
RECT 6.125 375.275 6.295 375.445 ;
RECT 6.585 375.275 6.700 375.445 ;
RECT 1493.305 375.275 1493.475 375.445 ;
RECT 1493.765 375.275 1493.935 375.445 ;
RECT 5.665 369.835 5.835 370.005 ;
RECT 6.125 369.835 6.295 370.005 ;
RECT 6.585 369.835 6.700 370.005 ;
RECT 1493.305 369.835 1493.475 370.005 ;
RECT 1493.765 369.835 1493.935 370.005 ;
RECT 5.665 364.395 5.835 364.565 ;
RECT 6.125 364.395 6.295 364.565 ;
RECT 6.585 364.395 6.700 364.565 ;
RECT 1493.305 364.395 1493.475 364.565 ;
RECT 1493.765 364.395 1493.935 364.565 ;
RECT 5.665 358.955 5.835 359.125 ;
RECT 6.125 358.955 6.295 359.125 ;
RECT 6.585 358.955 6.700 359.125 ;
RECT 1493.305 358.955 1493.475 359.125 ;
RECT 1493.765 358.955 1493.935 359.125 ;
RECT 5.665 353.515 5.835 353.685 ;
RECT 6.125 353.515 6.295 353.685 ;
RECT 6.585 353.515 6.700 353.685 ;
RECT 1493.305 353.515 1493.475 353.685 ;
RECT 1493.765 353.515 1493.935 353.685 ;
RECT 5.665 348.075 5.835 348.245 ;
RECT 6.125 348.075 6.295 348.245 ;
RECT 6.585 348.075 6.700 348.245 ;
RECT 1493.305 348.075 1493.475 348.245 ;
RECT 1493.765 348.075 1493.935 348.245 ;
RECT 5.665 342.635 5.835 342.805 ;
RECT 6.125 342.635 6.295 342.805 ;
RECT 6.585 342.635 6.700 342.805 ;
RECT 1493.305 342.635 1493.475 342.805 ;
RECT 1493.765 342.635 1493.935 342.805 ;
RECT 5.665 337.195 5.835 337.365 ;
RECT 6.125 337.195 6.295 337.365 ;
RECT 6.585 337.195 6.700 337.365 ;
RECT 1493.305 337.195 1493.475 337.365 ;
RECT 1493.765 337.195 1493.935 337.365 ;
RECT 5.665 331.755 5.835 331.925 ;
RECT 6.125 331.755 6.295 331.925 ;
RECT 6.585 331.755 6.700 331.925 ;
RECT 1493.305 331.755 1493.475 331.925 ;
RECT 1493.765 331.755 1493.935 331.925 ;
RECT 5.665 326.315 5.835 326.485 ;
RECT 6.125 326.315 6.295 326.485 ;
RECT 6.585 326.315 6.700 326.485 ;
RECT 1493.305 326.315 1493.475 326.485 ;
RECT 1493.765 326.315 1493.935 326.485 ;
RECT 5.665 320.875 5.835 321.045 ;
RECT 6.125 320.875 6.295 321.045 ;
RECT 6.585 320.875 6.700 321.045 ;
RECT 1493.305 320.875 1493.475 321.045 ;
RECT 1493.765 320.875 1493.935 321.045 ;
RECT 5.665 315.435 5.835 315.605 ;
RECT 6.125 315.435 6.295 315.605 ;
RECT 6.585 315.435 6.700 315.605 ;
RECT 1493.305 315.435 1493.475 315.605 ;
RECT 1493.765 315.435 1493.935 315.605 ;
RECT 5.665 309.995 5.835 310.165 ;
RECT 6.125 309.995 6.295 310.165 ;
RECT 6.585 309.995 6.700 310.165 ;
RECT 1493.305 309.995 1493.475 310.165 ;
RECT 1493.765 309.995 1493.935 310.165 ;
RECT 5.665 304.555 5.835 304.725 ;
RECT 6.125 304.555 6.295 304.725 ;
RECT 6.585 304.555 6.700 304.725 ;
RECT 1493.305 304.555 1493.475 304.725 ;
RECT 1493.765 304.555 1493.935 304.725 ;
RECT 5.665 299.115 5.835 299.285 ;
RECT 6.125 299.115 6.295 299.285 ;
RECT 6.585 299.115 6.700 299.285 ;
RECT 1493.305 299.115 1493.475 299.285 ;
RECT 1493.765 299.115 1493.935 299.285 ;
RECT 5.665 293.675 5.835 293.845 ;
RECT 6.125 293.675 6.295 293.845 ;
RECT 6.585 293.675 6.700 293.845 ;
RECT 1493.305 293.675 1493.475 293.845 ;
RECT 1493.765 293.675 1493.935 293.845 ;
RECT 5.665 288.235 5.835 288.405 ;
RECT 6.125 288.235 6.295 288.405 ;
RECT 6.585 288.235 6.700 288.405 ;
RECT 1493.305 288.235 1493.475 288.405 ;
RECT 1493.765 288.235 1493.935 288.405 ;
RECT 5.665 282.795 5.835 282.965 ;
RECT 6.125 282.795 6.295 282.965 ;
RECT 6.585 282.795 6.700 282.965 ;
RECT 1493.305 282.795 1493.475 282.965 ;
RECT 1493.765 282.795 1493.935 282.965 ;
RECT 5.665 277.355 5.835 277.525 ;
RECT 6.125 277.355 6.295 277.525 ;
RECT 6.585 277.355 6.700 277.525 ;
RECT 1493.305 277.355 1493.475 277.525 ;
RECT 1493.765 277.355 1493.935 277.525 ;
RECT 5.665 271.915 5.835 272.085 ;
RECT 6.125 271.915 6.295 272.085 ;
RECT 6.585 271.915 6.700 272.085 ;
RECT 1493.305 271.915 1493.475 272.085 ;
RECT 1493.765 271.915 1493.935 272.085 ;
RECT 5.665 266.475 5.835 266.645 ;
RECT 6.125 266.475 6.295 266.645 ;
RECT 6.585 266.475 6.700 266.645 ;
RECT 1493.305 266.475 1493.475 266.645 ;
RECT 1493.765 266.475 1493.935 266.645 ;
RECT 5.665 261.035 5.835 261.205 ;
RECT 6.125 261.035 6.295 261.205 ;
RECT 6.585 261.035 6.700 261.205 ;
RECT 1493.305 261.035 1493.475 261.205 ;
RECT 1493.765 261.035 1493.935 261.205 ;
RECT 5.665 255.595 5.835 255.765 ;
RECT 6.125 255.595 6.295 255.765 ;
RECT 6.585 255.595 6.700 255.765 ;
RECT 1493.305 255.595 1493.475 255.765 ;
RECT 1493.765 255.595 1493.935 255.765 ;
RECT 5.665 250.155 5.835 250.325 ;
RECT 6.125 250.155 6.295 250.325 ;
RECT 6.585 250.155 6.700 250.325 ;
RECT 1493.305 250.155 1493.475 250.325 ;
RECT 1493.765 250.155 1493.935 250.325 ;
RECT 5.665 244.715 5.835 244.885 ;
RECT 6.125 244.715 6.295 244.885 ;
RECT 6.585 244.715 6.700 244.885 ;
RECT 1493.305 244.715 1493.475 244.885 ;
RECT 1493.765 244.715 1493.935 244.885 ;
RECT 5.665 239.275 5.835 239.445 ;
RECT 6.125 239.275 6.295 239.445 ;
RECT 6.585 239.275 6.700 239.445 ;
RECT 1493.305 239.275 1493.475 239.445 ;
RECT 1493.765 239.275 1493.935 239.445 ;
RECT 5.665 233.835 5.835 234.005 ;
RECT 6.125 233.835 6.295 234.005 ;
RECT 6.585 233.835 6.700 234.005 ;
RECT 1493.305 233.835 1493.475 234.005 ;
RECT 1493.765 233.835 1493.935 234.005 ;
RECT 5.665 228.395 5.835 228.565 ;
RECT 6.125 228.395 6.295 228.565 ;
RECT 6.585 228.395 6.700 228.565 ;
RECT 1493.305 228.395 1493.475 228.565 ;
RECT 1493.765 228.395 1493.935 228.565 ;
RECT 5.665 222.955 5.835 223.125 ;
RECT 6.125 222.955 6.295 223.125 ;
RECT 6.585 222.955 6.700 223.125 ;
RECT 1493.305 222.955 1493.475 223.125 ;
RECT 1493.765 222.955 1493.935 223.125 ;
RECT 5.665 217.515 5.835 217.685 ;
RECT 6.125 217.515 6.295 217.685 ;
RECT 6.585 217.515 6.700 217.685 ;
RECT 1493.305 217.515 1493.475 217.685 ;
RECT 1493.765 217.515 1493.935 217.685 ;
RECT 5.665 212.075 5.835 212.245 ;
RECT 6.125 212.075 6.295 212.245 ;
RECT 6.585 212.075 6.700 212.245 ;
RECT 1493.305 212.075 1493.475 212.245 ;
RECT 1493.765 212.075 1493.935 212.245 ;
RECT 5.665 206.635 5.835 206.805 ;
RECT 6.125 206.635 6.295 206.805 ;
RECT 6.585 206.635 6.700 206.805 ;
RECT 1493.305 206.635 1493.475 206.805 ;
RECT 1493.765 206.635 1493.935 206.805 ;
RECT 5.665 201.195 5.835 201.365 ;
RECT 6.125 201.195 6.295 201.365 ;
RECT 6.585 201.195 6.700 201.365 ;
RECT 1493.305 201.195 1493.475 201.365 ;
RECT 1493.765 201.195 1493.935 201.365 ;
RECT 5.665 195.755 5.835 195.925 ;
RECT 6.125 195.755 6.295 195.925 ;
RECT 6.585 195.755 6.700 195.925 ;
RECT 1493.305 195.755 1493.475 195.925 ;
RECT 1493.765 195.755 1493.935 195.925 ;
RECT 5.665 190.315 5.835 190.485 ;
RECT 6.125 190.315 6.295 190.485 ;
RECT 6.585 190.315 6.700 190.485 ;
RECT 1493.305 190.315 1493.475 190.485 ;
RECT 1493.765 190.315 1493.935 190.485 ;
RECT 5.665 184.875 5.835 185.045 ;
RECT 6.125 184.875 6.295 185.045 ;
RECT 6.585 184.875 6.700 185.045 ;
RECT 1493.305 184.875 1493.475 185.045 ;
RECT 1493.765 184.875 1493.935 185.045 ;
RECT 5.665 179.435 5.835 179.605 ;
RECT 6.125 179.435 6.295 179.605 ;
RECT 6.585 179.435 6.700 179.605 ;
RECT 1493.305 179.435 1493.475 179.605 ;
RECT 1493.765 179.435 1493.935 179.605 ;
RECT 5.665 173.995 5.835 174.165 ;
RECT 6.125 173.995 6.295 174.165 ;
RECT 6.585 173.995 6.700 174.165 ;
RECT 1493.305 173.995 1493.475 174.165 ;
RECT 1493.765 173.995 1493.935 174.165 ;
RECT 5.665 168.555 5.835 168.725 ;
RECT 6.125 168.555 6.295 168.725 ;
RECT 6.585 168.555 6.700 168.725 ;
RECT 1493.305 168.555 1493.475 168.725 ;
RECT 1493.765 168.555 1493.935 168.725 ;
RECT 5.665 163.115 5.835 163.285 ;
RECT 6.125 163.115 6.295 163.285 ;
RECT 6.585 163.115 6.700 163.285 ;
RECT 1493.305 163.115 1493.475 163.285 ;
RECT 1493.765 163.115 1493.935 163.285 ;
RECT 5.665 157.675 5.835 157.845 ;
RECT 6.125 157.675 6.295 157.845 ;
RECT 6.585 157.675 6.700 157.845 ;
RECT 1493.305 157.675 1493.475 157.845 ;
RECT 1493.765 157.675 1493.935 157.845 ;
RECT 5.665 152.235 5.835 152.405 ;
RECT 6.125 152.235 6.295 152.405 ;
RECT 6.585 152.235 6.700 152.405 ;
RECT 1493.305 152.235 1493.475 152.405 ;
RECT 1493.765 152.235 1493.935 152.405 ;
RECT 5.665 146.795 5.835 146.965 ;
RECT 6.125 146.795 6.295 146.965 ;
RECT 6.585 146.795 6.700 146.965 ;
RECT 1493.305 146.795 1493.475 146.965 ;
RECT 1493.765 146.795 1493.935 146.965 ;
RECT 5.665 141.355 5.835 141.525 ;
RECT 6.125 141.355 6.295 141.525 ;
RECT 6.585 141.355 6.700 141.525 ;
RECT 1493.305 141.355 1493.475 141.525 ;
RECT 1493.765 141.355 1493.935 141.525 ;
RECT 5.665 135.915 5.835 136.085 ;
RECT 6.125 135.915 6.295 136.085 ;
RECT 6.585 135.915 6.700 136.085 ;
RECT 1493.305 135.915 1493.475 136.085 ;
RECT 1493.765 135.915 1493.935 136.085 ;
RECT 5.665 130.475 5.835 130.645 ;
RECT 6.125 130.475 6.295 130.645 ;
RECT 6.585 130.475 6.700 130.645 ;
RECT 1493.305 130.475 1493.475 130.645 ;
RECT 1493.765 130.475 1493.935 130.645 ;
RECT 5.665 125.035 5.835 125.205 ;
RECT 6.125 125.035 6.295 125.205 ;
RECT 6.585 125.035 6.700 125.205 ;
RECT 1493.305 125.035 1493.475 125.205 ;
RECT 1493.765 125.035 1493.935 125.205 ;
RECT 5.665 119.595 5.835 119.765 ;
RECT 6.125 119.595 6.295 119.765 ;
RECT 6.585 119.595 6.700 119.765 ;
RECT 1493.305 119.595 1493.475 119.765 ;
RECT 1493.765 119.595 1493.935 119.765 ;
RECT 5.665 114.155 5.835 114.325 ;
RECT 6.125 114.155 6.295 114.325 ;
RECT 6.585 114.155 6.700 114.325 ;
RECT 1493.305 114.155 1493.475 114.325 ;
RECT 1493.765 114.155 1493.935 114.325 ;
RECT 5.665 108.715 5.835 108.885 ;
RECT 6.125 108.715 6.295 108.885 ;
RECT 6.585 108.715 6.700 108.885 ;
RECT 1493.305 108.715 1493.475 108.885 ;
RECT 1493.765 108.715 1493.935 108.885 ;
RECT 5.665 103.275 5.835 103.445 ;
RECT 6.125 103.275 6.295 103.445 ;
RECT 6.585 103.275 6.700 103.445 ;
RECT 1493.305 103.275 1493.475 103.445 ;
RECT 1493.765 103.275 1493.935 103.445 ;
RECT 5.665 97.835 5.835 98.005 ;
RECT 6.125 97.835 6.295 98.005 ;
RECT 6.585 97.835 6.700 98.005 ;
RECT 1493.305 97.835 1493.475 98.005 ;
RECT 1493.765 97.835 1493.935 98.005 ;
RECT 5.665 92.395 5.835 92.565 ;
RECT 6.125 92.395 6.295 92.565 ;
RECT 6.585 92.395 6.700 92.565 ;
RECT 1493.305 92.395 1493.475 92.565 ;
RECT 1493.765 92.395 1493.935 92.565 ;
RECT 5.665 86.955 5.835 87.125 ;
RECT 6.125 86.955 6.295 87.125 ;
RECT 6.585 86.955 6.700 87.125 ;
RECT 1493.305 86.955 1493.475 87.125 ;
RECT 1493.765 86.955 1493.935 87.125 ;
RECT 5.665 81.515 5.835 81.685 ;
RECT 6.125 81.515 6.295 81.685 ;
RECT 6.585 81.515 6.700 81.685 ;
RECT 1493.305 81.515 1493.475 81.685 ;
RECT 1493.765 81.515 1493.935 81.685 ;
RECT 5.665 76.075 5.835 76.245 ;
RECT 6.125 76.075 6.295 76.245 ;
RECT 6.585 76.075 6.700 76.245 ;
RECT 1493.305 76.075 1493.475 76.245 ;
RECT 1493.765 76.075 1493.935 76.245 ;
RECT 5.665 70.635 5.835 70.805 ;
RECT 6.125 70.635 6.295 70.805 ;
RECT 6.585 70.635 6.700 70.805 ;
RECT 1493.305 70.635 1493.475 70.805 ;
RECT 1493.765 70.635 1493.935 70.805 ;
RECT 5.665 65.195 5.835 65.365 ;
RECT 6.125 65.195 6.295 65.365 ;
RECT 6.585 65.195 6.700 65.365 ;
RECT 1493.305 65.195 1493.475 65.365 ;
RECT 1493.765 65.195 1493.935 65.365 ;
RECT 5.665 59.755 5.835 59.925 ;
RECT 6.125 59.755 6.295 59.925 ;
RECT 6.585 59.755 6.700 59.925 ;
RECT 1493.305 59.755 1493.475 59.925 ;
RECT 1493.765 59.755 1493.935 59.925 ;
RECT 5.665 54.315 5.835 54.485 ;
RECT 6.125 54.315 6.295 54.485 ;
RECT 6.585 54.315 6.700 54.485 ;
RECT 1493.305 54.315 1493.475 54.485 ;
RECT 1493.765 54.315 1493.935 54.485 ;
RECT 5.665 48.875 5.835 49.045 ;
RECT 6.125 48.875 6.295 49.045 ;
RECT 6.585 48.875 6.700 49.045 ;
RECT 1493.305 48.875 1493.475 49.045 ;
RECT 1493.765 48.875 1493.935 49.045 ;
RECT 5.665 43.435 5.835 43.605 ;
RECT 6.125 43.435 6.295 43.605 ;
RECT 6.585 43.435 6.700 43.605 ;
RECT 1493.305 43.435 1493.475 43.605 ;
RECT 1493.765 43.435 1493.935 43.605 ;
RECT 5.665 37.995 5.835 38.165 ;
RECT 6.125 37.995 6.295 38.165 ;
RECT 6.585 37.995 6.700 38.165 ;
RECT 1493.305 37.995 1493.475 38.165 ;
RECT 1493.765 37.995 1493.935 38.165 ;
RECT 5.665 32.555 5.835 32.725 ;
RECT 6.125 32.555 6.295 32.725 ;
RECT 6.585 32.555 6.700 32.725 ;
RECT 1493.305 32.555 1493.475 32.725 ;
RECT 1493.765 32.555 1493.935 32.725 ;
RECT 5.665 27.115 5.835 27.285 ;
RECT 6.125 27.115 6.295 27.285 ;
RECT 6.585 27.115 6.700 27.285 ;
RECT 1493.305 27.115 1493.475 27.285 ;
RECT 1493.765 27.115 1493.935 27.285 ;
RECT 5.665 21.675 5.835 21.845 ;
RECT 6.125 21.675 6.295 21.845 ;
RECT 6.585 21.675 6.700 21.845 ;
RECT 1493.305 21.675 1493.475 21.845 ;
RECT 1493.765 21.675 1493.935 21.845 ;
RECT 5.665 16.235 5.835 16.405 ;
RECT 6.125 16.235 6.295 16.405 ;
RECT 6.585 16.235 6.700 16.405 ;
RECT 1493.305 16.235 1493.475 16.405 ;
RECT 1493.765 16.235 1493.935 16.405 ;
RECT 5.665 10.795 5.835 10.965 ;
RECT 6.125 10.795 6.295 10.965 ;
RECT 6.585 10.795 6.700 10.965 ;
RECT 1493.305 10.795 1493.475 10.965 ;
RECT 1493.765 10.795 1493.935 10.965 ;
LAYER met1 ;
RECT 5.520 1484.880 6.700 1485.360 ;
RECT 1493.300 1484.880 1494.080 1485.360 ;
RECT 5.520 1479.440 6.700 1479.920 ;
RECT 1493.300 1479.440 1494.080 1479.920 ;
RECT 5.520 1474.000 6.700 1474.480 ;
RECT 1493.300 1474.000 1494.080 1474.480 ;
RECT 5.520 1468.560 6.700 1469.040 ;
RECT 1493.300 1468.560 1494.080 1469.040 ;
RECT 5.520 1463.120 6.700 1463.600 ;
RECT 1493.300 1463.120 1494.080 1463.600 ;
RECT 5.520 1457.680 6.700 1458.160 ;
RECT 1493.300 1457.680 1494.080 1458.160 ;
RECT 5.520 1452.240 6.700 1452.720 ;
RECT 1493.300 1452.240 1494.080 1452.720 ;
RECT 5.520 1446.800 6.700 1447.280 ;
RECT 1493.300 1446.800 1494.080 1447.280 ;
RECT 5.520 1441.360 6.700 1441.840 ;
RECT 1493.300 1441.360 1494.080 1441.840 ;
RECT 5.520 1435.920 6.700 1436.400 ;
RECT 1493.300 1435.920 1494.080 1436.400 ;
RECT 5.520 1430.480 6.700 1430.960 ;
RECT 1493.300 1430.480 1494.080 1430.960 ;
RECT 5.520 1425.040 6.700 1425.520 ;
RECT 1493.300 1425.040 1494.080 1425.520 ;
RECT 5.520 1419.600 6.700 1420.080 ;
RECT 1493.300 1419.600 1494.080 1420.080 ;
RECT 5.520 1414.160 6.700 1414.640 ;
RECT 1493.300 1414.160 1494.080 1414.640 ;
RECT 5.520 1408.720 6.700 1409.200 ;
RECT 1493.300 1408.720 1494.080 1409.200 ;
RECT 5.520 1403.280 6.700 1403.760 ;
RECT 1493.300 1403.280 1494.080 1403.760 ;
RECT 5.520 1397.840 6.700 1398.320 ;
RECT 1493.300 1397.840 1494.080 1398.320 ;
RECT 5.520 1392.400 6.700 1392.880 ;
RECT 1493.300 1392.400 1494.080 1392.880 ;
RECT 5.520 1386.960 6.700 1387.440 ;
RECT 1493.300 1386.960 1494.080 1387.440 ;
RECT 5.520 1381.520 6.700 1382.000 ;
RECT 1493.300 1381.520 1494.080 1382.000 ;
RECT 5.520 1376.080 6.700 1376.560 ;
RECT 1493.300 1376.080 1494.080 1376.560 ;
RECT 5.520 1370.640 6.700 1371.120 ;
RECT 1493.300 1370.640 1494.080 1371.120 ;
RECT 5.520 1365.200 6.700 1365.680 ;
RECT 1493.300 1365.200 1494.080 1365.680 ;
RECT 5.520 1359.760 6.700 1360.240 ;
RECT 1493.300 1359.760 1494.080 1360.240 ;
RECT 5.520 1354.320 6.700 1354.800 ;
RECT 1493.300 1354.320 1494.080 1354.800 ;
RECT 5.520 1348.880 6.700 1349.360 ;
RECT 1493.300 1348.880 1494.080 1349.360 ;
RECT 5.520 1343.440 6.700 1343.920 ;
RECT 1493.300 1343.440 1494.080 1343.920 ;
RECT 5.520 1338.000 6.700 1338.480 ;
RECT 1493.300 1338.000 1494.080 1338.480 ;
RECT 5.520 1332.560 6.700 1333.040 ;
RECT 1493.300 1332.560 1494.080 1333.040 ;
RECT 5.520 1327.120 6.700 1327.600 ;
RECT 1493.300 1327.120 1494.080 1327.600 ;
RECT 5.520 1321.680 6.700 1322.160 ;
RECT 1493.300 1321.680 1494.080 1322.160 ;
RECT 5.520 1316.240 6.700 1316.720 ;
RECT 1493.300 1316.240 1494.080 1316.720 ;
RECT 5.520 1310.800 6.700 1311.280 ;
RECT 1493.300 1310.800 1494.080 1311.280 ;
RECT 5.520 1305.360 6.700 1305.840 ;
RECT 1493.300 1305.360 1494.080 1305.840 ;
RECT 5.520 1299.920 6.700 1300.400 ;
RECT 1493.300 1299.920 1494.080 1300.400 ;
RECT 5.520 1294.480 6.700 1294.960 ;
RECT 1493.300 1294.480 1494.080 1294.960 ;
RECT 5.520 1289.040 6.700 1289.520 ;
RECT 1493.300 1289.040 1494.080 1289.520 ;
RECT 5.520 1283.600 6.700 1284.080 ;
RECT 1493.300 1283.600 1494.080 1284.080 ;
RECT 5.520 1278.160 6.700 1278.640 ;
RECT 1493.300 1278.160 1494.080 1278.640 ;
RECT 5.520 1272.720 6.700 1273.200 ;
RECT 1493.300 1272.720 1494.080 1273.200 ;
RECT 5.520 1267.280 6.700 1267.760 ;
RECT 1493.300 1267.280 1494.080 1267.760 ;
RECT 5.520 1261.840 6.700 1262.320 ;
RECT 1493.300 1261.840 1494.080 1262.320 ;
RECT 5.520 1256.400 6.700 1256.880 ;
RECT 1493.300 1256.400 1494.080 1256.880 ;
RECT 5.520 1250.960 6.700 1251.440 ;
RECT 1493.300 1250.960 1494.080 1251.440 ;
RECT 5.520 1245.520 6.700 1246.000 ;
RECT 1493.300 1245.520 1494.080 1246.000 ;
RECT 5.520 1240.080 6.700 1240.560 ;
RECT 1493.300 1240.080 1494.080 1240.560 ;
RECT 5.520 1234.640 6.700 1235.120 ;
RECT 1493.300 1234.640 1494.080 1235.120 ;
RECT 5.520 1229.200 6.700 1229.680 ;
RECT 1493.300 1229.200 1494.080 1229.680 ;
RECT 5.520 1223.760 6.700 1224.240 ;
RECT 1493.300 1223.760 1494.080 1224.240 ;
RECT 5.520 1218.320 6.700 1218.800 ;
RECT 1493.300 1218.320 1494.080 1218.800 ;
RECT 5.520 1212.880 6.700 1213.360 ;
RECT 1493.300 1212.880 1494.080 1213.360 ;
RECT 5.520 1207.440 6.700 1207.920 ;
RECT 1493.300 1207.440 1494.080 1207.920 ;
RECT 5.520 1202.000 6.700 1202.480 ;
RECT 1493.300 1202.000 1494.080 1202.480 ;
RECT 5.520 1196.560 6.700 1197.040 ;
RECT 1493.300 1196.560 1494.080 1197.040 ;
RECT 5.520 1191.120 6.700 1191.600 ;
RECT 1493.300 1191.120 1494.080 1191.600 ;
RECT 5.520 1185.680 6.700 1186.160 ;
RECT 1493.300 1185.680 1494.080 1186.160 ;
RECT 5.520 1180.240 6.700 1180.720 ;
RECT 1493.300 1180.240 1494.080 1180.720 ;
RECT 5.520 1174.800 6.700 1175.280 ;
RECT 1493.300 1174.800 1494.080 1175.280 ;
RECT 5.520 1169.360 6.700 1169.840 ;
RECT 1493.300 1169.360 1494.080 1169.840 ;
RECT 5.520 1163.920 6.700 1164.400 ;
RECT 1493.300 1163.920 1494.080 1164.400 ;
RECT 5.520 1158.480 6.700 1158.960 ;
RECT 1493.300 1158.480 1494.080 1158.960 ;
RECT 5.520 1153.040 6.700 1153.520 ;
RECT 1493.300 1153.040 1494.080 1153.520 ;
RECT 5.520 1147.600 6.700 1148.080 ;
RECT 1493.300 1147.600 1494.080 1148.080 ;
RECT 5.520 1142.160 6.700 1142.640 ;
RECT 1493.300 1142.160 1494.080 1142.640 ;
RECT 5.520 1136.720 6.700 1137.200 ;
RECT 1493.300 1136.720 1494.080 1137.200 ;
RECT 5.520 1131.280 6.700 1131.760 ;
RECT 1493.300 1131.280 1494.080 1131.760 ;
RECT 5.520 1125.840 6.700 1126.320 ;
RECT 1493.300 1125.840 1494.080 1126.320 ;
RECT 5.520 1120.400 6.700 1120.880 ;
RECT 1493.300 1120.400 1494.080 1120.880 ;
RECT 5.520 1114.960 6.700 1115.440 ;
RECT 1493.300 1114.960 1494.080 1115.440 ;
RECT 5.520 1109.520 6.700 1110.000 ;
RECT 1493.300 1109.520 1494.080 1110.000 ;
RECT 5.520 1104.080 6.700 1104.560 ;
RECT 1493.300 1104.080 1494.080 1104.560 ;
RECT 5.520 1098.640 6.700 1099.120 ;
RECT 1493.300 1098.640 1494.080 1099.120 ;
RECT 5.520 1093.200 6.700 1093.680 ;
RECT 1493.300 1093.200 1494.080 1093.680 ;
RECT 5.520 1087.760 6.700 1088.240 ;
RECT 1493.300 1087.760 1494.080 1088.240 ;
RECT 5.520 1082.320 6.700 1082.800 ;
RECT 1493.300 1082.320 1494.080 1082.800 ;
RECT 5.520 1076.880 6.700 1077.360 ;
RECT 1493.300 1076.880 1494.080 1077.360 ;
RECT 5.520 1071.440 6.700 1071.920 ;
RECT 1493.300 1071.440 1494.080 1071.920 ;
RECT 5.520 1066.000 6.700 1066.480 ;
RECT 1493.300 1066.000 1494.080 1066.480 ;
RECT 5.520 1060.560 6.700 1061.040 ;
RECT 1493.300 1060.560 1494.080 1061.040 ;
RECT 5.520 1055.120 6.700 1055.600 ;
RECT 1493.300 1055.120 1494.080 1055.600 ;
RECT 5.520 1049.680 6.700 1050.160 ;
RECT 1493.300 1049.680 1494.080 1050.160 ;
RECT 5.520 1044.240 6.700 1044.720 ;
RECT 1493.300 1044.240 1494.080 1044.720 ;
RECT 5.520 1038.800 6.700 1039.280 ;
RECT 1493.300 1038.800 1494.080 1039.280 ;
RECT 5.520 1033.360 6.700 1033.840 ;
RECT 1493.300 1033.360 1494.080 1033.840 ;
RECT 5.520 1027.920 6.700 1028.400 ;
RECT 1493.300 1027.920 1494.080 1028.400 ;
RECT 5.520 1022.480 6.700 1022.960 ;
RECT 1493.300 1022.480 1494.080 1022.960 ;
RECT 5.520 1017.040 6.700 1017.520 ;
RECT 1493.300 1017.040 1494.080 1017.520 ;
RECT 5.520 1011.600 6.700 1012.080 ;
RECT 1493.300 1011.600 1494.080 1012.080 ;
RECT 5.520 1006.160 6.700 1006.640 ;
RECT 1493.300 1006.160 1494.080 1006.640 ;
RECT 5.520 1000.720 6.700 1001.200 ;
RECT 1493.300 1000.720 1494.080 1001.200 ;
RECT 5.520 995.280 6.700 995.760 ;
RECT 1493.300 995.280 1494.080 995.760 ;
RECT 5.520 989.840 6.700 990.320 ;
RECT 1493.300 989.840 1494.080 990.320 ;
RECT 5.520 984.400 6.700 984.880 ;
RECT 1493.300 984.400 1494.080 984.880 ;
RECT 5.520 978.960 6.700 979.440 ;
RECT 1493.300 978.960 1494.080 979.440 ;
RECT 5.520 973.520 6.700 974.000 ;
RECT 1493.300 973.520 1494.080 974.000 ;
RECT 5.520 968.080 6.700 968.560 ;
RECT 1493.300 968.080 1494.080 968.560 ;
RECT 5.520 962.640 6.700 963.120 ;
RECT 1493.300 962.640 1494.080 963.120 ;
RECT 5.520 957.200 6.700 957.680 ;
RECT 1493.300 957.200 1494.080 957.680 ;
RECT 5.520 951.760 6.700 952.240 ;
RECT 1493.300 951.760 1494.080 952.240 ;
RECT 5.520 946.320 6.700 946.800 ;
RECT 1493.300 946.320 1494.080 946.800 ;
RECT 5.520 940.880 6.700 941.360 ;
RECT 1493.300 940.880 1494.080 941.360 ;
RECT 5.520 935.440 6.700 935.920 ;
RECT 1493.300 935.440 1494.080 935.920 ;
RECT 5.520 930.000 6.700 930.480 ;
RECT 1493.300 930.000 1494.080 930.480 ;
RECT 5.520 924.560 6.700 925.040 ;
RECT 1493.300 924.560 1494.080 925.040 ;
RECT 5.520 919.120 6.700 919.600 ;
RECT 1493.300 919.120 1494.080 919.600 ;
RECT 5.520 913.680 6.700 914.160 ;
RECT 1493.300 913.680 1494.080 914.160 ;
RECT 5.520 908.240 6.700 908.720 ;
RECT 1493.300 908.240 1494.080 908.720 ;
RECT 5.520 902.800 6.700 903.280 ;
RECT 1493.300 902.800 1494.080 903.280 ;
RECT 5.520 897.360 6.700 897.840 ;
RECT 1493.300 897.360 1494.080 897.840 ;
RECT 5.520 891.920 6.700 892.400 ;
RECT 1493.300 891.920 1494.080 892.400 ;
RECT 5.520 886.480 6.700 886.960 ;
RECT 1493.300 886.480 1494.080 886.960 ;
RECT 5.520 881.040 6.700 881.520 ;
RECT 1493.300 881.040 1494.080 881.520 ;
RECT 5.520 875.600 6.700 876.080 ;
RECT 1493.300 875.600 1494.080 876.080 ;
RECT 5.520 870.160 6.700 870.640 ;
RECT 1493.300 870.160 1494.080 870.640 ;
RECT 5.520 864.720 6.700 865.200 ;
RECT 1493.300 864.720 1494.080 865.200 ;
RECT 5.520 859.280 6.700 859.760 ;
RECT 1493.300 859.280 1494.080 859.760 ;
RECT 5.520 853.840 6.700 854.320 ;
RECT 1493.300 853.840 1494.080 854.320 ;
RECT 5.520 848.400 6.700 848.880 ;
RECT 1493.300 848.400 1494.080 848.880 ;
RECT 5.520 842.960 6.700 843.440 ;
RECT 1493.300 842.960 1494.080 843.440 ;
RECT 5.520 837.520 6.700 838.000 ;
RECT 1493.300 837.520 1494.080 838.000 ;
RECT 5.520 832.080 6.700 832.560 ;
RECT 1493.300 832.080 1494.080 832.560 ;
RECT 5.520 826.640 6.700 827.120 ;
RECT 1493.300 826.640 1494.080 827.120 ;
RECT 5.520 821.200 6.700 821.680 ;
RECT 1493.300 821.200 1494.080 821.680 ;
RECT 5.520 815.760 6.700 816.240 ;
RECT 1493.300 815.760 1494.080 816.240 ;
RECT 5.520 810.320 6.700 810.800 ;
RECT 1493.300 810.320 1494.080 810.800 ;
RECT 5.520 804.880 6.700 805.360 ;
RECT 1493.300 804.880 1494.080 805.360 ;
RECT 5.520 799.440 6.700 799.920 ;
RECT 1493.300 799.440 1494.080 799.920 ;
RECT 5.520 794.000 6.700 794.480 ;
RECT 1493.300 794.000 1494.080 794.480 ;
RECT 5.520 788.560 6.700 789.040 ;
RECT 1493.300 788.560 1494.080 789.040 ;
RECT 5.520 783.120 6.700 783.600 ;
RECT 1493.300 783.120 1494.080 783.600 ;
RECT 5.520 777.680 6.700 778.160 ;
RECT 1493.300 777.680 1494.080 778.160 ;
RECT 5.520 772.240 6.700 772.720 ;
RECT 1493.300 772.240 1494.080 772.720 ;
RECT 5.520 766.800 6.700 767.280 ;
RECT 1493.300 766.800 1494.080 767.280 ;
RECT 5.520 761.360 6.700 761.840 ;
RECT 1493.300 761.360 1494.080 761.840 ;
RECT 5.520 755.920 6.700 756.400 ;
RECT 1493.300 755.920 1494.080 756.400 ;
RECT 5.520 750.480 6.700 750.960 ;
RECT 1493.300 750.480 1494.080 750.960 ;
RECT 5.520 745.040 6.700 745.520 ;
RECT 1493.300 745.040 1494.080 745.520 ;
RECT 5.520 739.600 6.700 740.080 ;
RECT 1493.300 739.600 1494.080 740.080 ;
RECT 5.520 734.160 6.700 734.640 ;
RECT 1493.300 734.160 1494.080 734.640 ;
RECT 5.520 728.720 6.700 729.200 ;
RECT 1493.300 728.720 1494.080 729.200 ;
RECT 5.520 723.280 6.700 723.760 ;
RECT 1493.300 723.280 1494.080 723.760 ;
RECT 5.520 717.840 6.700 718.320 ;
RECT 1493.300 717.840 1494.080 718.320 ;
RECT 5.520 712.400 6.700 712.880 ;
RECT 1493.300 712.400 1494.080 712.880 ;
RECT 5.520 706.960 6.700 707.440 ;
RECT 1493.300 706.960 1494.080 707.440 ;
RECT 5.520 701.520 6.700 702.000 ;
RECT 1493.300 701.520 1494.080 702.000 ;
RECT 5.520 696.080 6.700 696.560 ;
RECT 1493.300 696.080 1494.080 696.560 ;
RECT 5.520 690.640 6.700 691.120 ;
RECT 1493.300 690.640 1494.080 691.120 ;
RECT 5.520 685.200 6.700 685.680 ;
RECT 1493.300 685.200 1494.080 685.680 ;
RECT 5.520 679.760 6.700 680.240 ;
RECT 1493.300 679.760 1494.080 680.240 ;
RECT 5.520 674.320 6.700 674.800 ;
RECT 1493.300 674.320 1494.080 674.800 ;
RECT 5.520 668.880 6.700 669.360 ;
RECT 1493.300 668.880 1494.080 669.360 ;
RECT 5.520 663.440 6.700 663.920 ;
RECT 1493.300 663.440 1494.080 663.920 ;
RECT 5.520 658.000 6.700 658.480 ;
RECT 1493.300 658.000 1494.080 658.480 ;
RECT 5.520 652.560 6.700 653.040 ;
RECT 1493.300 652.560 1494.080 653.040 ;
RECT 5.520 647.120 6.700 647.600 ;
RECT 1493.300 647.120 1494.080 647.600 ;
RECT 5.520 641.680 6.700 642.160 ;
RECT 1493.300 641.680 1494.080 642.160 ;
RECT 5.520 636.240 6.700 636.720 ;
RECT 1493.300 636.240 1494.080 636.720 ;
RECT 5.520 630.800 6.700 631.280 ;
RECT 1493.300 630.800 1494.080 631.280 ;
RECT 5.520 625.360 6.700 625.840 ;
RECT 1493.300 625.360 1494.080 625.840 ;
RECT 5.520 619.920 6.700 620.400 ;
RECT 1493.300 619.920 1494.080 620.400 ;
RECT 5.520 614.480 6.700 614.960 ;
RECT 1493.300 614.480 1494.080 614.960 ;
RECT 5.520 609.040 6.700 609.520 ;
RECT 1493.300 609.040 1494.080 609.520 ;
RECT 5.520 603.600 6.700 604.080 ;
RECT 1493.300 603.600 1494.080 604.080 ;
RECT 5.520 598.160 6.700 598.640 ;
RECT 1493.300 598.160 1494.080 598.640 ;
RECT 5.520 592.720 6.700 593.200 ;
RECT 1493.300 592.720 1494.080 593.200 ;
RECT 5.520 587.280 6.700 587.760 ;
RECT 1493.300 587.280 1494.080 587.760 ;
RECT 5.520 581.840 6.700 582.320 ;
RECT 1493.300 581.840 1494.080 582.320 ;
RECT 5.520 576.400 6.700 576.880 ;
RECT 1493.300 576.400 1494.080 576.880 ;
RECT 5.520 570.960 6.700 571.440 ;
RECT 1493.300 570.960 1494.080 571.440 ;
RECT 5.520 565.520 6.700 566.000 ;
RECT 1493.300 565.520 1494.080 566.000 ;
RECT 5.520 560.080 6.700 560.560 ;
RECT 1493.300 560.080 1494.080 560.560 ;
RECT 5.520 554.640 6.700 555.120 ;
RECT 1493.300 554.640 1494.080 555.120 ;
RECT 5.520 549.200 6.700 549.680 ;
RECT 1493.300 549.200 1494.080 549.680 ;
RECT 5.520 543.760 6.700 544.240 ;
RECT 1493.300 543.760 1494.080 544.240 ;
RECT 5.520 538.320 6.700 538.800 ;
RECT 1493.300 538.320 1494.080 538.800 ;
RECT 5.520 532.880 6.700 533.360 ;
RECT 1493.300 532.880 1494.080 533.360 ;
RECT 5.520 527.440 6.700 527.920 ;
RECT 1493.300 527.440 1494.080 527.920 ;
RECT 5.520 522.000 6.700 522.480 ;
RECT 1493.300 522.000 1494.080 522.480 ;
RECT 5.520 516.560 6.700 517.040 ;
RECT 1493.300 516.560 1494.080 517.040 ;
RECT 5.520 511.120 6.700 511.600 ;
RECT 1493.300 511.120 1494.080 511.600 ;
RECT 5.520 505.680 6.700 506.160 ;
RECT 1493.300 505.680 1494.080 506.160 ;
RECT 5.520 500.240 6.700 500.720 ;
RECT 1493.300 500.240 1494.080 500.720 ;
RECT 5.520 494.800 6.700 495.280 ;
RECT 1493.300 494.800 1494.080 495.280 ;
RECT 5.520 489.360 6.700 489.840 ;
RECT 1493.300 489.360 1494.080 489.840 ;
RECT 5.520 483.920 6.700 484.400 ;
RECT 1493.300 483.920 1494.080 484.400 ;
RECT 5.520 478.480 6.700 478.960 ;
RECT 1493.300 478.480 1494.080 478.960 ;
RECT 5.520 473.040 6.700 473.520 ;
RECT 1493.300 473.040 1494.080 473.520 ;
RECT 5.520 467.600 6.700 468.080 ;
RECT 1493.300 467.600 1494.080 468.080 ;
RECT 5.520 462.160 6.700 462.640 ;
RECT 1493.300 462.160 1494.080 462.640 ;
RECT 5.520 456.720 6.700 457.200 ;
RECT 1493.300 456.720 1494.080 457.200 ;
RECT 5.520 451.280 6.700 451.760 ;
RECT 1493.300 451.280 1494.080 451.760 ;
RECT 5.520 445.840 6.700 446.320 ;
RECT 1493.300 445.840 1494.080 446.320 ;
RECT 5.520 440.400 6.700 440.880 ;
RECT 1493.300 440.400 1494.080 440.880 ;
RECT 5.520 434.960 6.700 435.440 ;
RECT 1493.300 434.960 1494.080 435.440 ;
RECT 5.520 429.520 6.700 430.000 ;
RECT 1493.300 429.520 1494.080 430.000 ;
RECT 5.520 424.080 6.700 424.560 ;
RECT 1493.300 424.080 1494.080 424.560 ;
RECT 5.520 418.640 6.700 419.120 ;
RECT 1493.300 418.640 1494.080 419.120 ;
RECT 5.520 413.200 6.700 413.680 ;
RECT 1493.300 413.200 1494.080 413.680 ;
RECT 5.520 407.760 6.700 408.240 ;
RECT 1493.300 407.760 1494.080 408.240 ;
RECT 5.520 402.320 6.700 402.800 ;
RECT 1493.300 402.320 1494.080 402.800 ;
RECT 5.520 396.880 6.700 397.360 ;
RECT 1493.300 396.880 1494.080 397.360 ;
RECT 5.520 391.440 6.700 391.920 ;
RECT 1493.300 391.440 1494.080 391.920 ;
RECT 5.520 386.000 6.700 386.480 ;
RECT 1493.300 386.000 1494.080 386.480 ;
RECT 5.520 380.560 6.700 381.040 ;
RECT 1493.300 380.560 1494.080 381.040 ;
RECT 5.520 375.120 6.700 375.600 ;
RECT 1493.300 375.120 1494.080 375.600 ;
RECT 5.520 369.680 6.700 370.160 ;
RECT 1493.300 369.680 1494.080 370.160 ;
RECT 5.520 364.240 6.700 364.720 ;
RECT 1493.300 364.240 1494.080 364.720 ;
RECT 5.520 358.800 6.700 359.280 ;
RECT 1493.300 358.800 1494.080 359.280 ;
RECT 5.520 353.360 6.700 353.840 ;
RECT 1493.300 353.360 1494.080 353.840 ;
RECT 5.520 347.920 6.700 348.400 ;
RECT 1493.300 347.920 1494.080 348.400 ;
RECT 5.520 342.480 6.700 342.960 ;
RECT 1493.300 342.480 1494.080 342.960 ;
RECT 5.520 337.040 6.700 337.520 ;
RECT 1493.300 337.040 1494.080 337.520 ;
RECT 5.520 331.600 6.700 332.080 ;
RECT 1493.300 331.600 1494.080 332.080 ;
RECT 5.520 326.160 6.700 326.640 ;
RECT 1493.300 326.160 1494.080 326.640 ;
RECT 5.520 320.720 6.700 321.200 ;
RECT 1493.300 320.720 1494.080 321.200 ;
RECT 5.520 315.280 6.700 315.760 ;
RECT 1493.300 315.280 1494.080 315.760 ;
RECT 5.520 309.840 6.700 310.320 ;
RECT 1493.300 309.840 1494.080 310.320 ;
RECT 5.520 304.400 6.700 304.880 ;
RECT 1493.300 304.400 1494.080 304.880 ;
RECT 5.520 298.960 6.700 299.440 ;
RECT 1493.300 298.960 1494.080 299.440 ;
RECT 5.520 293.520 6.700 294.000 ;
RECT 1493.300 293.520 1494.080 294.000 ;
RECT 5.520 288.080 6.700 288.560 ;
RECT 1493.300 288.080 1494.080 288.560 ;
RECT 5.520 282.640 6.700 283.120 ;
RECT 1493.300 282.640 1494.080 283.120 ;
RECT 5.520 277.200 6.700 277.680 ;
RECT 1493.300 277.200 1494.080 277.680 ;
RECT 5.520 271.760 6.700 272.240 ;
RECT 1493.300 271.760 1494.080 272.240 ;
RECT 5.520 266.320 6.700 266.800 ;
RECT 1493.300 266.320 1494.080 266.800 ;
RECT 5.520 260.880 6.700 261.360 ;
RECT 1493.300 260.880 1494.080 261.360 ;
RECT 5.520 255.440 6.700 255.920 ;
RECT 1493.300 255.440 1494.080 255.920 ;
RECT 5.520 250.000 6.700 250.480 ;
RECT 1493.300 250.000 1494.080 250.480 ;
RECT 5.520 244.560 6.700 245.040 ;
RECT 1493.300 244.560 1494.080 245.040 ;
RECT 5.520 239.120 6.700 239.600 ;
RECT 1493.300 239.120 1494.080 239.600 ;
RECT 5.520 233.680 6.700 234.160 ;
RECT 1493.300 233.680 1494.080 234.160 ;
RECT 5.520 228.240 6.700 228.720 ;
RECT 1493.300 228.240 1494.080 228.720 ;
RECT 5.520 222.800 6.700 223.280 ;
RECT 1493.300 222.800 1494.080 223.280 ;
RECT 5.520 217.360 6.700 217.840 ;
RECT 1493.300 217.360 1494.080 217.840 ;
RECT 5.520 211.920 6.700 212.400 ;
RECT 1493.300 211.920 1494.080 212.400 ;
RECT 5.520 206.480 6.700 206.960 ;
RECT 1493.300 206.480 1494.080 206.960 ;
RECT 5.520 201.040 6.700 201.520 ;
RECT 1493.300 201.040 1494.080 201.520 ;
RECT 5.520 195.600 6.700 196.080 ;
RECT 1493.300 195.600 1494.080 196.080 ;
RECT 5.520 190.160 6.700 190.640 ;
RECT 1493.300 190.160 1494.080 190.640 ;
RECT 5.520 184.720 6.700 185.200 ;
RECT 1493.300 184.720 1494.080 185.200 ;
RECT 5.520 179.280 6.700 179.760 ;
RECT 1493.300 179.280 1494.080 179.760 ;
RECT 5.520 173.840 6.700 174.320 ;
RECT 1493.300 173.840 1494.080 174.320 ;
RECT 5.520 168.400 6.700 168.880 ;
RECT 1493.300 168.400 1494.080 168.880 ;
RECT 5.520 162.960 6.700 163.440 ;
RECT 1493.300 162.960 1494.080 163.440 ;
RECT 5.520 157.520 6.700 158.000 ;
RECT 1493.300 157.520 1494.080 158.000 ;
RECT 5.520 152.080 6.700 152.560 ;
RECT 1493.300 152.080 1494.080 152.560 ;
RECT 5.520 146.640 6.700 147.120 ;
RECT 1493.300 146.640 1494.080 147.120 ;
RECT 5.520 141.200 6.700 141.680 ;
RECT 1493.300 141.200 1494.080 141.680 ;
RECT 5.520 135.760 6.700 136.240 ;
RECT 1493.300 135.760 1494.080 136.240 ;
RECT 5.520 130.320 6.700 130.800 ;
RECT 1493.300 130.320 1494.080 130.800 ;
RECT 5.520 124.880 6.700 125.360 ;
RECT 1493.300 124.880 1494.080 125.360 ;
RECT 5.520 119.440 6.700 119.920 ;
RECT 1493.300 119.440 1494.080 119.920 ;
RECT 5.520 114.000 6.700 114.480 ;
RECT 1493.300 114.000 1494.080 114.480 ;
RECT 5.520 108.560 6.700 109.040 ;
RECT 1493.300 108.560 1494.080 109.040 ;
RECT 5.520 103.120 6.700 103.600 ;
RECT 1493.300 103.120 1494.080 103.600 ;
RECT 5.520 97.680 6.700 98.160 ;
RECT 1493.300 97.680 1494.080 98.160 ;
RECT 5.520 92.240 6.700 92.720 ;
RECT 1493.300 92.240 1494.080 92.720 ;
RECT 5.520 86.800 6.700 87.280 ;
RECT 1493.300 86.800 1494.080 87.280 ;
RECT 5.520 81.360 6.700 81.840 ;
RECT 1493.300 81.360 1494.080 81.840 ;
RECT 5.520 75.920 6.700 76.400 ;
RECT 1493.300 75.920 1494.080 76.400 ;
RECT 5.520 70.480 6.700 70.960 ;
RECT 1493.300 70.480 1494.080 70.960 ;
RECT 5.520 65.040 6.700 65.520 ;
RECT 1493.300 65.040 1494.080 65.520 ;
RECT 5.520 59.600 6.700 60.080 ;
RECT 1493.300 59.600 1494.080 60.080 ;
RECT 5.520 54.160 6.700 54.640 ;
RECT 1493.300 54.160 1494.080 54.640 ;
RECT 5.520 48.720 6.700 49.200 ;
RECT 1493.300 48.720 1494.080 49.200 ;
RECT 5.520 43.280 6.700 43.760 ;
RECT 1493.300 43.280 1494.080 43.760 ;
RECT 5.520 37.840 6.700 38.320 ;
RECT 1493.300 37.840 1494.080 38.320 ;
RECT 5.520 32.400 6.700 32.880 ;
RECT 1493.300 32.400 1494.080 32.880 ;
RECT 5.520 26.960 6.700 27.440 ;
RECT 1493.300 26.960 1494.080 27.440 ;
RECT 5.520 21.520 6.700 22.000 ;
RECT 1493.300 21.520 1494.080 22.000 ;
RECT 5.520 16.080 6.700 16.560 ;
RECT 1493.300 16.080 1494.080 16.560 ;
RECT 5.520 10.640 6.700 11.120 ;
RECT 1493.300 10.640 1494.080 11.120 ;
LAYER met4 ;
RECT 24.240 1493.300 25.840 1494.040 ;
RECT 177.840 1493.300 179.440 1494.040 ;
RECT 331.440 1493.300 333.040 1494.040 ;
RECT 485.040 1493.300 486.640 1494.040 ;
RECT 638.640 1493.300 640.240 1494.040 ;
RECT 792.240 1493.300 793.840 1494.040 ;
RECT 945.840 1493.300 947.440 1494.040 ;
RECT 1099.440 1493.300 1101.040 1494.040 ;
RECT 1253.040 1493.300 1254.640 1494.040 ;
RECT 1406.640 1493.300 1408.240 1494.040 ;
RECT 4.020 9.380 6.700 1489.340 ;
RECT 1493.300 9.380 1495.580 1489.340 ;
RECT 24.240 4.680 25.840 6.700 ;
RECT 177.840 4.680 179.440 6.700 ;
RECT 331.440 4.680 333.040 6.700 ;
RECT 485.040 4.680 486.640 6.700 ;
RECT 638.640 4.680 640.240 6.700 ;
RECT 792.240 4.680 793.840 6.700 ;
RECT 945.840 4.680 947.440 6.700 ;
RECT 1099.440 4.680 1101.040 6.700 ;
RECT 1253.040 4.680 1254.640 6.700 ;
RECT 1406.640 4.680 1408.240 6.700 ;
LAYER via4 ;
RECT 4.930 1488.050 6.110 1489.230 ;
RECT 4.930 1486.450 6.110 1487.630 ;
RECT 4.930 1408.760 6.110 1409.940 ;
RECT 4.930 1332.170 6.110 1333.350 ;
RECT 4.930 1255.580 6.110 1256.760 ;
RECT 4.930 1178.990 6.110 1180.170 ;
RECT 4.930 1102.400 6.110 1103.580 ;
RECT 4.930 1025.810 6.110 1026.990 ;
RECT 4.930 949.220 6.110 950.400 ;
RECT 4.930 872.630 6.110 873.810 ;
RECT 4.930 796.040 6.110 797.220 ;
RECT 4.930 719.450 6.110 720.630 ;
RECT 4.930 642.860 6.110 644.040 ;
RECT 4.930 566.270 6.110 567.450 ;
RECT 4.930 489.680 6.110 490.860 ;
RECT 4.930 413.090 6.110 414.270 ;
RECT 4.930 336.500 6.110 337.680 ;
RECT 4.930 259.910 6.110 261.090 ;
RECT 4.930 183.320 6.110 184.500 ;
RECT 4.930 106.730 6.110 107.910 ;
RECT 4.930 30.140 6.110 31.320 ;
RECT 4.930 11.090 6.110 12.270 ;
RECT 4.930 9.490 6.110 10.670 ;
RECT 1493.490 1488.050 1494.670 1489.230 ;
RECT 1493.490 1486.450 1494.670 1487.630 ;
RECT 1493.490 1408.760 1494.670 1409.940 ;
RECT 1493.490 1332.170 1494.670 1333.350 ;
RECT 1493.490 1255.580 1494.670 1256.760 ;
RECT 1493.490 1178.990 1494.670 1180.170 ;
RECT 1493.490 1102.400 1494.670 1103.580 ;
RECT 1493.490 1025.810 1494.670 1026.990 ;
RECT 1493.490 949.220 1494.670 950.400 ;
RECT 1493.490 872.630 1494.670 873.810 ;
RECT 1493.490 796.040 1494.670 797.220 ;
RECT 1493.490 719.450 1494.670 720.630 ;
RECT 1493.490 642.860 1494.670 644.040 ;
RECT 1493.490 566.270 1494.670 567.450 ;
RECT 1493.490 489.680 1494.670 490.860 ;
RECT 1493.490 413.090 1494.670 414.270 ;
RECT 1493.490 336.500 1494.670 337.680 ;
RECT 1493.490 259.910 1494.670 261.090 ;
RECT 1493.490 183.320 1494.670 184.500 ;
RECT 1493.490 106.730 1494.670 107.910 ;
RECT 1493.490 30.140 1494.670 31.320 ;
RECT 1493.490 11.090 1494.670 12.270 ;
RECT 1493.490 9.490 1494.670 10.670 ;
LAYER met5 ;
RECT 4.020 1486.330 6.700 1489.350 ;
RECT 1493.300 1486.330 1495.580 1489.350 ;
RECT -0.680 1408.550 6.700 1410.150 ;
RECT 1493.300 1408.550 1500.280 1410.150 ;
RECT -0.680 1331.960 6.700 1333.560 ;
RECT 1493.300 1331.960 1500.280 1333.560 ;
RECT -0.680 1255.370 6.700 1256.970 ;
RECT 1493.300 1255.370 1500.280 1256.970 ;
RECT -0.680 1178.780 6.700 1180.380 ;
RECT 1493.300 1178.780 1500.280 1180.380 ;
RECT -0.680 1102.190 6.700 1103.790 ;
RECT 1493.300 1102.190 1500.280 1103.790 ;
RECT -0.680 1025.600 6.700 1027.200 ;
RECT 1493.300 1025.600 1500.280 1027.200 ;
RECT -0.680 949.010 6.700 950.610 ;
RECT 1493.300 949.010 1500.280 950.610 ;
RECT -0.680 872.420 6.700 874.020 ;
RECT 1493.300 872.420 1500.280 874.020 ;
RECT -0.680 795.830 6.700 797.430 ;
RECT 1493.300 795.830 1500.280 797.430 ;
RECT -0.680 719.240 6.700 720.840 ;
RECT 1493.300 719.240 1500.280 720.840 ;
RECT -0.680 642.650 6.700 644.250 ;
RECT 1493.300 642.650 1500.280 644.250 ;
RECT -0.680 566.060 6.700 567.660 ;
RECT 1493.300 566.060 1500.280 567.660 ;
RECT -0.680 489.470 6.700 491.070 ;
RECT 1493.300 489.470 1500.280 491.070 ;
RECT -0.680 412.880 6.700 414.480 ;
RECT 1493.300 412.880 1500.280 414.480 ;
RECT -0.680 336.290 6.700 337.890 ;
RECT 1493.300 336.290 1500.280 337.890 ;
RECT -0.680 259.700 6.700 261.300 ;
RECT 1493.300 259.700 1500.280 261.300 ;
RECT -0.680 183.110 6.700 184.710 ;
RECT 1493.300 183.110 1500.280 184.710 ;
RECT -0.680 106.520 6.700 108.120 ;
RECT 1493.300 106.520 1500.280 108.120 ;
RECT -0.680 29.930 6.700 31.530 ;
RECT 1493.300 29.930 1500.280 31.530 ;
RECT 4.020 9.370 6.700 12.390 ;
RECT 1493.300 9.370 1495.580 12.390 ;
END
END VPWR
PIN VGND
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER li1 ;
RECT 5.520 1487.755 6.700 1487.925 ;
RECT 5.605 1487.005 6.700 1487.755 ;
RECT 1493.300 1487.755 1494.080 1487.925 ;
RECT 1493.300 1487.005 1493.995 1487.755 ;
RECT 5.605 1486.465 6.125 1487.005 ;
RECT 1493.475 1486.465 1493.995 1487.005 ;
RECT 5.605 1483.235 6.125 1483.775 ;
RECT 1493.475 1483.235 1493.995 1483.775 ;
RECT 5.605 1482.485 6.700 1483.235 ;
RECT 5.520 1482.315 6.700 1482.485 ;
RECT 5.605 1481.565 6.700 1482.315 ;
RECT 1493.300 1482.485 1493.995 1483.235 ;
RECT 1493.300 1482.315 1494.080 1482.485 ;
RECT 1493.300 1481.565 1493.995 1482.315 ;
RECT 5.605 1481.025 6.125 1481.565 ;
RECT 1493.475 1481.025 1493.995 1481.565 ;
RECT 5.605 1477.795 6.125 1478.335 ;
RECT 1493.475 1477.795 1493.995 1478.335 ;
RECT 5.605 1477.045 6.700 1477.795 ;
RECT 5.520 1476.875 6.700 1477.045 ;
RECT 5.605 1476.125 6.700 1476.875 ;
RECT 1493.300 1477.045 1493.995 1477.795 ;
RECT 1493.300 1476.875 1494.080 1477.045 ;
RECT 1493.300 1476.125 1493.995 1476.875 ;
RECT 5.605 1475.585 6.125 1476.125 ;
RECT 1493.475 1475.585 1493.995 1476.125 ;
RECT 5.605 1472.355 6.125 1472.895 ;
RECT 1493.475 1472.355 1493.995 1472.895 ;
RECT 5.605 1471.605 6.700 1472.355 ;
RECT 5.520 1471.435 6.700 1471.605 ;
RECT 5.605 1470.685 6.700 1471.435 ;
RECT 1493.300 1471.605 1493.995 1472.355 ;
RECT 1493.300 1471.435 1494.080 1471.605 ;
RECT 1493.300 1470.685 1493.995 1471.435 ;
RECT 5.605 1470.145 6.125 1470.685 ;
RECT 1493.475 1470.145 1493.995 1470.685 ;
RECT 5.605 1466.915 6.125 1467.455 ;
RECT 1493.475 1466.915 1493.995 1467.455 ;
RECT 5.605 1466.165 6.700 1466.915 ;
RECT 5.520 1465.995 6.700 1466.165 ;
RECT 5.605 1465.245 6.700 1465.995 ;
RECT 1493.300 1466.165 1493.995 1466.915 ;
RECT 1493.300 1465.995 1494.080 1466.165 ;
RECT 1493.300 1465.245 1493.995 1465.995 ;
RECT 5.605 1464.705 6.125 1465.245 ;
RECT 1493.475 1464.705 1493.995 1465.245 ;
RECT 5.605 1461.475 6.125 1462.015 ;
RECT 1493.475 1461.475 1493.995 1462.015 ;
RECT 5.605 1460.725 6.700 1461.475 ;
RECT 5.520 1460.555 6.700 1460.725 ;
RECT 5.605 1459.805 6.700 1460.555 ;
RECT 1493.300 1460.725 1493.995 1461.475 ;
RECT 1493.300 1460.555 1494.080 1460.725 ;
RECT 1493.300 1459.805 1493.995 1460.555 ;
RECT 5.605 1459.265 6.125 1459.805 ;
RECT 1493.475 1459.265 1493.995 1459.805 ;
RECT 5.605 1456.035 6.125 1456.575 ;
RECT 1493.475 1456.035 1493.995 1456.575 ;
RECT 5.605 1455.285 6.700 1456.035 ;
RECT 5.520 1455.115 6.700 1455.285 ;
RECT 5.605 1454.365 6.700 1455.115 ;
RECT 1493.300 1455.285 1493.995 1456.035 ;
RECT 1493.300 1455.115 1494.080 1455.285 ;
RECT 1493.300 1454.365 1493.995 1455.115 ;
RECT 5.605 1453.825 6.125 1454.365 ;
RECT 1493.475 1453.825 1493.995 1454.365 ;
RECT 5.605 1450.595 6.125 1451.135 ;
RECT 1493.475 1450.595 1493.995 1451.135 ;
RECT 5.605 1449.845 6.700 1450.595 ;
RECT 5.520 1449.675 6.700 1449.845 ;
RECT 5.605 1448.925 6.700 1449.675 ;
RECT 1493.300 1449.845 1493.995 1450.595 ;
RECT 1493.300 1449.675 1494.080 1449.845 ;
RECT 1493.300 1448.925 1493.995 1449.675 ;
RECT 5.605 1448.385 6.125 1448.925 ;
RECT 1493.475 1448.385 1493.995 1448.925 ;
RECT 5.605 1445.155 6.125 1445.695 ;
RECT 1493.475 1445.155 1493.995 1445.695 ;
RECT 5.605 1444.405 6.700 1445.155 ;
RECT 5.520 1444.235 6.700 1444.405 ;
RECT 5.605 1443.485 6.700 1444.235 ;
RECT 1493.300 1444.405 1493.995 1445.155 ;
RECT 1493.300 1444.235 1494.080 1444.405 ;
RECT 1493.300 1443.485 1493.995 1444.235 ;
RECT 5.605 1442.945 6.125 1443.485 ;
RECT 1493.475 1442.945 1493.995 1443.485 ;
RECT 5.605 1439.715 6.125 1440.255 ;
RECT 1493.475 1439.715 1493.995 1440.255 ;
RECT 5.605 1438.965 6.700 1439.715 ;
RECT 5.520 1438.795 6.700 1438.965 ;
RECT 5.605 1438.045 6.700 1438.795 ;
RECT 1493.300 1438.965 1493.995 1439.715 ;
RECT 1493.300 1438.795 1494.080 1438.965 ;
RECT 1493.300 1438.045 1493.995 1438.795 ;
RECT 5.605 1437.505 6.125 1438.045 ;
RECT 1493.475 1437.505 1493.995 1438.045 ;
RECT 5.605 1434.275 6.125 1434.815 ;
RECT 1493.475 1434.275 1493.995 1434.815 ;
RECT 5.605 1433.525 6.700 1434.275 ;
RECT 5.520 1433.355 6.700 1433.525 ;
RECT 5.605 1432.605 6.700 1433.355 ;
RECT 1493.300 1433.525 1493.995 1434.275 ;
RECT 1493.300 1433.355 1494.080 1433.525 ;
RECT 1493.300 1432.605 1493.995 1433.355 ;
RECT 5.605 1432.065 6.125 1432.605 ;
RECT 1493.475 1432.065 1493.995 1432.605 ;
RECT 5.605 1428.835 6.125 1429.375 ;
RECT 1493.475 1428.835 1493.995 1429.375 ;
RECT 5.605 1428.085 6.700 1428.835 ;
RECT 5.520 1427.915 6.700 1428.085 ;
RECT 5.605 1427.165 6.700 1427.915 ;
RECT 1493.300 1428.085 1493.995 1428.835 ;
RECT 1493.300 1427.915 1494.080 1428.085 ;
RECT 1493.300 1427.165 1493.995 1427.915 ;
RECT 5.605 1426.625 6.125 1427.165 ;
RECT 1493.475 1426.625 1493.995 1427.165 ;
RECT 5.605 1423.395 6.125 1423.935 ;
RECT 1493.475 1423.395 1493.995 1423.935 ;
RECT 5.605 1422.645 6.700 1423.395 ;
RECT 5.520 1422.475 6.700 1422.645 ;
RECT 5.605 1421.725 6.700 1422.475 ;
RECT 1493.300 1422.645 1493.995 1423.395 ;
RECT 1493.300 1422.475 1494.080 1422.645 ;
RECT 1493.300 1421.725 1493.995 1422.475 ;
RECT 5.605 1421.185 6.125 1421.725 ;
RECT 1493.475 1421.185 1493.995 1421.725 ;
RECT 5.605 1417.955 6.125 1418.495 ;
RECT 1493.475 1417.955 1493.995 1418.495 ;
RECT 5.605 1417.205 6.700 1417.955 ;
RECT 5.520 1417.035 6.700 1417.205 ;
RECT 5.605 1416.285 6.700 1417.035 ;
RECT 1493.300 1417.205 1493.995 1417.955 ;
RECT 1493.300 1417.035 1494.080 1417.205 ;
RECT 1493.300 1416.285 1493.995 1417.035 ;
RECT 5.605 1415.745 6.125 1416.285 ;
RECT 1493.475 1415.745 1493.995 1416.285 ;
RECT 5.605 1412.515 6.125 1413.055 ;
RECT 1493.475 1412.515 1493.995 1413.055 ;
RECT 5.605 1411.765 6.700 1412.515 ;
RECT 5.520 1411.595 6.700 1411.765 ;
RECT 5.605 1410.845 6.700 1411.595 ;
RECT 1493.300 1411.765 1493.995 1412.515 ;
RECT 1493.300 1411.595 1494.080 1411.765 ;
RECT 1493.300 1410.845 1493.995 1411.595 ;
RECT 5.605 1410.305 6.125 1410.845 ;
RECT 1493.475 1410.305 1493.995 1410.845 ;
RECT 5.605 1407.075 6.125 1407.615 ;
RECT 1493.475 1407.075 1493.995 1407.615 ;
RECT 5.605 1406.325 6.700 1407.075 ;
RECT 5.520 1406.155 6.700 1406.325 ;
RECT 5.605 1405.405 6.700 1406.155 ;
RECT 1493.300 1406.325 1493.995 1407.075 ;
RECT 1493.300 1406.155 1494.080 1406.325 ;
RECT 1493.300 1405.405 1493.995 1406.155 ;
RECT 5.605 1404.865 6.125 1405.405 ;
RECT 1493.475 1404.865 1493.995 1405.405 ;
RECT 5.605 1401.635 6.125 1402.175 ;
RECT 1493.475 1401.635 1493.995 1402.175 ;
RECT 5.605 1400.885 6.700 1401.635 ;
RECT 5.520 1400.715 6.700 1400.885 ;
RECT 5.605 1399.965 6.700 1400.715 ;
RECT 1493.300 1400.885 1493.995 1401.635 ;
RECT 1493.300 1400.715 1494.080 1400.885 ;
RECT 1493.300 1399.965 1493.995 1400.715 ;
RECT 5.605 1399.425 6.125 1399.965 ;
RECT 1493.475 1399.425 1493.995 1399.965 ;
RECT 5.605 1396.195 6.125 1396.735 ;
RECT 1493.475 1396.195 1493.995 1396.735 ;
RECT 5.605 1395.445 6.700 1396.195 ;
RECT 5.520 1395.275 6.700 1395.445 ;
RECT 5.605 1394.525 6.700 1395.275 ;
RECT 1493.300 1395.445 1493.995 1396.195 ;
RECT 1493.300 1395.275 1494.080 1395.445 ;
RECT 1493.300 1394.525 1493.995 1395.275 ;
RECT 5.605 1393.985 6.125 1394.525 ;
RECT 1493.475 1393.985 1493.995 1394.525 ;
RECT 5.605 1390.755 6.125 1391.295 ;
RECT 1493.475 1390.755 1493.995 1391.295 ;
RECT 5.605 1390.005 6.700 1390.755 ;
RECT 5.520 1389.835 6.700 1390.005 ;
RECT 5.605 1389.085 6.700 1389.835 ;
RECT 1493.300 1390.005 1493.995 1390.755 ;
RECT 1493.300 1389.835 1494.080 1390.005 ;
RECT 1493.300 1389.085 1493.995 1389.835 ;
RECT 5.605 1388.545 6.125 1389.085 ;
RECT 1493.475 1388.545 1493.995 1389.085 ;
RECT 5.605 1385.315 6.125 1385.855 ;
RECT 1493.475 1385.315 1493.995 1385.855 ;
RECT 5.605 1384.565 6.700 1385.315 ;
RECT 5.520 1384.395 6.700 1384.565 ;
RECT 5.605 1383.645 6.700 1384.395 ;
RECT 1493.300 1384.565 1493.995 1385.315 ;
RECT 1493.300 1384.395 1494.080 1384.565 ;
RECT 1493.300 1383.645 1493.995 1384.395 ;
RECT 5.605 1383.105 6.125 1383.645 ;
RECT 1493.475 1383.105 1493.995 1383.645 ;
RECT 5.605 1379.875 6.125 1380.415 ;
RECT 1493.475 1379.875 1493.995 1380.415 ;
RECT 5.605 1379.125 6.700 1379.875 ;
RECT 5.520 1378.955 6.700 1379.125 ;
RECT 5.605 1378.205 6.700 1378.955 ;
RECT 1493.300 1379.125 1493.995 1379.875 ;
RECT 1493.300 1378.955 1494.080 1379.125 ;
RECT 1493.300 1378.205 1493.995 1378.955 ;
RECT 5.605 1377.665 6.125 1378.205 ;
RECT 1493.475 1377.665 1493.995 1378.205 ;
RECT 5.605 1374.435 6.125 1374.975 ;
RECT 1493.475 1374.435 1493.995 1374.975 ;
RECT 5.605 1373.685 6.700 1374.435 ;
RECT 5.520 1373.515 6.700 1373.685 ;
RECT 5.605 1372.765 6.700 1373.515 ;
RECT 1493.300 1373.685 1493.995 1374.435 ;
RECT 1493.300 1373.515 1494.080 1373.685 ;
RECT 1493.300 1372.765 1493.995 1373.515 ;
RECT 5.605 1372.225 6.125 1372.765 ;
RECT 1493.475 1372.225 1493.995 1372.765 ;
RECT 5.605 1368.995 6.125 1369.535 ;
RECT 1493.475 1368.995 1493.995 1369.535 ;
RECT 5.605 1368.245 6.700 1368.995 ;
RECT 5.520 1368.075 6.700 1368.245 ;
RECT 5.605 1367.325 6.700 1368.075 ;
RECT 1493.300 1368.245 1493.995 1368.995 ;
RECT 1493.300 1368.075 1494.080 1368.245 ;
RECT 1493.300 1367.325 1493.995 1368.075 ;
RECT 5.605 1366.785 6.125 1367.325 ;
RECT 1493.475 1366.785 1493.995 1367.325 ;
RECT 5.605 1363.555 6.125 1364.095 ;
RECT 1493.475 1363.555 1493.995 1364.095 ;
RECT 5.605 1362.805 6.700 1363.555 ;
RECT 5.520 1362.635 6.700 1362.805 ;
RECT 5.605 1361.885 6.700 1362.635 ;
RECT 1493.300 1362.805 1493.995 1363.555 ;
RECT 1493.300 1362.635 1494.080 1362.805 ;
RECT 1493.300 1361.885 1493.995 1362.635 ;
RECT 5.605 1361.345 6.125 1361.885 ;
RECT 1493.475 1361.345 1493.995 1361.885 ;
RECT 5.605 1358.115 6.125 1358.655 ;
RECT 1493.475 1358.115 1493.995 1358.655 ;
RECT 5.605 1357.365 6.700 1358.115 ;
RECT 5.520 1357.195 6.700 1357.365 ;
RECT 5.605 1356.445 6.700 1357.195 ;
RECT 1493.300 1357.365 1493.995 1358.115 ;
RECT 1493.300 1357.195 1494.080 1357.365 ;
RECT 1493.300 1356.445 1493.995 1357.195 ;
RECT 5.605 1355.905 6.125 1356.445 ;
RECT 1493.475 1355.905 1493.995 1356.445 ;
RECT 5.605 1352.675 6.125 1353.215 ;
RECT 1493.475 1352.675 1493.995 1353.215 ;
RECT 5.605 1351.925 6.700 1352.675 ;
RECT 5.520 1351.755 6.700 1351.925 ;
RECT 5.605 1351.005 6.700 1351.755 ;
RECT 1493.300 1351.925 1493.995 1352.675 ;
RECT 1493.300 1351.755 1494.080 1351.925 ;
RECT 1493.300 1351.005 1493.995 1351.755 ;
RECT 5.605 1350.465 6.125 1351.005 ;
RECT 1493.475 1350.465 1493.995 1351.005 ;
RECT 5.605 1347.235 6.125 1347.775 ;
RECT 1493.475 1347.235 1493.995 1347.775 ;
RECT 5.605 1346.485 6.700 1347.235 ;
RECT 5.520 1346.315 6.700 1346.485 ;
RECT 5.605 1345.565 6.700 1346.315 ;
RECT 1493.300 1346.485 1493.995 1347.235 ;
RECT 1493.300 1346.315 1494.080 1346.485 ;
RECT 1493.300 1345.565 1493.995 1346.315 ;
RECT 5.605 1345.025 6.125 1345.565 ;
RECT 1493.475 1345.025 1493.995 1345.565 ;
RECT 5.605 1341.795 6.125 1342.335 ;
RECT 1493.475 1341.795 1493.995 1342.335 ;
RECT 5.605 1341.045 6.700 1341.795 ;
RECT 5.520 1340.875 6.700 1341.045 ;
RECT 5.605 1340.125 6.700 1340.875 ;
RECT 1493.300 1341.045 1493.995 1341.795 ;
RECT 1493.300 1340.875 1494.080 1341.045 ;
RECT 1493.300 1340.125 1493.995 1340.875 ;
RECT 5.605 1339.585 6.125 1340.125 ;
RECT 1493.475 1339.585 1493.995 1340.125 ;
RECT 5.605 1336.355 6.125 1336.895 ;
RECT 1493.475 1336.355 1493.995 1336.895 ;
RECT 5.605 1335.605 6.700 1336.355 ;
RECT 5.520 1335.435 6.700 1335.605 ;
RECT 5.605 1334.685 6.700 1335.435 ;
RECT 1493.300 1335.605 1493.995 1336.355 ;
RECT 1493.300 1335.435 1494.080 1335.605 ;
RECT 1493.300 1334.685 1493.995 1335.435 ;
RECT 5.605 1334.145 6.125 1334.685 ;
RECT 1493.475 1334.145 1493.995 1334.685 ;
RECT 5.605 1330.915 6.125 1331.455 ;
RECT 1493.475 1330.915 1493.995 1331.455 ;
RECT 5.605 1330.165 6.700 1330.915 ;
RECT 5.520 1329.995 6.700 1330.165 ;
RECT 5.605 1329.245 6.700 1329.995 ;
RECT 1493.300 1330.165 1493.995 1330.915 ;
RECT 1493.300 1329.995 1494.080 1330.165 ;
RECT 1493.300 1329.245 1493.995 1329.995 ;
RECT 5.605 1328.705 6.125 1329.245 ;
RECT 1493.475 1328.705 1493.995 1329.245 ;
RECT 5.605 1325.475 6.125 1326.015 ;
RECT 1493.475 1325.475 1493.995 1326.015 ;
RECT 5.605 1324.725 6.700 1325.475 ;
RECT 5.520 1324.555 6.700 1324.725 ;
RECT 5.605 1323.805 6.700 1324.555 ;
RECT 1493.300 1324.725 1493.995 1325.475 ;
RECT 1493.300 1324.555 1494.080 1324.725 ;
RECT 1493.300 1323.805 1493.995 1324.555 ;
RECT 5.605 1323.265 6.125 1323.805 ;
RECT 1493.475 1323.265 1493.995 1323.805 ;
RECT 5.605 1320.035 6.125 1320.575 ;
RECT 1493.475 1320.035 1493.995 1320.575 ;
RECT 5.605 1319.285 6.700 1320.035 ;
RECT 5.520 1319.115 6.700 1319.285 ;
RECT 5.605 1318.365 6.700 1319.115 ;
RECT 1493.300 1319.285 1493.995 1320.035 ;
RECT 1493.300 1319.115 1494.080 1319.285 ;
RECT 1493.300 1318.365 1493.995 1319.115 ;
RECT 5.605 1317.825 6.125 1318.365 ;
RECT 1493.475 1317.825 1493.995 1318.365 ;
RECT 5.605 1314.595 6.125 1315.135 ;
RECT 1493.475 1314.595 1493.995 1315.135 ;
RECT 5.605 1313.845 6.700 1314.595 ;
RECT 5.520 1313.675 6.700 1313.845 ;
RECT 5.605 1312.925 6.700 1313.675 ;
RECT 1493.300 1313.845 1493.995 1314.595 ;
RECT 1493.300 1313.675 1494.080 1313.845 ;
RECT 1493.300 1312.925 1493.995 1313.675 ;
RECT 5.605 1312.385 6.125 1312.925 ;
RECT 1493.475 1312.385 1493.995 1312.925 ;
RECT 5.605 1309.155 6.125 1309.695 ;
RECT 1493.475 1309.155 1493.995 1309.695 ;
RECT 5.605 1308.405 6.700 1309.155 ;
RECT 5.520 1308.235 6.700 1308.405 ;
RECT 5.605 1307.485 6.700 1308.235 ;
RECT 1493.300 1308.405 1493.995 1309.155 ;
RECT 1493.300 1308.235 1494.080 1308.405 ;
RECT 1493.300 1307.485 1493.995 1308.235 ;
RECT 5.605 1306.945 6.125 1307.485 ;
RECT 1493.475 1306.945 1493.995 1307.485 ;
RECT 5.605 1303.715 6.125 1304.255 ;
RECT 1493.475 1303.715 1493.995 1304.255 ;
RECT 5.605 1302.965 6.700 1303.715 ;
RECT 5.520 1302.795 6.700 1302.965 ;
RECT 5.605 1302.045 6.700 1302.795 ;
RECT 1493.300 1302.965 1493.995 1303.715 ;
RECT 1493.300 1302.795 1494.080 1302.965 ;
RECT 1493.300 1302.045 1493.995 1302.795 ;
RECT 5.605 1301.505 6.125 1302.045 ;
RECT 1493.475 1301.505 1493.995 1302.045 ;
RECT 5.605 1298.275 6.125 1298.815 ;
RECT 1493.475 1298.275 1493.995 1298.815 ;
RECT 5.605 1297.525 6.700 1298.275 ;
RECT 5.520 1297.355 6.700 1297.525 ;
RECT 5.605 1296.605 6.700 1297.355 ;
RECT 1493.300 1297.525 1493.995 1298.275 ;
RECT 1493.300 1297.355 1494.080 1297.525 ;
RECT 1493.300 1296.605 1493.995 1297.355 ;
RECT 5.605 1296.065 6.125 1296.605 ;
RECT 1493.475 1296.065 1493.995 1296.605 ;
RECT 5.605 1292.835 6.125 1293.375 ;
RECT 1493.475 1292.835 1493.995 1293.375 ;
RECT 5.605 1292.085 6.700 1292.835 ;
RECT 5.520 1291.915 6.700 1292.085 ;
RECT 5.605 1291.165 6.700 1291.915 ;
RECT 1493.300 1292.085 1493.995 1292.835 ;
RECT 1493.300 1291.915 1494.080 1292.085 ;
RECT 1493.300 1291.165 1493.995 1291.915 ;
RECT 5.605 1290.625 6.125 1291.165 ;
RECT 1493.475 1290.625 1493.995 1291.165 ;
RECT 5.605 1287.395 6.125 1287.935 ;
RECT 1493.475 1287.395 1493.995 1287.935 ;
RECT 5.605 1286.645 6.700 1287.395 ;
RECT 5.520 1286.475 6.700 1286.645 ;
RECT 5.605 1285.725 6.700 1286.475 ;
RECT 1493.300 1286.645 1493.995 1287.395 ;
RECT 1493.300 1286.475 1494.080 1286.645 ;
RECT 1493.300 1285.725 1493.995 1286.475 ;
RECT 5.605 1285.185 6.125 1285.725 ;
RECT 1493.475 1285.185 1493.995 1285.725 ;
RECT 5.605 1281.955 6.125 1282.495 ;
RECT 1493.475 1281.955 1493.995 1282.495 ;
RECT 5.605 1281.205 6.700 1281.955 ;
RECT 5.520 1281.035 6.700 1281.205 ;
RECT 5.605 1280.285 6.700 1281.035 ;
RECT 1493.300 1281.205 1493.995 1281.955 ;
RECT 1493.300 1281.035 1494.080 1281.205 ;
RECT 1493.300 1280.285 1493.995 1281.035 ;
RECT 5.605 1279.745 6.125 1280.285 ;
RECT 1493.475 1279.745 1493.995 1280.285 ;
RECT 5.605 1276.515 6.125 1277.055 ;
RECT 1493.475 1276.515 1493.995 1277.055 ;
RECT 5.605 1275.765 6.700 1276.515 ;
RECT 5.520 1275.595 6.700 1275.765 ;
RECT 5.605 1274.845 6.700 1275.595 ;
RECT 1493.300 1275.765 1493.995 1276.515 ;
RECT 1493.300 1275.595 1494.080 1275.765 ;
RECT 1493.300 1274.845 1493.995 1275.595 ;
RECT 5.605 1274.305 6.125 1274.845 ;
RECT 1493.475 1274.305 1493.995 1274.845 ;
RECT 5.605 1271.075 6.125 1271.615 ;
RECT 1493.475 1271.075 1493.995 1271.615 ;
RECT 5.605 1270.325 6.700 1271.075 ;
RECT 5.520 1270.155 6.700 1270.325 ;
RECT 5.605 1269.405 6.700 1270.155 ;
RECT 1493.300 1270.325 1493.995 1271.075 ;
RECT 1493.300 1270.155 1494.080 1270.325 ;
RECT 1493.300 1269.405 1493.995 1270.155 ;
RECT 5.605 1268.865 6.125 1269.405 ;
RECT 1493.475 1268.865 1493.995 1269.405 ;
RECT 5.605 1265.635 6.125 1266.175 ;
RECT 1493.475 1265.635 1493.995 1266.175 ;
RECT 5.605 1264.885 6.700 1265.635 ;
RECT 5.520 1264.715 6.700 1264.885 ;
RECT 5.605 1263.965 6.700 1264.715 ;
RECT 1493.300 1264.885 1493.995 1265.635 ;
RECT 1493.300 1264.715 1494.080 1264.885 ;
RECT 1493.300 1263.965 1493.995 1264.715 ;
RECT 5.605 1263.425 6.125 1263.965 ;
RECT 1493.475 1263.425 1493.995 1263.965 ;
RECT 5.605 1260.195 6.125 1260.735 ;
RECT 1493.475 1260.195 1493.995 1260.735 ;
RECT 5.605 1259.445 6.700 1260.195 ;
RECT 5.520 1259.275 6.700 1259.445 ;
RECT 5.605 1258.525 6.700 1259.275 ;
RECT 1493.300 1259.445 1493.995 1260.195 ;
RECT 1493.300 1259.275 1494.080 1259.445 ;
RECT 1493.300 1258.525 1493.995 1259.275 ;
RECT 5.605 1257.985 6.125 1258.525 ;
RECT 1493.475 1257.985 1493.995 1258.525 ;
RECT 5.605 1254.755 6.125 1255.295 ;
RECT 1493.475 1254.755 1493.995 1255.295 ;
RECT 5.605 1254.005 6.700 1254.755 ;
RECT 5.520 1253.835 6.700 1254.005 ;
RECT 5.605 1253.085 6.700 1253.835 ;
RECT 1493.300 1254.005 1493.995 1254.755 ;
RECT 1493.300 1253.835 1494.080 1254.005 ;
RECT 1493.300 1253.085 1493.995 1253.835 ;
RECT 5.605 1252.545 6.125 1253.085 ;
RECT 1493.475 1252.545 1493.995 1253.085 ;
RECT 5.605 1249.315 6.125 1249.855 ;
RECT 1493.475 1249.315 1493.995 1249.855 ;
RECT 5.605 1248.565 6.700 1249.315 ;
RECT 5.520 1248.395 6.700 1248.565 ;
RECT 5.605 1247.645 6.700 1248.395 ;
RECT 1493.300 1248.565 1493.995 1249.315 ;
RECT 1493.300 1248.395 1494.080 1248.565 ;
RECT 1493.300 1247.645 1493.995 1248.395 ;
RECT 5.605 1247.105 6.125 1247.645 ;
RECT 1493.475 1247.105 1493.995 1247.645 ;
RECT 5.605 1243.875 6.125 1244.415 ;
RECT 1493.475 1243.875 1493.995 1244.415 ;
RECT 5.605 1243.125 6.700 1243.875 ;
RECT 5.520 1242.955 6.700 1243.125 ;
RECT 5.605 1242.205 6.700 1242.955 ;
RECT 1493.300 1243.125 1493.995 1243.875 ;
RECT 1493.300 1242.955 1494.080 1243.125 ;
RECT 1493.300 1242.205 1493.995 1242.955 ;
RECT 5.605 1241.665 6.125 1242.205 ;
RECT 1493.475 1241.665 1493.995 1242.205 ;
RECT 5.605 1238.435 6.125 1238.975 ;
RECT 1493.475 1238.435 1493.995 1238.975 ;
RECT 5.605 1237.685 6.700 1238.435 ;
RECT 5.520 1237.515 6.700 1237.685 ;
RECT 5.605 1236.765 6.700 1237.515 ;
RECT 1493.300 1237.685 1493.995 1238.435 ;
RECT 1493.300 1237.515 1494.080 1237.685 ;
RECT 1493.300 1236.765 1493.995 1237.515 ;
RECT 5.605 1236.225 6.125 1236.765 ;
RECT 1493.475 1236.225 1493.995 1236.765 ;
RECT 5.605 1232.995 6.125 1233.535 ;
RECT 1493.475 1232.995 1493.995 1233.535 ;
RECT 5.605 1232.245 6.700 1232.995 ;
RECT 5.520 1232.075 6.700 1232.245 ;
RECT 5.605 1231.325 6.700 1232.075 ;
RECT 1493.300 1232.245 1493.995 1232.995 ;
RECT 1493.300 1232.075 1494.080 1232.245 ;
RECT 1493.300 1231.325 1493.995 1232.075 ;
RECT 5.605 1230.785 6.125 1231.325 ;
RECT 1493.475 1230.785 1493.995 1231.325 ;
RECT 5.605 1227.555 6.125 1228.095 ;
RECT 1493.475 1227.555 1493.995 1228.095 ;
RECT 5.605 1226.805 6.700 1227.555 ;
RECT 5.520 1226.635 6.700 1226.805 ;
RECT 5.605 1225.885 6.700 1226.635 ;
RECT 1493.300 1226.805 1493.995 1227.555 ;
RECT 1493.300 1226.635 1494.080 1226.805 ;
RECT 1493.300 1225.885 1493.995 1226.635 ;
RECT 5.605 1225.345 6.125 1225.885 ;
RECT 1493.475 1225.345 1493.995 1225.885 ;
RECT 5.605 1222.115 6.125 1222.655 ;
RECT 1493.475 1222.115 1493.995 1222.655 ;
RECT 5.605 1221.365 6.700 1222.115 ;
RECT 5.520 1221.195 6.700 1221.365 ;
RECT 5.605 1220.445 6.700 1221.195 ;
RECT 1493.300 1221.365 1493.995 1222.115 ;
RECT 1493.300 1221.195 1494.080 1221.365 ;
RECT 1493.300 1220.445 1493.995 1221.195 ;
RECT 5.605 1219.905 6.125 1220.445 ;
RECT 1493.475 1219.905 1493.995 1220.445 ;
RECT 5.605 1216.675 6.125 1217.215 ;
RECT 1493.475 1216.675 1493.995 1217.215 ;
RECT 5.605 1215.925 6.700 1216.675 ;
RECT 5.520 1215.755 6.700 1215.925 ;
RECT 5.605 1215.005 6.700 1215.755 ;
RECT 1493.300 1215.925 1493.995 1216.675 ;
RECT 1493.300 1215.755 1494.080 1215.925 ;
RECT 1493.300 1215.005 1493.995 1215.755 ;
RECT 5.605 1214.465 6.125 1215.005 ;
RECT 1493.475 1214.465 1493.995 1215.005 ;
RECT 5.605 1211.235 6.125 1211.775 ;
RECT 1493.475 1211.235 1493.995 1211.775 ;
RECT 5.605 1210.485 6.700 1211.235 ;
RECT 5.520 1210.315 6.700 1210.485 ;
RECT 5.605 1209.565 6.700 1210.315 ;
RECT 1493.300 1210.485 1493.995 1211.235 ;
RECT 1493.300 1210.315 1494.080 1210.485 ;
RECT 1493.300 1209.565 1493.995 1210.315 ;
RECT 5.605 1209.025 6.125 1209.565 ;
RECT 1493.475 1209.025 1493.995 1209.565 ;
RECT 5.605 1205.795 6.125 1206.335 ;
RECT 1493.475 1205.795 1493.995 1206.335 ;
RECT 5.605 1205.045 6.700 1205.795 ;
RECT 5.520 1204.875 6.700 1205.045 ;
RECT 5.605 1204.125 6.700 1204.875 ;
RECT 1493.300 1205.045 1493.995 1205.795 ;
RECT 1493.300 1204.875 1494.080 1205.045 ;
RECT 1493.300 1204.125 1493.995 1204.875 ;
RECT 5.605 1203.585 6.125 1204.125 ;
RECT 1493.475 1203.585 1493.995 1204.125 ;
RECT 5.605 1200.355 6.125 1200.895 ;
RECT 1493.475 1200.355 1493.995 1200.895 ;
RECT 5.605 1199.605 6.700 1200.355 ;
RECT 5.520 1199.435 6.700 1199.605 ;
RECT 5.605 1198.685 6.700 1199.435 ;
RECT 1493.300 1199.605 1493.995 1200.355 ;
RECT 1493.300 1199.435 1494.080 1199.605 ;
RECT 1493.300 1198.685 1493.995 1199.435 ;
RECT 5.605 1198.145 6.125 1198.685 ;
RECT 1493.475 1198.145 1493.995 1198.685 ;
RECT 5.605 1194.915 6.125 1195.455 ;
RECT 1493.475 1194.915 1493.995 1195.455 ;
RECT 5.605 1194.165 6.700 1194.915 ;
RECT 5.520 1193.995 6.700 1194.165 ;
RECT 5.605 1193.245 6.700 1193.995 ;
RECT 1493.300 1194.165 1493.995 1194.915 ;
RECT 1493.300 1193.995 1494.080 1194.165 ;
RECT 1493.300 1193.245 1493.995 1193.995 ;
RECT 5.605 1192.705 6.125 1193.245 ;
RECT 1493.475 1192.705 1493.995 1193.245 ;
RECT 5.605 1189.475 6.125 1190.015 ;
RECT 1493.475 1189.475 1493.995 1190.015 ;
RECT 5.605 1188.725 6.700 1189.475 ;
RECT 5.520 1188.555 6.700 1188.725 ;
RECT 5.605 1187.805 6.700 1188.555 ;
RECT 1493.300 1188.725 1493.995 1189.475 ;
RECT 1493.300 1188.555 1494.080 1188.725 ;
RECT 1493.300 1187.805 1493.995 1188.555 ;
RECT 5.605 1187.265 6.125 1187.805 ;
RECT 1493.475 1187.265 1493.995 1187.805 ;
RECT 5.605 1184.035 6.125 1184.575 ;
RECT 1493.475 1184.035 1493.995 1184.575 ;
RECT 5.605 1183.285 6.700 1184.035 ;
RECT 5.520 1183.115 6.700 1183.285 ;
RECT 5.605 1182.365 6.700 1183.115 ;
RECT 1493.300 1183.285 1493.995 1184.035 ;
RECT 1493.300 1183.115 1494.080 1183.285 ;
RECT 1493.300 1182.365 1493.995 1183.115 ;
RECT 5.605 1181.825 6.125 1182.365 ;
RECT 1493.475 1181.825 1493.995 1182.365 ;
RECT 5.605 1178.595 6.125 1179.135 ;
RECT 1493.475 1178.595 1493.995 1179.135 ;
RECT 5.605 1177.845 6.700 1178.595 ;
RECT 5.520 1177.675 6.700 1177.845 ;
RECT 5.605 1176.925 6.700 1177.675 ;
RECT 1493.300 1177.845 1493.995 1178.595 ;
RECT 1493.300 1177.675 1494.080 1177.845 ;
RECT 1493.300 1176.925 1493.995 1177.675 ;
RECT 5.605 1176.385 6.125 1176.925 ;
RECT 1493.475 1176.385 1493.995 1176.925 ;
RECT 5.605 1173.155 6.125 1173.695 ;
RECT 1493.475 1173.155 1493.995 1173.695 ;
RECT 5.605 1172.405 6.700 1173.155 ;
RECT 5.520 1172.235 6.700 1172.405 ;
RECT 5.605 1171.485 6.700 1172.235 ;
RECT 1493.300 1172.405 1493.995 1173.155 ;
RECT 1493.300 1172.235 1494.080 1172.405 ;
RECT 1493.300 1171.485 1493.995 1172.235 ;
RECT 5.605 1170.945 6.125 1171.485 ;
RECT 1493.475 1170.945 1493.995 1171.485 ;
RECT 5.605 1167.715 6.125 1168.255 ;
RECT 1493.475 1167.715 1493.995 1168.255 ;
RECT 5.605 1166.965 6.700 1167.715 ;
RECT 5.520 1166.795 6.700 1166.965 ;
RECT 5.605 1166.045 6.700 1166.795 ;
RECT 1493.300 1166.965 1493.995 1167.715 ;
RECT 1493.300 1166.795 1494.080 1166.965 ;
RECT 1493.300 1166.045 1493.995 1166.795 ;
RECT 5.605 1165.505 6.125 1166.045 ;
RECT 1493.475 1165.505 1493.995 1166.045 ;
RECT 5.605 1162.275 6.125 1162.815 ;
RECT 1493.475 1162.275 1493.995 1162.815 ;
RECT 5.605 1161.525 6.700 1162.275 ;
RECT 5.520 1161.355 6.700 1161.525 ;
RECT 5.605 1160.605 6.700 1161.355 ;
RECT 1493.300 1161.525 1493.995 1162.275 ;
RECT 1493.300 1161.355 1494.080 1161.525 ;
RECT 1493.300 1160.605 1493.995 1161.355 ;
RECT 5.605 1160.065 6.125 1160.605 ;
RECT 1493.475 1160.065 1493.995 1160.605 ;
RECT 5.605 1156.835 6.125 1157.375 ;
RECT 1493.475 1156.835 1493.995 1157.375 ;
RECT 5.605 1156.085 6.700 1156.835 ;
RECT 5.520 1155.915 6.700 1156.085 ;
RECT 5.605 1155.165 6.700 1155.915 ;
RECT 1493.300 1156.085 1493.995 1156.835 ;
RECT 1493.300 1155.915 1494.080 1156.085 ;
RECT 1493.300 1155.165 1493.995 1155.915 ;
RECT 5.605 1154.625 6.125 1155.165 ;
RECT 1493.475 1154.625 1493.995 1155.165 ;
RECT 5.605 1151.395 6.125 1151.935 ;
RECT 1493.475 1151.395 1493.995 1151.935 ;
RECT 5.605 1150.645 6.700 1151.395 ;
RECT 5.520 1150.475 6.700 1150.645 ;
RECT 5.605 1149.725 6.700 1150.475 ;
RECT 1493.300 1150.645 1493.995 1151.395 ;
RECT 1493.300 1150.475 1494.080 1150.645 ;
RECT 1493.300 1149.725 1493.995 1150.475 ;
RECT 5.605 1149.185 6.125 1149.725 ;
RECT 1493.475 1149.185 1493.995 1149.725 ;
RECT 5.605 1145.955 6.125 1146.495 ;
RECT 1493.475 1145.955 1493.995 1146.495 ;
RECT 5.605 1145.205 6.700 1145.955 ;
RECT 5.520 1145.035 6.700 1145.205 ;
RECT 5.605 1144.285 6.700 1145.035 ;
RECT 1493.300 1145.205 1493.995 1145.955 ;
RECT 1493.300 1145.035 1494.080 1145.205 ;
RECT 1493.300 1144.285 1493.995 1145.035 ;
RECT 5.605 1143.745 6.125 1144.285 ;
RECT 1493.475 1143.745 1493.995 1144.285 ;
RECT 5.605 1140.515 6.125 1141.055 ;
RECT 1493.475 1140.515 1493.995 1141.055 ;
RECT 5.605 1139.765 6.700 1140.515 ;
RECT 5.520 1139.595 6.700 1139.765 ;
RECT 5.605 1138.845 6.700 1139.595 ;
RECT 1493.300 1139.765 1493.995 1140.515 ;
RECT 1493.300 1139.595 1494.080 1139.765 ;
RECT 1493.300 1138.845 1493.995 1139.595 ;
RECT 5.605 1138.305 6.125 1138.845 ;
RECT 1493.475 1138.305 1493.995 1138.845 ;
RECT 5.605 1135.075 6.125 1135.615 ;
RECT 1493.475 1135.075 1493.995 1135.615 ;
RECT 5.605 1134.325 6.700 1135.075 ;
RECT 5.520 1134.155 6.700 1134.325 ;
RECT 5.605 1133.405 6.700 1134.155 ;
RECT 1493.300 1134.325 1493.995 1135.075 ;
RECT 1493.300 1134.155 1494.080 1134.325 ;
RECT 1493.300 1133.405 1493.995 1134.155 ;
RECT 5.605 1132.865 6.125 1133.405 ;
RECT 1493.475 1132.865 1493.995 1133.405 ;
RECT 5.605 1129.635 6.125 1130.175 ;
RECT 1493.475 1129.635 1493.995 1130.175 ;
RECT 5.605 1128.885 6.700 1129.635 ;
RECT 5.520 1128.715 6.700 1128.885 ;
RECT 5.605 1127.965 6.700 1128.715 ;
RECT 1493.300 1128.885 1493.995 1129.635 ;
RECT 1493.300 1128.715 1494.080 1128.885 ;
RECT 1493.300 1127.965 1493.995 1128.715 ;
RECT 5.605 1127.425 6.125 1127.965 ;
RECT 1493.475 1127.425 1493.995 1127.965 ;
RECT 5.605 1124.195 6.125 1124.735 ;
RECT 1493.475 1124.195 1493.995 1124.735 ;
RECT 5.605 1123.445 6.700 1124.195 ;
RECT 5.520 1123.275 6.700 1123.445 ;
RECT 5.605 1122.525 6.700 1123.275 ;
RECT 1493.300 1123.445 1493.995 1124.195 ;
RECT 1493.300 1123.275 1494.080 1123.445 ;
RECT 1493.300 1122.525 1493.995 1123.275 ;
RECT 5.605 1121.985 6.125 1122.525 ;
RECT 1493.475 1121.985 1493.995 1122.525 ;
RECT 5.605 1118.755 6.125 1119.295 ;
RECT 1493.475 1118.755 1493.995 1119.295 ;
RECT 5.605 1118.005 6.700 1118.755 ;
RECT 5.520 1117.835 6.700 1118.005 ;
RECT 5.605 1117.085 6.700 1117.835 ;
RECT 1493.300 1118.005 1493.995 1118.755 ;
RECT 1493.300 1117.835 1494.080 1118.005 ;
RECT 1493.300 1117.085 1493.995 1117.835 ;
RECT 5.605 1116.545 6.125 1117.085 ;
RECT 1493.475 1116.545 1493.995 1117.085 ;
RECT 5.605 1113.315 6.125 1113.855 ;
RECT 1493.475 1113.315 1493.995 1113.855 ;
RECT 5.605 1112.565 6.700 1113.315 ;
RECT 5.520 1112.395 6.700 1112.565 ;
RECT 5.605 1111.645 6.700 1112.395 ;
RECT 1493.300 1112.565 1493.995 1113.315 ;
RECT 1493.300 1112.395 1494.080 1112.565 ;
RECT 1493.300 1111.645 1493.995 1112.395 ;
RECT 5.605 1111.105 6.125 1111.645 ;
RECT 1493.475 1111.105 1493.995 1111.645 ;
RECT 5.605 1107.875 6.125 1108.415 ;
RECT 1493.475 1107.875 1493.995 1108.415 ;
RECT 5.605 1107.125 6.700 1107.875 ;
RECT 5.520 1106.955 6.700 1107.125 ;
RECT 5.605 1106.205 6.700 1106.955 ;
RECT 1493.300 1107.125 1493.995 1107.875 ;
RECT 1493.300 1106.955 1494.080 1107.125 ;
RECT 1493.300 1106.205 1493.995 1106.955 ;
RECT 5.605 1105.665 6.125 1106.205 ;
RECT 1493.475 1105.665 1493.995 1106.205 ;
RECT 5.605 1102.435 6.125 1102.975 ;
RECT 1493.475 1102.435 1493.995 1102.975 ;
RECT 5.605 1101.685 6.700 1102.435 ;
RECT 5.520 1101.515 6.700 1101.685 ;
RECT 5.605 1100.765 6.700 1101.515 ;
RECT 1493.300 1101.685 1493.995 1102.435 ;
RECT 1493.300 1101.515 1494.080 1101.685 ;
RECT 1493.300 1100.765 1493.995 1101.515 ;
RECT 5.605 1100.225 6.125 1100.765 ;
RECT 1493.475 1100.225 1493.995 1100.765 ;
RECT 5.605 1096.995 6.125 1097.535 ;
RECT 1493.475 1096.995 1493.995 1097.535 ;
RECT 5.605 1096.245 6.700 1096.995 ;
RECT 5.520 1096.075 6.700 1096.245 ;
RECT 5.605 1095.325 6.700 1096.075 ;
RECT 1493.300 1096.245 1493.995 1096.995 ;
RECT 1493.300 1096.075 1494.080 1096.245 ;
RECT 1493.300 1095.325 1493.995 1096.075 ;
RECT 5.605 1094.785 6.125 1095.325 ;
RECT 1493.475 1094.785 1493.995 1095.325 ;
RECT 5.605 1091.555 6.125 1092.095 ;
RECT 1493.475 1091.555 1493.995 1092.095 ;
RECT 5.605 1090.805 6.700 1091.555 ;
RECT 5.520 1090.635 6.700 1090.805 ;
RECT 5.605 1089.885 6.700 1090.635 ;
RECT 1493.300 1090.805 1493.995 1091.555 ;
RECT 1493.300 1090.635 1494.080 1090.805 ;
RECT 1493.300 1089.885 1493.995 1090.635 ;
RECT 5.605 1089.345 6.125 1089.885 ;
RECT 1493.475 1089.345 1493.995 1089.885 ;
RECT 5.605 1086.115 6.125 1086.655 ;
RECT 1493.475 1086.115 1493.995 1086.655 ;
RECT 5.605 1085.365 6.700 1086.115 ;
RECT 5.520 1085.195 6.700 1085.365 ;
RECT 5.605 1084.445 6.700 1085.195 ;
RECT 1493.300 1085.365 1493.995 1086.115 ;
RECT 1493.300 1085.195 1494.080 1085.365 ;
RECT 1493.300 1084.445 1493.995 1085.195 ;
RECT 5.605 1083.905 6.125 1084.445 ;
RECT 1493.475 1083.905 1493.995 1084.445 ;
RECT 5.605 1080.675 6.125 1081.215 ;
RECT 1493.475 1080.675 1493.995 1081.215 ;
RECT 5.605 1079.925 6.700 1080.675 ;
RECT 5.520 1079.755 6.700 1079.925 ;
RECT 5.605 1079.005 6.700 1079.755 ;
RECT 1493.300 1079.925 1493.995 1080.675 ;
RECT 1493.300 1079.755 1494.080 1079.925 ;
RECT 1493.300 1079.005 1493.995 1079.755 ;
RECT 5.605 1078.465 6.125 1079.005 ;
RECT 1493.475 1078.465 1493.995 1079.005 ;
RECT 5.605 1075.235 6.125 1075.775 ;
RECT 1493.475 1075.235 1493.995 1075.775 ;
RECT 5.605 1074.485 6.700 1075.235 ;
RECT 5.520 1074.315 6.700 1074.485 ;
RECT 5.605 1073.565 6.700 1074.315 ;
RECT 1493.300 1074.485 1493.995 1075.235 ;
RECT 1493.300 1074.315 1494.080 1074.485 ;
RECT 1493.300 1073.565 1493.995 1074.315 ;
RECT 5.605 1073.025 6.125 1073.565 ;
RECT 1493.475 1073.025 1493.995 1073.565 ;
RECT 5.605 1069.795 6.125 1070.335 ;
RECT 1493.475 1069.795 1493.995 1070.335 ;
RECT 5.605 1069.045 6.700 1069.795 ;
RECT 5.520 1068.875 6.700 1069.045 ;
RECT 5.605 1068.125 6.700 1068.875 ;
RECT 1493.300 1069.045 1493.995 1069.795 ;
RECT 1493.300 1068.875 1494.080 1069.045 ;
RECT 1493.300 1068.125 1493.995 1068.875 ;
RECT 5.605 1067.585 6.125 1068.125 ;
RECT 1493.475 1067.585 1493.995 1068.125 ;
RECT 5.605 1064.355 6.125 1064.895 ;
RECT 1493.475 1064.355 1493.995 1064.895 ;
RECT 5.605 1063.605 6.700 1064.355 ;
RECT 5.520 1063.435 6.700 1063.605 ;
RECT 5.605 1062.685 6.700 1063.435 ;
RECT 1493.300 1063.605 1493.995 1064.355 ;
RECT 1493.300 1063.435 1494.080 1063.605 ;
RECT 1493.300 1062.685 1493.995 1063.435 ;
RECT 5.605 1062.145 6.125 1062.685 ;
RECT 1493.475 1062.145 1493.995 1062.685 ;
RECT 5.605 1058.915 6.125 1059.455 ;
RECT 1493.475 1058.915 1493.995 1059.455 ;
RECT 5.605 1058.165 6.700 1058.915 ;
RECT 5.520 1057.995 6.700 1058.165 ;
RECT 5.605 1057.245 6.700 1057.995 ;
RECT 1493.300 1058.165 1493.995 1058.915 ;
RECT 1493.300 1057.995 1494.080 1058.165 ;
RECT 1493.300 1057.245 1493.995 1057.995 ;
RECT 5.605 1056.705 6.125 1057.245 ;
RECT 1493.475 1056.705 1493.995 1057.245 ;
RECT 5.605 1053.475 6.125 1054.015 ;
RECT 1493.475 1053.475 1493.995 1054.015 ;
RECT 5.605 1052.725 6.700 1053.475 ;
RECT 5.520 1052.555 6.700 1052.725 ;
RECT 5.605 1051.805 6.700 1052.555 ;
RECT 1493.300 1052.725 1493.995 1053.475 ;
RECT 1493.300 1052.555 1494.080 1052.725 ;
RECT 1493.300 1051.805 1493.995 1052.555 ;
RECT 5.605 1051.265 6.125 1051.805 ;
RECT 1493.475 1051.265 1493.995 1051.805 ;
RECT 5.605 1048.035 6.125 1048.575 ;
RECT 1493.475 1048.035 1493.995 1048.575 ;
RECT 5.605 1047.285 6.700 1048.035 ;
RECT 5.520 1047.115 6.700 1047.285 ;
RECT 5.605 1046.365 6.700 1047.115 ;
RECT 1493.300 1047.285 1493.995 1048.035 ;
RECT 1493.300 1047.115 1494.080 1047.285 ;
RECT 1493.300 1046.365 1493.995 1047.115 ;
RECT 5.605 1045.825 6.125 1046.365 ;
RECT 1493.475 1045.825 1493.995 1046.365 ;
RECT 5.605 1042.595 6.125 1043.135 ;
RECT 1493.475 1042.595 1493.995 1043.135 ;
RECT 5.605 1041.845 6.700 1042.595 ;
RECT 5.520 1041.675 6.700 1041.845 ;
RECT 5.605 1040.925 6.700 1041.675 ;
RECT 1493.300 1041.845 1493.995 1042.595 ;
RECT 1493.300 1041.675 1494.080 1041.845 ;
RECT 1493.300 1040.925 1493.995 1041.675 ;
RECT 5.605 1040.385 6.125 1040.925 ;
RECT 1493.475 1040.385 1493.995 1040.925 ;
RECT 5.605 1037.155 6.125 1037.695 ;
RECT 1493.475 1037.155 1493.995 1037.695 ;
RECT 5.605 1036.405 6.700 1037.155 ;
RECT 5.520 1036.235 6.700 1036.405 ;
RECT 5.605 1035.485 6.700 1036.235 ;
RECT 1493.300 1036.405 1493.995 1037.155 ;
RECT 1493.300 1036.235 1494.080 1036.405 ;
RECT 1493.300 1035.485 1493.995 1036.235 ;
RECT 5.605 1034.945 6.125 1035.485 ;
RECT 1493.475 1034.945 1493.995 1035.485 ;
RECT 5.605 1031.715 6.125 1032.255 ;
RECT 1493.475 1031.715 1493.995 1032.255 ;
RECT 5.605 1030.965 6.700 1031.715 ;
RECT 5.520 1030.795 6.700 1030.965 ;
RECT 5.605 1030.045 6.700 1030.795 ;
RECT 1493.300 1030.965 1493.995 1031.715 ;
RECT 1493.300 1030.795 1494.080 1030.965 ;
RECT 1493.300 1030.045 1493.995 1030.795 ;
RECT 5.605 1029.505 6.125 1030.045 ;
RECT 1493.475 1029.505 1493.995 1030.045 ;
RECT 5.605 1026.275 6.125 1026.815 ;
RECT 1493.475 1026.275 1493.995 1026.815 ;
RECT 5.605 1025.525 6.700 1026.275 ;
RECT 5.520 1025.355 6.700 1025.525 ;
RECT 5.605 1024.605 6.700 1025.355 ;
RECT 1493.300 1025.525 1493.995 1026.275 ;
RECT 1493.300 1025.355 1494.080 1025.525 ;
RECT 1493.300 1024.605 1493.995 1025.355 ;
RECT 5.605 1024.065 6.125 1024.605 ;
RECT 1493.475 1024.065 1493.995 1024.605 ;
RECT 5.605 1020.835 6.125 1021.375 ;
RECT 1493.475 1020.835 1493.995 1021.375 ;
RECT 5.605 1020.085 6.700 1020.835 ;
RECT 5.520 1019.915 6.700 1020.085 ;
RECT 5.605 1019.165 6.700 1019.915 ;
RECT 1493.300 1020.085 1493.995 1020.835 ;
RECT 1493.300 1019.915 1494.080 1020.085 ;
RECT 1493.300 1019.165 1493.995 1019.915 ;
RECT 5.605 1018.625 6.125 1019.165 ;
RECT 1493.475 1018.625 1493.995 1019.165 ;
RECT 5.605 1015.395 6.125 1015.935 ;
RECT 1493.475 1015.395 1493.995 1015.935 ;
RECT 5.605 1014.645 6.700 1015.395 ;
RECT 5.520 1014.475 6.700 1014.645 ;
RECT 5.605 1013.725 6.700 1014.475 ;
RECT 1493.300 1014.645 1493.995 1015.395 ;
RECT 1493.300 1014.475 1494.080 1014.645 ;
RECT 1493.300 1013.725 1493.995 1014.475 ;
RECT 5.605 1013.185 6.125 1013.725 ;
RECT 1493.475 1013.185 1493.995 1013.725 ;
RECT 5.605 1009.955 6.125 1010.495 ;
RECT 1493.475 1009.955 1493.995 1010.495 ;
RECT 5.605 1009.205 6.700 1009.955 ;
RECT 5.520 1009.035 6.700 1009.205 ;
RECT 5.605 1008.285 6.700 1009.035 ;
RECT 1493.300 1009.205 1493.995 1009.955 ;
RECT 1493.300 1009.035 1494.080 1009.205 ;
RECT 1493.300 1008.285 1493.995 1009.035 ;
RECT 5.605 1007.745 6.125 1008.285 ;
RECT 1493.475 1007.745 1493.995 1008.285 ;
RECT 5.605 1004.515 6.125 1005.055 ;
RECT 1493.475 1004.515 1493.995 1005.055 ;
RECT 5.605 1003.765 6.700 1004.515 ;
RECT 5.520 1003.595 6.700 1003.765 ;
RECT 5.605 1002.845 6.700 1003.595 ;
RECT 1493.300 1003.765 1493.995 1004.515 ;
RECT 1493.300 1003.595 1494.080 1003.765 ;
RECT 1493.300 1002.845 1493.995 1003.595 ;
RECT 5.605 1002.305 6.125 1002.845 ;
RECT 1493.475 1002.305 1493.995 1002.845 ;
RECT 5.605 999.075 6.125 999.615 ;
RECT 1493.475 999.075 1493.995 999.615 ;
RECT 5.605 998.325 6.700 999.075 ;
RECT 5.520 998.155 6.700 998.325 ;
RECT 5.605 997.405 6.700 998.155 ;
RECT 1493.300 998.325 1493.995 999.075 ;
RECT 1493.300 998.155 1494.080 998.325 ;
RECT 1493.300 997.405 1493.995 998.155 ;
RECT 5.605 996.865 6.125 997.405 ;
RECT 1493.475 996.865 1493.995 997.405 ;
RECT 5.605 993.635 6.125 994.175 ;
RECT 1493.475 993.635 1493.995 994.175 ;
RECT 5.605 992.885 6.700 993.635 ;
RECT 5.520 992.715 6.700 992.885 ;
RECT 5.605 991.965 6.700 992.715 ;
RECT 1493.300 992.885 1493.995 993.635 ;
RECT 1493.300 992.715 1494.080 992.885 ;
RECT 1493.300 991.965 1493.995 992.715 ;
RECT 5.605 991.425 6.125 991.965 ;
RECT 1493.475 991.425 1493.995 991.965 ;
RECT 5.605 988.195 6.125 988.735 ;
RECT 1493.475 988.195 1493.995 988.735 ;
RECT 5.605 987.445 6.700 988.195 ;
RECT 5.520 987.275 6.700 987.445 ;
RECT 5.605 986.525 6.700 987.275 ;
RECT 1493.300 987.445 1493.995 988.195 ;
RECT 1493.300 987.275 1494.080 987.445 ;
RECT 1493.300 986.525 1493.995 987.275 ;
RECT 5.605 985.985 6.125 986.525 ;
RECT 1493.475 985.985 1493.995 986.525 ;
RECT 5.605 982.755 6.125 983.295 ;
RECT 1493.475 982.755 1493.995 983.295 ;
RECT 5.605 982.005 6.700 982.755 ;
RECT 5.520 981.835 6.700 982.005 ;
RECT 5.605 981.085 6.700 981.835 ;
RECT 1493.300 982.005 1493.995 982.755 ;
RECT 1493.300 981.835 1494.080 982.005 ;
RECT 1493.300 981.085 1493.995 981.835 ;
RECT 5.605 980.545 6.125 981.085 ;
RECT 1493.475 980.545 1493.995 981.085 ;
RECT 5.605 977.315 6.125 977.855 ;
RECT 1493.475 977.315 1493.995 977.855 ;
RECT 5.605 976.565 6.700 977.315 ;
RECT 5.520 976.395 6.700 976.565 ;
RECT 5.605 975.645 6.700 976.395 ;
RECT 1493.300 976.565 1493.995 977.315 ;
RECT 1493.300 976.395 1494.080 976.565 ;
RECT 1493.300 975.645 1493.995 976.395 ;
RECT 5.605 975.105 6.125 975.645 ;
RECT 1493.475 975.105 1493.995 975.645 ;
RECT 5.605 971.875 6.125 972.415 ;
RECT 1493.475 971.875 1493.995 972.415 ;
RECT 5.605 971.125 6.700 971.875 ;
RECT 5.520 970.955 6.700 971.125 ;
RECT 5.605 970.205 6.700 970.955 ;
RECT 1493.300 971.125 1493.995 971.875 ;
RECT 1493.300 970.955 1494.080 971.125 ;
RECT 1493.300 970.205 1493.995 970.955 ;
RECT 5.605 969.665 6.125 970.205 ;
RECT 1493.475 969.665 1493.995 970.205 ;
RECT 5.605 966.435 6.125 966.975 ;
RECT 1493.475 966.435 1493.995 966.975 ;
RECT 5.605 965.685 6.700 966.435 ;
RECT 5.520 965.515 6.700 965.685 ;
RECT 5.605 964.765 6.700 965.515 ;
RECT 1493.300 965.685 1493.995 966.435 ;
RECT 1493.300 965.515 1494.080 965.685 ;
RECT 1493.300 964.765 1493.995 965.515 ;
RECT 5.605 964.225 6.125 964.765 ;
RECT 1493.475 964.225 1493.995 964.765 ;
RECT 5.605 960.995 6.125 961.535 ;
RECT 1493.475 960.995 1493.995 961.535 ;
RECT 5.605 960.245 6.700 960.995 ;
RECT 5.520 960.075 6.700 960.245 ;
RECT 5.605 959.325 6.700 960.075 ;
RECT 1493.300 960.245 1493.995 960.995 ;
RECT 1493.300 960.075 1494.080 960.245 ;
RECT 1493.300 959.325 1493.995 960.075 ;
RECT 5.605 958.785 6.125 959.325 ;
RECT 1493.475 958.785 1493.995 959.325 ;
RECT 5.605 955.555 6.125 956.095 ;
RECT 1493.475 955.555 1493.995 956.095 ;
RECT 5.605 954.805 6.700 955.555 ;
RECT 5.520 954.635 6.700 954.805 ;
RECT 5.605 953.885 6.700 954.635 ;
RECT 1493.300 954.805 1493.995 955.555 ;
RECT 1493.300 954.635 1494.080 954.805 ;
RECT 1493.300 953.885 1493.995 954.635 ;
RECT 5.605 953.345 6.125 953.885 ;
RECT 1493.475 953.345 1493.995 953.885 ;
RECT 5.605 950.115 6.125 950.655 ;
RECT 1493.475 950.115 1493.995 950.655 ;
RECT 5.605 949.365 6.700 950.115 ;
RECT 5.520 949.195 6.700 949.365 ;
RECT 5.605 948.445 6.700 949.195 ;
RECT 1493.300 949.365 1493.995 950.115 ;
RECT 1493.300 949.195 1494.080 949.365 ;
RECT 1493.300 948.445 1493.995 949.195 ;
RECT 5.605 947.905 6.125 948.445 ;
RECT 1493.475 947.905 1493.995 948.445 ;
RECT 5.605 944.675 6.125 945.215 ;
RECT 1493.475 944.675 1493.995 945.215 ;
RECT 5.605 943.925 6.700 944.675 ;
RECT 5.520 943.755 6.700 943.925 ;
RECT 5.605 943.005 6.700 943.755 ;
RECT 1493.300 943.925 1493.995 944.675 ;
RECT 1493.300 943.755 1494.080 943.925 ;
RECT 1493.300 943.005 1493.995 943.755 ;
RECT 5.605 942.465 6.125 943.005 ;
RECT 1493.475 942.465 1493.995 943.005 ;
RECT 5.605 939.235 6.125 939.775 ;
RECT 1493.475 939.235 1493.995 939.775 ;
RECT 5.605 938.485 6.700 939.235 ;
RECT 5.520 938.315 6.700 938.485 ;
RECT 5.605 937.565 6.700 938.315 ;
RECT 1493.300 938.485 1493.995 939.235 ;
RECT 1493.300 938.315 1494.080 938.485 ;
RECT 1493.300 937.565 1493.995 938.315 ;
RECT 5.605 937.025 6.125 937.565 ;
RECT 1493.475 937.025 1493.995 937.565 ;
RECT 5.605 933.795 6.125 934.335 ;
RECT 1493.475 933.795 1493.995 934.335 ;
RECT 5.605 933.045 6.700 933.795 ;
RECT 5.520 932.875 6.700 933.045 ;
RECT 5.605 932.125 6.700 932.875 ;
RECT 1493.300 933.045 1493.995 933.795 ;
RECT 1493.300 932.875 1494.080 933.045 ;
RECT 1493.300 932.125 1493.995 932.875 ;
RECT 5.605 931.585 6.125 932.125 ;
RECT 1493.475 931.585 1493.995 932.125 ;
RECT 5.605 928.355 6.125 928.895 ;
RECT 1493.475 928.355 1493.995 928.895 ;
RECT 5.605 927.605 6.700 928.355 ;
RECT 5.520 927.435 6.700 927.605 ;
RECT 5.605 926.685 6.700 927.435 ;
RECT 1493.300 927.605 1493.995 928.355 ;
RECT 1493.300 927.435 1494.080 927.605 ;
RECT 1493.300 926.685 1493.995 927.435 ;
RECT 5.605 926.145 6.125 926.685 ;
RECT 1493.475 926.145 1493.995 926.685 ;
RECT 5.605 922.915 6.125 923.455 ;
RECT 1493.475 922.915 1493.995 923.455 ;
RECT 5.605 922.165 6.700 922.915 ;
RECT 5.520 921.995 6.700 922.165 ;
RECT 5.605 921.245 6.700 921.995 ;
RECT 1493.300 922.165 1493.995 922.915 ;
RECT 1493.300 921.995 1494.080 922.165 ;
RECT 1493.300 921.245 1493.995 921.995 ;
RECT 5.605 920.705 6.125 921.245 ;
RECT 1493.475 920.705 1493.995 921.245 ;
RECT 5.605 917.475 6.125 918.015 ;
RECT 1493.475 917.475 1493.995 918.015 ;
RECT 5.605 916.725 6.700 917.475 ;
RECT 5.520 916.555 6.700 916.725 ;
RECT 5.605 915.805 6.700 916.555 ;
RECT 1493.300 916.725 1493.995 917.475 ;
RECT 1493.300 916.555 1494.080 916.725 ;
RECT 1493.300 915.805 1493.995 916.555 ;
RECT 5.605 915.265 6.125 915.805 ;
RECT 1493.475 915.265 1493.995 915.805 ;
RECT 5.605 912.035 6.125 912.575 ;
RECT 1493.475 912.035 1493.995 912.575 ;
RECT 5.605 911.285 6.700 912.035 ;
RECT 5.520 911.115 6.700 911.285 ;
RECT 5.605 910.365 6.700 911.115 ;
RECT 1493.300 911.285 1493.995 912.035 ;
RECT 1493.300 911.115 1494.080 911.285 ;
RECT 1493.300 910.365 1493.995 911.115 ;
RECT 5.605 909.825 6.125 910.365 ;
RECT 1493.475 909.825 1493.995 910.365 ;
RECT 5.605 906.595 6.125 907.135 ;
RECT 1493.475 906.595 1493.995 907.135 ;
RECT 5.605 905.845 6.700 906.595 ;
RECT 5.520 905.675 6.700 905.845 ;
RECT 5.605 904.925 6.700 905.675 ;
RECT 1493.300 905.845 1493.995 906.595 ;
RECT 1493.300 905.675 1494.080 905.845 ;
RECT 1493.300 904.925 1493.995 905.675 ;
RECT 5.605 904.385 6.125 904.925 ;
RECT 1493.475 904.385 1493.995 904.925 ;
RECT 5.605 901.155 6.125 901.695 ;
RECT 1493.475 901.155 1493.995 901.695 ;
RECT 5.605 900.405 6.700 901.155 ;
RECT 5.520 900.235 6.700 900.405 ;
RECT 5.605 899.485 6.700 900.235 ;
RECT 1493.300 900.405 1493.995 901.155 ;
RECT 1493.300 900.235 1494.080 900.405 ;
RECT 1493.300 899.485 1493.995 900.235 ;
RECT 5.605 898.945 6.125 899.485 ;
RECT 1493.475 898.945 1493.995 899.485 ;
RECT 5.605 895.715 6.125 896.255 ;
RECT 1493.475 895.715 1493.995 896.255 ;
RECT 5.605 894.965 6.700 895.715 ;
RECT 5.520 894.795 6.700 894.965 ;
RECT 5.605 894.045 6.700 894.795 ;
RECT 1493.300 894.965 1493.995 895.715 ;
RECT 1493.300 894.795 1494.080 894.965 ;
RECT 1493.300 894.045 1493.995 894.795 ;
RECT 5.605 893.505 6.125 894.045 ;
RECT 1493.475 893.505 1493.995 894.045 ;
RECT 5.605 890.275 6.125 890.815 ;
RECT 1493.475 890.275 1493.995 890.815 ;
RECT 5.605 889.525 6.700 890.275 ;
RECT 5.520 889.355 6.700 889.525 ;
RECT 5.605 888.605 6.700 889.355 ;
RECT 1493.300 889.525 1493.995 890.275 ;
RECT 1493.300 889.355 1494.080 889.525 ;
RECT 1493.300 888.605 1493.995 889.355 ;
RECT 5.605 888.065 6.125 888.605 ;
RECT 1493.475 888.065 1493.995 888.605 ;
RECT 5.605 884.835 6.125 885.375 ;
RECT 1493.475 884.835 1493.995 885.375 ;
RECT 5.605 884.085 6.700 884.835 ;
RECT 5.520 883.915 6.700 884.085 ;
RECT 5.605 883.165 6.700 883.915 ;
RECT 1493.300 884.085 1493.995 884.835 ;
RECT 1493.300 883.915 1494.080 884.085 ;
RECT 1493.300 883.165 1493.995 883.915 ;
RECT 5.605 882.625 6.125 883.165 ;
RECT 1493.475 882.625 1493.995 883.165 ;
RECT 5.605 879.395 6.125 879.935 ;
RECT 1493.475 879.395 1493.995 879.935 ;
RECT 5.605 878.645 6.700 879.395 ;
RECT 5.520 878.475 6.700 878.645 ;
RECT 5.605 877.725 6.700 878.475 ;
RECT 1493.300 878.645 1493.995 879.395 ;
RECT 1493.300 878.475 1494.080 878.645 ;
RECT 1493.300 877.725 1493.995 878.475 ;
RECT 5.605 877.185 6.125 877.725 ;
RECT 1493.475 877.185 1493.995 877.725 ;
RECT 5.605 873.955 6.125 874.495 ;
RECT 1493.475 873.955 1493.995 874.495 ;
RECT 5.605 873.205 6.700 873.955 ;
RECT 5.520 873.035 6.700 873.205 ;
RECT 5.605 872.285 6.700 873.035 ;
RECT 1493.300 873.205 1493.995 873.955 ;
RECT 1493.300 873.035 1494.080 873.205 ;
RECT 1493.300 872.285 1493.995 873.035 ;
RECT 5.605 871.745 6.125 872.285 ;
RECT 1493.475 871.745 1493.995 872.285 ;
RECT 5.605 868.515 6.125 869.055 ;
RECT 1493.475 868.515 1493.995 869.055 ;
RECT 5.605 867.765 6.700 868.515 ;
RECT 5.520 867.595 6.700 867.765 ;
RECT 5.605 866.845 6.700 867.595 ;
RECT 1493.300 867.765 1493.995 868.515 ;
RECT 1493.300 867.595 1494.080 867.765 ;
RECT 1493.300 866.845 1493.995 867.595 ;
RECT 5.605 866.305 6.125 866.845 ;
RECT 1493.475 866.305 1493.995 866.845 ;
RECT 5.605 863.075 6.125 863.615 ;
RECT 1493.475 863.075 1493.995 863.615 ;
RECT 5.605 862.325 6.700 863.075 ;
RECT 5.520 862.155 6.700 862.325 ;
RECT 5.605 861.405 6.700 862.155 ;
RECT 1493.300 862.325 1493.995 863.075 ;
RECT 1493.300 862.155 1494.080 862.325 ;
RECT 1493.300 861.405 1493.995 862.155 ;
RECT 5.605 860.865 6.125 861.405 ;
RECT 1493.475 860.865 1493.995 861.405 ;
RECT 5.605 857.635 6.125 858.175 ;
RECT 1493.475 857.635 1493.995 858.175 ;
RECT 5.605 856.885 6.700 857.635 ;
RECT 5.520 856.715 6.700 856.885 ;
RECT 5.605 855.965 6.700 856.715 ;
RECT 1493.300 856.885 1493.995 857.635 ;
RECT 1493.300 856.715 1494.080 856.885 ;
RECT 1493.300 855.965 1493.995 856.715 ;
RECT 5.605 855.425 6.125 855.965 ;
RECT 1493.475 855.425 1493.995 855.965 ;
RECT 5.605 852.195 6.125 852.735 ;
RECT 1493.475 852.195 1493.995 852.735 ;
RECT 5.605 851.445 6.700 852.195 ;
RECT 5.520 851.275 6.700 851.445 ;
RECT 5.605 850.525 6.700 851.275 ;
RECT 1493.300 851.445 1493.995 852.195 ;
RECT 1493.300 851.275 1494.080 851.445 ;
RECT 1493.300 850.525 1493.995 851.275 ;
RECT 5.605 849.985 6.125 850.525 ;
RECT 1493.475 849.985 1493.995 850.525 ;
RECT 5.605 846.755 6.125 847.295 ;
RECT 1493.475 846.755 1493.995 847.295 ;
RECT 5.605 846.005 6.700 846.755 ;
RECT 5.520 845.835 6.700 846.005 ;
RECT 5.605 845.085 6.700 845.835 ;
RECT 1493.300 846.005 1493.995 846.755 ;
RECT 1493.300 845.835 1494.080 846.005 ;
RECT 1493.300 845.085 1493.995 845.835 ;
RECT 5.605 844.545 6.125 845.085 ;
RECT 1493.475 844.545 1493.995 845.085 ;
RECT 5.605 841.315 6.125 841.855 ;
RECT 1493.475 841.315 1493.995 841.855 ;
RECT 5.605 840.565 6.700 841.315 ;
RECT 5.520 840.395 6.700 840.565 ;
RECT 5.605 839.645 6.700 840.395 ;
RECT 1493.300 840.565 1493.995 841.315 ;
RECT 1493.300 840.395 1494.080 840.565 ;
RECT 1493.300 839.645 1493.995 840.395 ;
RECT 5.605 839.105 6.125 839.645 ;
RECT 1493.475 839.105 1493.995 839.645 ;
RECT 5.605 835.875 6.125 836.415 ;
RECT 1493.475 835.875 1493.995 836.415 ;
RECT 5.605 835.125 6.700 835.875 ;
RECT 5.520 834.955 6.700 835.125 ;
RECT 5.605 834.205 6.700 834.955 ;
RECT 1493.300 835.125 1493.995 835.875 ;
RECT 1493.300 834.955 1494.080 835.125 ;
RECT 1493.300 834.205 1493.995 834.955 ;
RECT 5.605 833.665 6.125 834.205 ;
RECT 1493.475 833.665 1493.995 834.205 ;
RECT 5.605 830.435 6.125 830.975 ;
RECT 1493.475 830.435 1493.995 830.975 ;
RECT 5.605 829.685 6.700 830.435 ;
RECT 5.520 829.515 6.700 829.685 ;
RECT 5.605 828.765 6.700 829.515 ;
RECT 1493.300 829.685 1493.995 830.435 ;
RECT 1493.300 829.515 1494.080 829.685 ;
RECT 1493.300 828.765 1493.995 829.515 ;
RECT 5.605 828.225 6.125 828.765 ;
RECT 1493.475 828.225 1493.995 828.765 ;
RECT 5.605 824.995 6.125 825.535 ;
RECT 1493.475 824.995 1493.995 825.535 ;
RECT 5.605 824.245 6.700 824.995 ;
RECT 5.520 824.075 6.700 824.245 ;
RECT 5.605 823.325 6.700 824.075 ;
RECT 1493.300 824.245 1493.995 824.995 ;
RECT 1493.300 824.075 1494.080 824.245 ;
RECT 1493.300 823.325 1493.995 824.075 ;
RECT 5.605 822.785 6.125 823.325 ;
RECT 1493.475 822.785 1493.995 823.325 ;
RECT 5.605 819.555 6.125 820.095 ;
RECT 1493.475 819.555 1493.995 820.095 ;
RECT 5.605 818.805 6.700 819.555 ;
RECT 5.520 818.635 6.700 818.805 ;
RECT 5.605 817.885 6.700 818.635 ;
RECT 1493.300 818.805 1493.995 819.555 ;
RECT 1493.300 818.635 1494.080 818.805 ;
RECT 1493.300 817.885 1493.995 818.635 ;
RECT 5.605 817.345 6.125 817.885 ;
RECT 1493.475 817.345 1493.995 817.885 ;
RECT 5.605 814.115 6.125 814.655 ;
RECT 1493.475 814.115 1493.995 814.655 ;
RECT 5.605 813.365 6.700 814.115 ;
RECT 5.520 813.195 6.700 813.365 ;
RECT 5.605 812.445 6.700 813.195 ;
RECT 1493.300 813.365 1493.995 814.115 ;
RECT 1493.300 813.195 1494.080 813.365 ;
RECT 1493.300 812.445 1493.995 813.195 ;
RECT 5.605 811.905 6.125 812.445 ;
RECT 1493.475 811.905 1493.995 812.445 ;
RECT 5.605 808.675 6.125 809.215 ;
RECT 1493.475 808.675 1493.995 809.215 ;
RECT 5.605 807.925 6.700 808.675 ;
RECT 5.520 807.755 6.700 807.925 ;
RECT 5.605 807.005 6.700 807.755 ;
RECT 1493.300 807.925 1493.995 808.675 ;
RECT 1493.300 807.755 1494.080 807.925 ;
RECT 1493.300 807.005 1493.995 807.755 ;
RECT 5.605 806.465 6.125 807.005 ;
RECT 1493.475 806.465 1493.995 807.005 ;
RECT 5.605 803.235 6.125 803.775 ;
RECT 1493.475 803.235 1493.995 803.775 ;
RECT 5.605 802.485 6.700 803.235 ;
RECT 5.520 802.315 6.700 802.485 ;
RECT 5.605 801.565 6.700 802.315 ;
RECT 1493.300 802.485 1493.995 803.235 ;
RECT 1493.300 802.315 1494.080 802.485 ;
RECT 1493.300 801.565 1493.995 802.315 ;
RECT 5.605 801.025 6.125 801.565 ;
RECT 1493.475 801.025 1493.995 801.565 ;
RECT 5.605 797.795 6.125 798.335 ;
RECT 1493.475 797.795 1493.995 798.335 ;
RECT 5.605 797.045 6.700 797.795 ;
RECT 5.520 796.875 6.700 797.045 ;
RECT 5.605 796.125 6.700 796.875 ;
RECT 1493.300 797.045 1493.995 797.795 ;
RECT 1493.300 796.875 1494.080 797.045 ;
RECT 1493.300 796.125 1493.995 796.875 ;
RECT 5.605 795.585 6.125 796.125 ;
RECT 1493.475 795.585 1493.995 796.125 ;
RECT 5.605 792.355 6.125 792.895 ;
RECT 1493.475 792.355 1493.995 792.895 ;
RECT 5.605 791.605 6.700 792.355 ;
RECT 5.520 791.435 6.700 791.605 ;
RECT 5.605 790.685 6.700 791.435 ;
RECT 1493.300 791.605 1493.995 792.355 ;
RECT 1493.300 791.435 1494.080 791.605 ;
RECT 1493.300 790.685 1493.995 791.435 ;
RECT 5.605 790.145 6.125 790.685 ;
RECT 1493.475 790.145 1493.995 790.685 ;
RECT 5.605 786.915 6.125 787.455 ;
RECT 1493.475 786.915 1493.995 787.455 ;
RECT 5.605 786.165 6.700 786.915 ;
RECT 5.520 785.995 6.700 786.165 ;
RECT 5.605 785.245 6.700 785.995 ;
RECT 1493.300 786.165 1493.995 786.915 ;
RECT 1493.300 785.995 1494.080 786.165 ;
RECT 1493.300 785.245 1493.995 785.995 ;
RECT 5.605 784.705 6.125 785.245 ;
RECT 1493.475 784.705 1493.995 785.245 ;
RECT 5.605 781.475 6.125 782.015 ;
RECT 1493.475 781.475 1493.995 782.015 ;
RECT 5.605 780.725 6.700 781.475 ;
RECT 5.520 780.555 6.700 780.725 ;
RECT 5.605 779.805 6.700 780.555 ;
RECT 1493.300 780.725 1493.995 781.475 ;
RECT 1493.300 780.555 1494.080 780.725 ;
RECT 1493.300 779.805 1493.995 780.555 ;
RECT 5.605 779.265 6.125 779.805 ;
RECT 1493.475 779.265 1493.995 779.805 ;
RECT 5.605 776.035 6.125 776.575 ;
RECT 1493.475 776.035 1493.995 776.575 ;
RECT 5.605 775.285 6.700 776.035 ;
RECT 5.520 775.115 6.700 775.285 ;
RECT 5.605 774.365 6.700 775.115 ;
RECT 1493.300 775.285 1493.995 776.035 ;
RECT 1493.300 775.115 1494.080 775.285 ;
RECT 1493.300 774.365 1493.995 775.115 ;
RECT 5.605 773.825 6.125 774.365 ;
RECT 1493.475 773.825 1493.995 774.365 ;
RECT 5.605 770.595 6.125 771.135 ;
RECT 1493.475 770.595 1493.995 771.135 ;
RECT 5.605 769.845 6.700 770.595 ;
RECT 5.520 769.675 6.700 769.845 ;
RECT 5.605 768.925 6.700 769.675 ;
RECT 1493.300 769.845 1493.995 770.595 ;
RECT 1493.300 769.675 1494.080 769.845 ;
RECT 1493.300 768.925 1493.995 769.675 ;
RECT 5.605 768.385 6.125 768.925 ;
RECT 1493.475 768.385 1493.995 768.925 ;
RECT 5.605 765.155 6.125 765.695 ;
RECT 1493.475 765.155 1493.995 765.695 ;
RECT 5.605 764.405 6.700 765.155 ;
RECT 5.520 764.235 6.700 764.405 ;
RECT 5.605 763.485 6.700 764.235 ;
RECT 1493.300 764.405 1493.995 765.155 ;
RECT 1493.300 764.235 1494.080 764.405 ;
RECT 1493.300 763.485 1493.995 764.235 ;
RECT 5.605 762.945 6.125 763.485 ;
RECT 1493.475 762.945 1493.995 763.485 ;
RECT 5.605 759.715 6.125 760.255 ;
RECT 1493.475 759.715 1493.995 760.255 ;
RECT 5.605 758.965 6.700 759.715 ;
RECT 5.520 758.795 6.700 758.965 ;
RECT 5.605 758.045 6.700 758.795 ;
RECT 1493.300 758.965 1493.995 759.715 ;
RECT 1493.300 758.795 1494.080 758.965 ;
RECT 1493.300 758.045 1493.995 758.795 ;
RECT 5.605 757.505 6.125 758.045 ;
RECT 1493.475 757.505 1493.995 758.045 ;
RECT 5.605 754.275 6.125 754.815 ;
RECT 1493.475 754.275 1493.995 754.815 ;
RECT 5.605 753.525 6.700 754.275 ;
RECT 5.520 753.355 6.700 753.525 ;
RECT 5.605 752.605 6.700 753.355 ;
RECT 1493.300 753.525 1493.995 754.275 ;
RECT 1493.300 753.355 1494.080 753.525 ;
RECT 1493.300 752.605 1493.995 753.355 ;
RECT 5.605 752.065 6.125 752.605 ;
RECT 1493.475 752.065 1493.995 752.605 ;
RECT 5.605 748.835 6.125 749.375 ;
RECT 1493.475 748.835 1493.995 749.375 ;
RECT 5.605 748.085 6.700 748.835 ;
RECT 5.520 747.915 6.700 748.085 ;
RECT 5.605 747.165 6.700 747.915 ;
RECT 1493.300 748.085 1493.995 748.835 ;
RECT 1493.300 747.915 1494.080 748.085 ;
RECT 1493.300 747.165 1493.995 747.915 ;
RECT 5.605 746.625 6.125 747.165 ;
RECT 1493.475 746.625 1493.995 747.165 ;
RECT 5.605 743.395 6.125 743.935 ;
RECT 1493.475 743.395 1493.995 743.935 ;
RECT 5.605 742.645 6.700 743.395 ;
RECT 5.520 742.475 6.700 742.645 ;
RECT 5.605 741.725 6.700 742.475 ;
RECT 1493.300 742.645 1493.995 743.395 ;
RECT 1493.300 742.475 1494.080 742.645 ;
RECT 1493.300 741.725 1493.995 742.475 ;
RECT 5.605 741.185 6.125 741.725 ;
RECT 1493.475 741.185 1493.995 741.725 ;
RECT 5.605 737.955 6.125 738.495 ;
RECT 1493.475 737.955 1493.995 738.495 ;
RECT 5.605 737.205 6.700 737.955 ;
RECT 5.520 737.035 6.700 737.205 ;
RECT 5.605 736.285 6.700 737.035 ;
RECT 1493.300 737.205 1493.995 737.955 ;
RECT 1493.300 737.035 1494.080 737.205 ;
RECT 1493.300 736.285 1493.995 737.035 ;
RECT 5.605 735.745 6.125 736.285 ;
RECT 1493.475 735.745 1493.995 736.285 ;
RECT 5.605 732.515 6.125 733.055 ;
RECT 1493.475 732.515 1493.995 733.055 ;
RECT 5.605 731.765 6.700 732.515 ;
RECT 5.520 731.595 6.700 731.765 ;
RECT 5.605 730.845 6.700 731.595 ;
RECT 1493.300 731.765 1493.995 732.515 ;
RECT 1493.300 731.595 1494.080 731.765 ;
RECT 1493.300 730.845 1493.995 731.595 ;
RECT 5.605 730.305 6.125 730.845 ;
RECT 1493.475 730.305 1493.995 730.845 ;
RECT 5.605 727.075 6.125 727.615 ;
RECT 1493.475 727.075 1493.995 727.615 ;
RECT 5.605 726.325 6.700 727.075 ;
RECT 5.520 726.155 6.700 726.325 ;
RECT 5.605 725.405 6.700 726.155 ;
RECT 1493.300 726.325 1493.995 727.075 ;
RECT 1493.300 726.155 1494.080 726.325 ;
RECT 1493.300 725.405 1493.995 726.155 ;
RECT 5.605 724.865 6.125 725.405 ;
RECT 1493.475 724.865 1493.995 725.405 ;
RECT 5.605 721.635 6.125 722.175 ;
RECT 1493.475 721.635 1493.995 722.175 ;
RECT 5.605 720.885 6.700 721.635 ;
RECT 5.520 720.715 6.700 720.885 ;
RECT 5.605 719.965 6.700 720.715 ;
RECT 1493.300 720.885 1493.995 721.635 ;
RECT 1493.300 720.715 1494.080 720.885 ;
RECT 1493.300 719.965 1493.995 720.715 ;
RECT 5.605 719.425 6.125 719.965 ;
RECT 1493.475 719.425 1493.995 719.965 ;
RECT 5.605 716.195 6.125 716.735 ;
RECT 1493.475 716.195 1493.995 716.735 ;
RECT 5.605 715.445 6.700 716.195 ;
RECT 5.520 715.275 6.700 715.445 ;
RECT 5.605 714.525 6.700 715.275 ;
RECT 1493.300 715.445 1493.995 716.195 ;
RECT 1493.300 715.275 1494.080 715.445 ;
RECT 1493.300 714.525 1493.995 715.275 ;
RECT 5.605 713.985 6.125 714.525 ;
RECT 1493.475 713.985 1493.995 714.525 ;
RECT 5.605 710.755 6.125 711.295 ;
RECT 1493.475 710.755 1493.995 711.295 ;
RECT 5.605 710.005 6.700 710.755 ;
RECT 5.520 709.835 6.700 710.005 ;
RECT 5.605 709.085 6.700 709.835 ;
RECT 1493.300 710.005 1493.995 710.755 ;
RECT 1493.300 709.835 1494.080 710.005 ;
RECT 1493.300 709.085 1493.995 709.835 ;
RECT 5.605 708.545 6.125 709.085 ;
RECT 1493.475 708.545 1493.995 709.085 ;
RECT 5.605 705.315 6.125 705.855 ;
RECT 1493.475 705.315 1493.995 705.855 ;
RECT 5.605 704.565 6.700 705.315 ;
RECT 5.520 704.395 6.700 704.565 ;
RECT 5.605 703.645 6.700 704.395 ;
RECT 1493.300 704.565 1493.995 705.315 ;
RECT 1493.300 704.395 1494.080 704.565 ;
RECT 1493.300 703.645 1493.995 704.395 ;
RECT 5.605 703.105 6.125 703.645 ;
RECT 1493.475 703.105 1493.995 703.645 ;
RECT 5.605 699.875 6.125 700.415 ;
RECT 1493.475 699.875 1493.995 700.415 ;
RECT 5.605 699.125 6.700 699.875 ;
RECT 5.520 698.955 6.700 699.125 ;
RECT 5.605 698.205 6.700 698.955 ;
RECT 1493.300 699.125 1493.995 699.875 ;
RECT 1493.300 698.955 1494.080 699.125 ;
RECT 1493.300 698.205 1493.995 698.955 ;
RECT 5.605 697.665 6.125 698.205 ;
RECT 1493.475 697.665 1493.995 698.205 ;
RECT 5.605 694.435 6.125 694.975 ;
RECT 1493.475 694.435 1493.995 694.975 ;
RECT 5.605 693.685 6.700 694.435 ;
RECT 5.520 693.515 6.700 693.685 ;
RECT 5.605 692.765 6.700 693.515 ;
RECT 1493.300 693.685 1493.995 694.435 ;
RECT 1493.300 693.515 1494.080 693.685 ;
RECT 1493.300 692.765 1493.995 693.515 ;
RECT 5.605 692.225 6.125 692.765 ;
RECT 1493.475 692.225 1493.995 692.765 ;
RECT 5.605 688.995 6.125 689.535 ;
RECT 1493.475 688.995 1493.995 689.535 ;
RECT 5.605 688.245 6.700 688.995 ;
RECT 5.520 688.075 6.700 688.245 ;
RECT 5.605 687.325 6.700 688.075 ;
RECT 1493.300 688.245 1493.995 688.995 ;
RECT 1493.300 688.075 1494.080 688.245 ;
RECT 1493.300 687.325 1493.995 688.075 ;
RECT 5.605 686.785 6.125 687.325 ;
RECT 1493.475 686.785 1493.995 687.325 ;
RECT 5.605 683.555 6.125 684.095 ;
RECT 1493.475 683.555 1493.995 684.095 ;
RECT 5.605 682.805 6.700 683.555 ;
RECT 5.520 682.635 6.700 682.805 ;
RECT 5.605 681.885 6.700 682.635 ;
RECT 1493.300 682.805 1493.995 683.555 ;
RECT 1493.300 682.635 1494.080 682.805 ;
RECT 1493.300 681.885 1493.995 682.635 ;
RECT 5.605 681.345 6.125 681.885 ;
RECT 1493.475 681.345 1493.995 681.885 ;
RECT 5.605 678.115 6.125 678.655 ;
RECT 1493.475 678.115 1493.995 678.655 ;
RECT 5.605 677.365 6.700 678.115 ;
RECT 5.520 677.195 6.700 677.365 ;
RECT 5.605 676.445 6.700 677.195 ;
RECT 1493.300 677.365 1493.995 678.115 ;
RECT 1493.300 677.195 1494.080 677.365 ;
RECT 1493.300 676.445 1493.995 677.195 ;
RECT 5.605 675.905 6.125 676.445 ;
RECT 1493.475 675.905 1493.995 676.445 ;
RECT 5.605 672.675 6.125 673.215 ;
RECT 1493.475 672.675 1493.995 673.215 ;
RECT 5.605 671.925 6.700 672.675 ;
RECT 5.520 671.755 6.700 671.925 ;
RECT 5.605 671.005 6.700 671.755 ;
RECT 1493.300 671.925 1493.995 672.675 ;
RECT 1493.300 671.755 1494.080 671.925 ;
RECT 1493.300 671.005 1493.995 671.755 ;
RECT 5.605 670.465 6.125 671.005 ;
RECT 1493.475 670.465 1493.995 671.005 ;
RECT 5.605 667.235 6.125 667.775 ;
RECT 1493.475 667.235 1493.995 667.775 ;
RECT 5.605 666.485 6.700 667.235 ;
RECT 5.520 666.315 6.700 666.485 ;
RECT 5.605 665.565 6.700 666.315 ;
RECT 1493.300 666.485 1493.995 667.235 ;
RECT 1493.300 666.315 1494.080 666.485 ;
RECT 1493.300 665.565 1493.995 666.315 ;
RECT 5.605 665.025 6.125 665.565 ;
RECT 1493.475 665.025 1493.995 665.565 ;
RECT 5.605 661.795 6.125 662.335 ;
RECT 1493.475 661.795 1493.995 662.335 ;
RECT 5.605 661.045 6.700 661.795 ;
RECT 5.520 660.875 6.700 661.045 ;
RECT 5.605 660.125 6.700 660.875 ;
RECT 1493.300 661.045 1493.995 661.795 ;
RECT 1493.300 660.875 1494.080 661.045 ;
RECT 1493.300 660.125 1493.995 660.875 ;
RECT 5.605 659.585 6.125 660.125 ;
RECT 1493.475 659.585 1493.995 660.125 ;
RECT 5.605 656.355 6.125 656.895 ;
RECT 1493.475 656.355 1493.995 656.895 ;
RECT 5.605 655.605 6.700 656.355 ;
RECT 5.520 655.435 6.700 655.605 ;
RECT 5.605 654.685 6.700 655.435 ;
RECT 1493.300 655.605 1493.995 656.355 ;
RECT 1493.300 655.435 1494.080 655.605 ;
RECT 1493.300 654.685 1493.995 655.435 ;
RECT 5.605 654.145 6.125 654.685 ;
RECT 1493.475 654.145 1493.995 654.685 ;
RECT 5.605 650.915 6.125 651.455 ;
RECT 1493.475 650.915 1493.995 651.455 ;
RECT 5.605 650.165 6.700 650.915 ;
RECT 5.520 649.995 6.700 650.165 ;
RECT 5.605 649.245 6.700 649.995 ;
RECT 1493.300 650.165 1493.995 650.915 ;
RECT 1493.300 649.995 1494.080 650.165 ;
RECT 1493.300 649.245 1493.995 649.995 ;
RECT 5.605 648.705 6.125 649.245 ;
RECT 1493.475 648.705 1493.995 649.245 ;
RECT 5.605 645.475 6.125 646.015 ;
RECT 1493.475 645.475 1493.995 646.015 ;
RECT 5.605 644.725 6.700 645.475 ;
RECT 5.520 644.555 6.700 644.725 ;
RECT 5.605 643.805 6.700 644.555 ;
RECT 1493.300 644.725 1493.995 645.475 ;
RECT 1493.300 644.555 1494.080 644.725 ;
RECT 1493.300 643.805 1493.995 644.555 ;
RECT 5.605 643.265 6.125 643.805 ;
RECT 1493.475 643.265 1493.995 643.805 ;
RECT 5.605 640.035 6.125 640.575 ;
RECT 1493.475 640.035 1493.995 640.575 ;
RECT 5.605 639.285 6.700 640.035 ;
RECT 5.520 639.115 6.700 639.285 ;
RECT 5.605 638.365 6.700 639.115 ;
RECT 1493.300 639.285 1493.995 640.035 ;
RECT 1493.300 639.115 1494.080 639.285 ;
RECT 1493.300 638.365 1493.995 639.115 ;
RECT 5.605 637.825 6.125 638.365 ;
RECT 1493.475 637.825 1493.995 638.365 ;
RECT 5.605 634.595 6.125 635.135 ;
RECT 1493.475 634.595 1493.995 635.135 ;
RECT 5.605 633.845 6.700 634.595 ;
RECT 5.520 633.675 6.700 633.845 ;
RECT 5.605 632.925 6.700 633.675 ;
RECT 1493.300 633.845 1493.995 634.595 ;
RECT 1493.300 633.675 1494.080 633.845 ;
RECT 1493.300 632.925 1493.995 633.675 ;
RECT 5.605 632.385 6.125 632.925 ;
RECT 1493.475 632.385 1493.995 632.925 ;
RECT 5.605 629.155 6.125 629.695 ;
RECT 1493.475 629.155 1493.995 629.695 ;
RECT 5.605 628.405 6.700 629.155 ;
RECT 5.520 628.235 6.700 628.405 ;
RECT 5.605 627.485 6.700 628.235 ;
RECT 1493.300 628.405 1493.995 629.155 ;
RECT 1493.300 628.235 1494.080 628.405 ;
RECT 1493.300 627.485 1493.995 628.235 ;
RECT 5.605 626.945 6.125 627.485 ;
RECT 1493.475 626.945 1493.995 627.485 ;
RECT 5.605 623.715 6.125 624.255 ;
RECT 1493.475 623.715 1493.995 624.255 ;
RECT 5.605 622.965 6.700 623.715 ;
RECT 5.520 622.795 6.700 622.965 ;
RECT 5.605 622.045 6.700 622.795 ;
RECT 1493.300 622.965 1493.995 623.715 ;
RECT 1493.300 622.795 1494.080 622.965 ;
RECT 1493.300 622.045 1493.995 622.795 ;
RECT 5.605 621.505 6.125 622.045 ;
RECT 1493.475 621.505 1493.995 622.045 ;
RECT 5.605 618.275 6.125 618.815 ;
RECT 1493.475 618.275 1493.995 618.815 ;
RECT 5.605 617.525 6.700 618.275 ;
RECT 5.520 617.355 6.700 617.525 ;
RECT 5.605 616.605 6.700 617.355 ;
RECT 1493.300 617.525 1493.995 618.275 ;
RECT 1493.300 617.355 1494.080 617.525 ;
RECT 1493.300 616.605 1493.995 617.355 ;
RECT 5.605 616.065 6.125 616.605 ;
RECT 1493.475 616.065 1493.995 616.605 ;
RECT 5.605 612.835 6.125 613.375 ;
RECT 1493.475 612.835 1493.995 613.375 ;
RECT 5.605 612.085 6.700 612.835 ;
RECT 5.520 611.915 6.700 612.085 ;
RECT 5.605 611.165 6.700 611.915 ;
RECT 1493.300 612.085 1493.995 612.835 ;
RECT 1493.300 611.915 1494.080 612.085 ;
RECT 1493.300 611.165 1493.995 611.915 ;
RECT 5.605 610.625 6.125 611.165 ;
RECT 1493.475 610.625 1493.995 611.165 ;
RECT 5.605 607.395 6.125 607.935 ;
RECT 1493.475 607.395 1493.995 607.935 ;
RECT 5.605 606.645 6.700 607.395 ;
RECT 5.520 606.475 6.700 606.645 ;
RECT 5.605 605.725 6.700 606.475 ;
RECT 1493.300 606.645 1493.995 607.395 ;
RECT 1493.300 606.475 1494.080 606.645 ;
RECT 1493.300 605.725 1493.995 606.475 ;
RECT 5.605 605.185 6.125 605.725 ;
RECT 1493.475 605.185 1493.995 605.725 ;
RECT 5.605 601.955 6.125 602.495 ;
RECT 1493.475 601.955 1493.995 602.495 ;
RECT 5.605 601.205 6.700 601.955 ;
RECT 5.520 601.035 6.700 601.205 ;
RECT 5.605 600.285 6.700 601.035 ;
RECT 1493.300 601.205 1493.995 601.955 ;
RECT 1493.300 601.035 1494.080 601.205 ;
RECT 1493.300 600.285 1493.995 601.035 ;
RECT 5.605 599.745 6.125 600.285 ;
RECT 1493.475 599.745 1493.995 600.285 ;
RECT 5.605 596.515 6.125 597.055 ;
RECT 1493.475 596.515 1493.995 597.055 ;
RECT 5.605 595.765 6.700 596.515 ;
RECT 5.520 595.595 6.700 595.765 ;
RECT 5.605 594.845 6.700 595.595 ;
RECT 1493.300 595.765 1493.995 596.515 ;
RECT 1493.300 595.595 1494.080 595.765 ;
RECT 1493.300 594.845 1493.995 595.595 ;
RECT 5.605 594.305 6.125 594.845 ;
RECT 1493.475 594.305 1493.995 594.845 ;
RECT 5.605 591.075 6.125 591.615 ;
RECT 1493.475 591.075 1493.995 591.615 ;
RECT 5.605 590.325 6.700 591.075 ;
RECT 5.520 590.155 6.700 590.325 ;
RECT 5.605 589.405 6.700 590.155 ;
RECT 1493.300 590.325 1493.995 591.075 ;
RECT 1493.300 590.155 1494.080 590.325 ;
RECT 1493.300 589.405 1493.995 590.155 ;
RECT 5.605 588.865 6.125 589.405 ;
RECT 1493.475 588.865 1493.995 589.405 ;
RECT 5.605 585.635 6.125 586.175 ;
RECT 1493.475 585.635 1493.995 586.175 ;
RECT 5.605 584.885 6.700 585.635 ;
RECT 5.520 584.715 6.700 584.885 ;
RECT 5.605 583.965 6.700 584.715 ;
RECT 1493.300 584.885 1493.995 585.635 ;
RECT 1493.300 584.715 1494.080 584.885 ;
RECT 1493.300 583.965 1493.995 584.715 ;
RECT 5.605 583.425 6.125 583.965 ;
RECT 1493.475 583.425 1493.995 583.965 ;
RECT 5.605 580.195 6.125 580.735 ;
RECT 1493.475 580.195 1493.995 580.735 ;
RECT 5.605 579.445 6.700 580.195 ;
RECT 5.520 579.275 6.700 579.445 ;
RECT 5.605 578.525 6.700 579.275 ;
RECT 1493.300 579.445 1493.995 580.195 ;
RECT 1493.300 579.275 1494.080 579.445 ;
RECT 1493.300 578.525 1493.995 579.275 ;
RECT 5.605 577.985 6.125 578.525 ;
RECT 1493.475 577.985 1493.995 578.525 ;
RECT 5.605 574.755 6.125 575.295 ;
RECT 1493.475 574.755 1493.995 575.295 ;
RECT 5.605 574.005 6.700 574.755 ;
RECT 5.520 573.835 6.700 574.005 ;
RECT 5.605 573.085 6.700 573.835 ;
RECT 1493.300 574.005 1493.995 574.755 ;
RECT 1493.300 573.835 1494.080 574.005 ;
RECT 1493.300 573.085 1493.995 573.835 ;
RECT 5.605 572.545 6.125 573.085 ;
RECT 1493.475 572.545 1493.995 573.085 ;
RECT 5.605 569.315 6.125 569.855 ;
RECT 1493.475 569.315 1493.995 569.855 ;
RECT 5.605 568.565 6.700 569.315 ;
RECT 5.520 568.395 6.700 568.565 ;
RECT 5.605 567.645 6.700 568.395 ;
RECT 1493.300 568.565 1493.995 569.315 ;
RECT 1493.300 568.395 1494.080 568.565 ;
RECT 1493.300 567.645 1493.995 568.395 ;
RECT 5.605 567.105 6.125 567.645 ;
RECT 1493.475 567.105 1493.995 567.645 ;
RECT 5.605 563.875 6.125 564.415 ;
RECT 1493.475 563.875 1493.995 564.415 ;
RECT 5.605 563.125 6.700 563.875 ;
RECT 5.520 562.955 6.700 563.125 ;
RECT 5.605 562.205 6.700 562.955 ;
RECT 1493.300 563.125 1493.995 563.875 ;
RECT 1493.300 562.955 1494.080 563.125 ;
RECT 1493.300 562.205 1493.995 562.955 ;
RECT 5.605 561.665 6.125 562.205 ;
RECT 1493.475 561.665 1493.995 562.205 ;
RECT 5.605 558.435 6.125 558.975 ;
RECT 1493.475 558.435 1493.995 558.975 ;
RECT 5.605 557.685 6.700 558.435 ;
RECT 5.520 557.515 6.700 557.685 ;
RECT 5.605 556.765 6.700 557.515 ;
RECT 1493.300 557.685 1493.995 558.435 ;
RECT 1493.300 557.515 1494.080 557.685 ;
RECT 1493.300 556.765 1493.995 557.515 ;
RECT 5.605 556.225 6.125 556.765 ;
RECT 1493.475 556.225 1493.995 556.765 ;
RECT 5.605 552.995 6.125 553.535 ;
RECT 1493.475 552.995 1493.995 553.535 ;
RECT 5.605 552.245 6.700 552.995 ;
RECT 5.520 552.075 6.700 552.245 ;
RECT 5.605 551.325 6.700 552.075 ;
RECT 1493.300 552.245 1493.995 552.995 ;
RECT 1493.300 552.075 1494.080 552.245 ;
RECT 1493.300 551.325 1493.995 552.075 ;
RECT 5.605 550.785 6.125 551.325 ;
RECT 1493.475 550.785 1493.995 551.325 ;
RECT 5.605 547.555 6.125 548.095 ;
RECT 1493.475 547.555 1493.995 548.095 ;
RECT 5.605 546.805 6.700 547.555 ;
RECT 5.520 546.635 6.700 546.805 ;
RECT 5.605 545.885 6.700 546.635 ;
RECT 1493.300 546.805 1493.995 547.555 ;
RECT 1493.300 546.635 1494.080 546.805 ;
RECT 1493.300 545.885 1493.995 546.635 ;
RECT 5.605 545.345 6.125 545.885 ;
RECT 1493.475 545.345 1493.995 545.885 ;
RECT 5.605 542.115 6.125 542.655 ;
RECT 1493.475 542.115 1493.995 542.655 ;
RECT 5.605 541.365 6.700 542.115 ;
RECT 5.520 541.195 6.700 541.365 ;
RECT 5.605 540.445 6.700 541.195 ;
RECT 1493.300 541.365 1493.995 542.115 ;
RECT 1493.300 541.195 1494.080 541.365 ;
RECT 1493.300 540.445 1493.995 541.195 ;
RECT 5.605 539.905 6.125 540.445 ;
RECT 1493.475 539.905 1493.995 540.445 ;
RECT 5.605 536.675 6.125 537.215 ;
RECT 1493.475 536.675 1493.995 537.215 ;
RECT 5.605 535.925 6.700 536.675 ;
RECT 5.520 535.755 6.700 535.925 ;
RECT 5.605 535.005 6.700 535.755 ;
RECT 1493.300 535.925 1493.995 536.675 ;
RECT 1493.300 535.755 1494.080 535.925 ;
RECT 1493.300 535.005 1493.995 535.755 ;
RECT 5.605 534.465 6.125 535.005 ;
RECT 1493.475 534.465 1493.995 535.005 ;
RECT 5.605 531.235 6.125 531.775 ;
RECT 1493.475 531.235 1493.995 531.775 ;
RECT 5.605 530.485 6.700 531.235 ;
RECT 5.520 530.315 6.700 530.485 ;
RECT 5.605 529.565 6.700 530.315 ;
RECT 1493.300 530.485 1493.995 531.235 ;
RECT 1493.300 530.315 1494.080 530.485 ;
RECT 1493.300 529.565 1493.995 530.315 ;
RECT 5.605 529.025 6.125 529.565 ;
RECT 1493.475 529.025 1493.995 529.565 ;
RECT 5.605 525.795 6.125 526.335 ;
RECT 1493.475 525.795 1493.995 526.335 ;
RECT 5.605 525.045 6.700 525.795 ;
RECT 5.520 524.875 6.700 525.045 ;
RECT 5.605 524.125 6.700 524.875 ;
RECT 1493.300 525.045 1493.995 525.795 ;
RECT 1493.300 524.875 1494.080 525.045 ;
RECT 1493.300 524.125 1493.995 524.875 ;
RECT 5.605 523.585 6.125 524.125 ;
RECT 1493.475 523.585 1493.995 524.125 ;
RECT 5.605 520.355 6.125 520.895 ;
RECT 1493.475 520.355 1493.995 520.895 ;
RECT 5.605 519.605 6.700 520.355 ;
RECT 5.520 519.435 6.700 519.605 ;
RECT 5.605 518.685 6.700 519.435 ;
RECT 1493.300 519.605 1493.995 520.355 ;
RECT 1493.300 519.435 1494.080 519.605 ;
RECT 1493.300 518.685 1493.995 519.435 ;
RECT 5.605 518.145 6.125 518.685 ;
RECT 1493.475 518.145 1493.995 518.685 ;
RECT 5.605 514.915 6.125 515.455 ;
RECT 1493.475 514.915 1493.995 515.455 ;
RECT 5.605 514.165 6.700 514.915 ;
RECT 5.520 513.995 6.700 514.165 ;
RECT 5.605 513.245 6.700 513.995 ;
RECT 1493.300 514.165 1493.995 514.915 ;
RECT 1493.300 513.995 1494.080 514.165 ;
RECT 1493.300 513.245 1493.995 513.995 ;
RECT 5.605 512.705 6.125 513.245 ;
RECT 1493.475 512.705 1493.995 513.245 ;
RECT 5.605 509.475 6.125 510.015 ;
RECT 1493.475 509.475 1493.995 510.015 ;
RECT 5.605 508.725 6.700 509.475 ;
RECT 5.520 508.555 6.700 508.725 ;
RECT 5.605 507.805 6.700 508.555 ;
RECT 1493.300 508.725 1493.995 509.475 ;
RECT 1493.300 508.555 1494.080 508.725 ;
RECT 1493.300 507.805 1493.995 508.555 ;
RECT 5.605 507.265 6.125 507.805 ;
RECT 1493.475 507.265 1493.995 507.805 ;
RECT 5.605 504.035 6.125 504.575 ;
RECT 1493.475 504.035 1493.995 504.575 ;
RECT 5.605 503.285 6.700 504.035 ;
RECT 5.520 503.115 6.700 503.285 ;
RECT 5.605 502.365 6.700 503.115 ;
RECT 1493.300 503.285 1493.995 504.035 ;
RECT 1493.300 503.115 1494.080 503.285 ;
RECT 1493.300 502.365 1493.995 503.115 ;
RECT 5.605 501.825 6.125 502.365 ;
RECT 1493.475 501.825 1493.995 502.365 ;
RECT 5.605 498.595 6.125 499.135 ;
RECT 1493.475 498.595 1493.995 499.135 ;
RECT 5.605 497.845 6.700 498.595 ;
RECT 5.520 497.675 6.700 497.845 ;
RECT 5.605 496.925 6.700 497.675 ;
RECT 1493.300 497.845 1493.995 498.595 ;
RECT 1493.300 497.675 1494.080 497.845 ;
RECT 1493.300 496.925 1493.995 497.675 ;
RECT 5.605 496.385 6.125 496.925 ;
RECT 1493.475 496.385 1493.995 496.925 ;
RECT 5.605 493.155 6.125 493.695 ;
RECT 1493.475 493.155 1493.995 493.695 ;
RECT 5.605 492.405 6.700 493.155 ;
RECT 5.520 492.235 6.700 492.405 ;
RECT 5.605 491.485 6.700 492.235 ;
RECT 1493.300 492.405 1493.995 493.155 ;
RECT 1493.300 492.235 1494.080 492.405 ;
RECT 1493.300 491.485 1493.995 492.235 ;
RECT 5.605 490.945 6.125 491.485 ;
RECT 1493.475 490.945 1493.995 491.485 ;
RECT 5.605 487.715 6.125 488.255 ;
RECT 1493.475 487.715 1493.995 488.255 ;
RECT 5.605 486.965 6.700 487.715 ;
RECT 5.520 486.795 6.700 486.965 ;
RECT 5.605 486.045 6.700 486.795 ;
RECT 1493.300 486.965 1493.995 487.715 ;
RECT 1493.300 486.795 1494.080 486.965 ;
RECT 1493.300 486.045 1493.995 486.795 ;
RECT 5.605 485.505 6.125 486.045 ;
RECT 1493.475 485.505 1493.995 486.045 ;
RECT 5.605 482.275 6.125 482.815 ;
RECT 1493.475 482.275 1493.995 482.815 ;
RECT 5.605 481.525 6.700 482.275 ;
RECT 5.520 481.355 6.700 481.525 ;
RECT 5.605 480.605 6.700 481.355 ;
RECT 1493.300 481.525 1493.995 482.275 ;
RECT 1493.300 481.355 1494.080 481.525 ;
RECT 1493.300 480.605 1493.995 481.355 ;
RECT 5.605 480.065 6.125 480.605 ;
RECT 1493.475 480.065 1493.995 480.605 ;
RECT 5.605 476.835 6.125 477.375 ;
RECT 1493.475 476.835 1493.995 477.375 ;
RECT 5.605 476.085 6.700 476.835 ;
RECT 5.520 475.915 6.700 476.085 ;
RECT 5.605 475.165 6.700 475.915 ;
RECT 1493.300 476.085 1493.995 476.835 ;
RECT 1493.300 475.915 1494.080 476.085 ;
RECT 1493.300 475.165 1493.995 475.915 ;
RECT 5.605 474.625 6.125 475.165 ;
RECT 1493.475 474.625 1493.995 475.165 ;
RECT 5.605 471.395 6.125 471.935 ;
RECT 1493.475 471.395 1493.995 471.935 ;
RECT 5.605 470.645 6.700 471.395 ;
RECT 5.520 470.475 6.700 470.645 ;
RECT 5.605 469.725 6.700 470.475 ;
RECT 1493.300 470.645 1493.995 471.395 ;
RECT 1493.300 470.475 1494.080 470.645 ;
RECT 1493.300 469.725 1493.995 470.475 ;
RECT 5.605 469.185 6.125 469.725 ;
RECT 1493.475 469.185 1493.995 469.725 ;
RECT 5.605 465.955 6.125 466.495 ;
RECT 1493.475 465.955 1493.995 466.495 ;
RECT 5.605 465.205 6.700 465.955 ;
RECT 5.520 465.035 6.700 465.205 ;
RECT 5.605 464.285 6.700 465.035 ;
RECT 1493.300 465.205 1493.995 465.955 ;
RECT 1493.300 465.035 1494.080 465.205 ;
RECT 1493.300 464.285 1493.995 465.035 ;
RECT 5.605 463.745 6.125 464.285 ;
RECT 1493.475 463.745 1493.995 464.285 ;
RECT 5.605 460.515 6.125 461.055 ;
RECT 1493.475 460.515 1493.995 461.055 ;
RECT 5.605 459.765 6.700 460.515 ;
RECT 5.520 459.595 6.700 459.765 ;
RECT 5.605 458.845 6.700 459.595 ;
RECT 1493.300 459.765 1493.995 460.515 ;
RECT 1493.300 459.595 1494.080 459.765 ;
RECT 1493.300 458.845 1493.995 459.595 ;
RECT 5.605 458.305 6.125 458.845 ;
RECT 1493.475 458.305 1493.995 458.845 ;
RECT 5.605 455.075 6.125 455.615 ;
RECT 1493.475 455.075 1493.995 455.615 ;
RECT 5.605 454.325 6.700 455.075 ;
RECT 5.520 454.155 6.700 454.325 ;
RECT 5.605 453.405 6.700 454.155 ;
RECT 1493.300 454.325 1493.995 455.075 ;
RECT 1493.300 454.155 1494.080 454.325 ;
RECT 1493.300 453.405 1493.995 454.155 ;
RECT 5.605 452.865 6.125 453.405 ;
RECT 1493.475 452.865 1493.995 453.405 ;
RECT 5.605 449.635 6.125 450.175 ;
RECT 1493.475 449.635 1493.995 450.175 ;
RECT 5.605 448.885 6.700 449.635 ;
RECT 5.520 448.715 6.700 448.885 ;
RECT 5.605 447.965 6.700 448.715 ;
RECT 1493.300 448.885 1493.995 449.635 ;
RECT 1493.300 448.715 1494.080 448.885 ;
RECT 1493.300 447.965 1493.995 448.715 ;
RECT 5.605 447.425 6.125 447.965 ;
RECT 1493.475 447.425 1493.995 447.965 ;
RECT 5.605 444.195 6.125 444.735 ;
RECT 1493.475 444.195 1493.995 444.735 ;
RECT 5.605 443.445 6.700 444.195 ;
RECT 5.520 443.275 6.700 443.445 ;
RECT 5.605 442.525 6.700 443.275 ;
RECT 1493.300 443.445 1493.995 444.195 ;
RECT 1493.300 443.275 1494.080 443.445 ;
RECT 1493.300 442.525 1493.995 443.275 ;
RECT 5.605 441.985 6.125 442.525 ;
RECT 1493.475 441.985 1493.995 442.525 ;
RECT 5.605 438.755 6.125 439.295 ;
RECT 1493.475 438.755 1493.995 439.295 ;
RECT 5.605 438.005 6.700 438.755 ;
RECT 5.520 437.835 6.700 438.005 ;
RECT 5.605 437.085 6.700 437.835 ;
RECT 1493.300 438.005 1493.995 438.755 ;
RECT 1493.300 437.835 1494.080 438.005 ;
RECT 1493.300 437.085 1493.995 437.835 ;
RECT 5.605 436.545 6.125 437.085 ;
RECT 1493.475 436.545 1493.995 437.085 ;
RECT 5.605 433.315 6.125 433.855 ;
RECT 1493.475 433.315 1493.995 433.855 ;
RECT 5.605 432.565 6.700 433.315 ;
RECT 5.520 432.395 6.700 432.565 ;
RECT 5.605 431.645 6.700 432.395 ;
RECT 1493.300 432.565 1493.995 433.315 ;
RECT 1493.300 432.395 1494.080 432.565 ;
RECT 1493.300 431.645 1493.995 432.395 ;
RECT 5.605 431.105 6.125 431.645 ;
RECT 1493.475 431.105 1493.995 431.645 ;
RECT 5.605 427.875 6.125 428.415 ;
RECT 1493.475 427.875 1493.995 428.415 ;
RECT 5.605 427.125 6.700 427.875 ;
RECT 5.520 426.955 6.700 427.125 ;
RECT 5.605 426.205 6.700 426.955 ;
RECT 1493.300 427.125 1493.995 427.875 ;
RECT 1493.300 426.955 1494.080 427.125 ;
RECT 1493.300 426.205 1493.995 426.955 ;
RECT 5.605 425.665 6.125 426.205 ;
RECT 1493.475 425.665 1493.995 426.205 ;
RECT 5.605 422.435 6.125 422.975 ;
RECT 1493.475 422.435 1493.995 422.975 ;
RECT 5.605 421.685 6.700 422.435 ;
RECT 5.520 421.515 6.700 421.685 ;
RECT 5.605 420.765 6.700 421.515 ;
RECT 1493.300 421.685 1493.995 422.435 ;
RECT 1493.300 421.515 1494.080 421.685 ;
RECT 1493.300 420.765 1493.995 421.515 ;
RECT 5.605 420.225 6.125 420.765 ;
RECT 1493.475 420.225 1493.995 420.765 ;
RECT 5.605 416.995 6.125 417.535 ;
RECT 1493.475 416.995 1493.995 417.535 ;
RECT 5.605 416.245 6.700 416.995 ;
RECT 5.520 416.075 6.700 416.245 ;
RECT 5.605 415.325 6.700 416.075 ;
RECT 1493.300 416.245 1493.995 416.995 ;
RECT 1493.300 416.075 1494.080 416.245 ;
RECT 1493.300 415.325 1493.995 416.075 ;
RECT 5.605 414.785 6.125 415.325 ;
RECT 1493.475 414.785 1493.995 415.325 ;
RECT 5.605 411.555 6.125 412.095 ;
RECT 1493.475 411.555 1493.995 412.095 ;
RECT 5.605 410.805 6.700 411.555 ;
RECT 5.520 410.635 6.700 410.805 ;
RECT 5.605 409.885 6.700 410.635 ;
RECT 1493.300 410.805 1493.995 411.555 ;
RECT 1493.300 410.635 1494.080 410.805 ;
RECT 1493.300 409.885 1493.995 410.635 ;
RECT 5.605 409.345 6.125 409.885 ;
RECT 1493.475 409.345 1493.995 409.885 ;
RECT 5.605 406.115 6.125 406.655 ;
RECT 1493.475 406.115 1493.995 406.655 ;
RECT 5.605 405.365 6.700 406.115 ;
RECT 5.520 405.195 6.700 405.365 ;
RECT 5.605 404.445 6.700 405.195 ;
RECT 1493.300 405.365 1493.995 406.115 ;
RECT 1493.300 405.195 1494.080 405.365 ;
RECT 1493.300 404.445 1493.995 405.195 ;
RECT 5.605 403.905 6.125 404.445 ;
RECT 1493.475 403.905 1493.995 404.445 ;
RECT 5.605 400.675 6.125 401.215 ;
RECT 1493.475 400.675 1493.995 401.215 ;
RECT 5.605 399.925 6.700 400.675 ;
RECT 5.520 399.755 6.700 399.925 ;
RECT 5.605 399.005 6.700 399.755 ;
RECT 1493.300 399.925 1493.995 400.675 ;
RECT 1493.300 399.755 1494.080 399.925 ;
RECT 1493.300 399.005 1493.995 399.755 ;
RECT 5.605 398.465 6.125 399.005 ;
RECT 1493.475 398.465 1493.995 399.005 ;
RECT 5.605 395.235 6.125 395.775 ;
RECT 1493.475 395.235 1493.995 395.775 ;
RECT 5.605 394.485 6.700 395.235 ;
RECT 5.520 394.315 6.700 394.485 ;
RECT 5.605 393.565 6.700 394.315 ;
RECT 1493.300 394.485 1493.995 395.235 ;
RECT 1493.300 394.315 1494.080 394.485 ;
RECT 1493.300 393.565 1493.995 394.315 ;
RECT 5.605 393.025 6.125 393.565 ;
RECT 1493.475 393.025 1493.995 393.565 ;
RECT 5.605 389.795 6.125 390.335 ;
RECT 1493.475 389.795 1493.995 390.335 ;
RECT 5.605 389.045 6.700 389.795 ;
RECT 5.520 388.875 6.700 389.045 ;
RECT 5.605 388.125 6.700 388.875 ;
RECT 1493.300 389.045 1493.995 389.795 ;
RECT 1493.300 388.875 1494.080 389.045 ;
RECT 1493.300 388.125 1493.995 388.875 ;
RECT 5.605 387.585 6.125 388.125 ;
RECT 1493.475 387.585 1493.995 388.125 ;
RECT 5.605 384.355 6.125 384.895 ;
RECT 1493.475 384.355 1493.995 384.895 ;
RECT 5.605 383.605 6.700 384.355 ;
RECT 5.520 383.435 6.700 383.605 ;
RECT 5.605 382.685 6.700 383.435 ;
RECT 1493.300 383.605 1493.995 384.355 ;
RECT 1493.300 383.435 1494.080 383.605 ;
RECT 1493.300 382.685 1493.995 383.435 ;
RECT 5.605 382.145 6.125 382.685 ;
RECT 1493.475 382.145 1493.995 382.685 ;
RECT 5.605 378.915 6.125 379.455 ;
RECT 1493.475 378.915 1493.995 379.455 ;
RECT 5.605 378.165 6.700 378.915 ;
RECT 5.520 377.995 6.700 378.165 ;
RECT 5.605 377.245 6.700 377.995 ;
RECT 1493.300 378.165 1493.995 378.915 ;
RECT 1493.300 377.995 1494.080 378.165 ;
RECT 1493.300 377.245 1493.995 377.995 ;
RECT 5.605 376.705 6.125 377.245 ;
RECT 1493.475 376.705 1493.995 377.245 ;
RECT 5.605 373.475 6.125 374.015 ;
RECT 1493.475 373.475 1493.995 374.015 ;
RECT 5.605 372.725 6.700 373.475 ;
RECT 5.520 372.555 6.700 372.725 ;
RECT 5.605 371.805 6.700 372.555 ;
RECT 1493.300 372.725 1493.995 373.475 ;
RECT 1493.300 372.555 1494.080 372.725 ;
RECT 1493.300 371.805 1493.995 372.555 ;
RECT 5.605 371.265 6.125 371.805 ;
RECT 1493.475 371.265 1493.995 371.805 ;
RECT 5.605 368.035 6.125 368.575 ;
RECT 1493.475 368.035 1493.995 368.575 ;
RECT 5.605 367.285 6.700 368.035 ;
RECT 5.520 367.115 6.700 367.285 ;
RECT 5.605 366.365 6.700 367.115 ;
RECT 1493.300 367.285 1493.995 368.035 ;
RECT 1493.300 367.115 1494.080 367.285 ;
RECT 1493.300 366.365 1493.995 367.115 ;
RECT 5.605 365.825 6.125 366.365 ;
RECT 1493.475 365.825 1493.995 366.365 ;
RECT 5.605 362.595 6.125 363.135 ;
RECT 1493.475 362.595 1493.995 363.135 ;
RECT 5.605 361.845 6.700 362.595 ;
RECT 5.520 361.675 6.700 361.845 ;
RECT 5.605 360.925 6.700 361.675 ;
RECT 1493.300 361.845 1493.995 362.595 ;
RECT 1493.300 361.675 1494.080 361.845 ;
RECT 1493.300 360.925 1493.995 361.675 ;
RECT 5.605 360.385 6.125 360.925 ;
RECT 1493.475 360.385 1493.995 360.925 ;
RECT 5.605 357.155 6.125 357.695 ;
RECT 1493.475 357.155 1493.995 357.695 ;
RECT 5.605 356.405 6.700 357.155 ;
RECT 5.520 356.235 6.700 356.405 ;
RECT 5.605 355.485 6.700 356.235 ;
RECT 1493.300 356.405 1493.995 357.155 ;
RECT 1493.300 356.235 1494.080 356.405 ;
RECT 1493.300 355.485 1493.995 356.235 ;
RECT 5.605 354.945 6.125 355.485 ;
RECT 1493.475 354.945 1493.995 355.485 ;
RECT 5.605 351.715 6.125 352.255 ;
RECT 1493.475 351.715 1493.995 352.255 ;
RECT 5.605 350.965 6.700 351.715 ;
RECT 5.520 350.795 6.700 350.965 ;
RECT 5.605 350.045 6.700 350.795 ;
RECT 1493.300 350.965 1493.995 351.715 ;
RECT 1493.300 350.795 1494.080 350.965 ;
RECT 1493.300 350.045 1493.995 350.795 ;
RECT 5.605 349.505 6.125 350.045 ;
RECT 1493.475 349.505 1493.995 350.045 ;
RECT 5.605 346.275 6.125 346.815 ;
RECT 1493.475 346.275 1493.995 346.815 ;
RECT 5.605 345.525 6.700 346.275 ;
RECT 5.520 345.355 6.700 345.525 ;
RECT 5.605 344.605 6.700 345.355 ;
RECT 1493.300 345.525 1493.995 346.275 ;
RECT 1493.300 345.355 1494.080 345.525 ;
RECT 1493.300 344.605 1493.995 345.355 ;
RECT 5.605 344.065 6.125 344.605 ;
RECT 1493.475 344.065 1493.995 344.605 ;
RECT 5.605 340.835 6.125 341.375 ;
RECT 1493.475 340.835 1493.995 341.375 ;
RECT 5.605 340.085 6.700 340.835 ;
RECT 5.520 339.915 6.700 340.085 ;
RECT 5.605 339.165 6.700 339.915 ;
RECT 1493.300 340.085 1493.995 340.835 ;
RECT 1493.300 339.915 1494.080 340.085 ;
RECT 1493.300 339.165 1493.995 339.915 ;
RECT 5.605 338.625 6.125 339.165 ;
RECT 1493.475 338.625 1493.995 339.165 ;
RECT 5.605 335.395 6.125 335.935 ;
RECT 1493.475 335.395 1493.995 335.935 ;
RECT 5.605 334.645 6.700 335.395 ;
RECT 5.520 334.475 6.700 334.645 ;
RECT 5.605 333.725 6.700 334.475 ;
RECT 1493.300 334.645 1493.995 335.395 ;
RECT 1493.300 334.475 1494.080 334.645 ;
RECT 1493.300 333.725 1493.995 334.475 ;
RECT 5.605 333.185 6.125 333.725 ;
RECT 1493.475 333.185 1493.995 333.725 ;
RECT 5.605 329.955 6.125 330.495 ;
RECT 1493.475 329.955 1493.995 330.495 ;
RECT 5.605 329.205 6.700 329.955 ;
RECT 5.520 329.035 6.700 329.205 ;
RECT 5.605 328.285 6.700 329.035 ;
RECT 1493.300 329.205 1493.995 329.955 ;
RECT 1493.300 329.035 1494.080 329.205 ;
RECT 1493.300 328.285 1493.995 329.035 ;
RECT 5.605 327.745 6.125 328.285 ;
RECT 1493.475 327.745 1493.995 328.285 ;
RECT 5.605 324.515 6.125 325.055 ;
RECT 1493.475 324.515 1493.995 325.055 ;
RECT 5.605 323.765 6.700 324.515 ;
RECT 5.520 323.595 6.700 323.765 ;
RECT 5.605 322.845 6.700 323.595 ;
RECT 1493.300 323.765 1493.995 324.515 ;
RECT 1493.300 323.595 1494.080 323.765 ;
RECT 1493.300 322.845 1493.995 323.595 ;
RECT 5.605 322.305 6.125 322.845 ;
RECT 1493.475 322.305 1493.995 322.845 ;
RECT 5.605 319.075 6.125 319.615 ;
RECT 1493.475 319.075 1493.995 319.615 ;
RECT 5.605 318.325 6.700 319.075 ;
RECT 5.520 318.155 6.700 318.325 ;
RECT 5.605 317.405 6.700 318.155 ;
RECT 1493.300 318.325 1493.995 319.075 ;
RECT 1493.300 318.155 1494.080 318.325 ;
RECT 1493.300 317.405 1493.995 318.155 ;
RECT 5.605 316.865 6.125 317.405 ;
RECT 1493.475 316.865 1493.995 317.405 ;
RECT 5.605 313.635 6.125 314.175 ;
RECT 1493.475 313.635 1493.995 314.175 ;
RECT 5.605 312.885 6.700 313.635 ;
RECT 5.520 312.715 6.700 312.885 ;
RECT 5.605 311.965 6.700 312.715 ;
RECT 1493.300 312.885 1493.995 313.635 ;
RECT 1493.300 312.715 1494.080 312.885 ;
RECT 1493.300 311.965 1493.995 312.715 ;
RECT 5.605 311.425 6.125 311.965 ;
RECT 1493.475 311.425 1493.995 311.965 ;
RECT 5.605 308.195 6.125 308.735 ;
RECT 1493.475 308.195 1493.995 308.735 ;
RECT 5.605 307.445 6.700 308.195 ;
RECT 5.520 307.275 6.700 307.445 ;
RECT 5.605 306.525 6.700 307.275 ;
RECT 1493.300 307.445 1493.995 308.195 ;
RECT 1493.300 307.275 1494.080 307.445 ;
RECT 1493.300 306.525 1493.995 307.275 ;
RECT 5.605 305.985 6.125 306.525 ;
RECT 1493.475 305.985 1493.995 306.525 ;
RECT 5.605 302.755 6.125 303.295 ;
RECT 1493.475 302.755 1493.995 303.295 ;
RECT 5.605 302.005 6.700 302.755 ;
RECT 5.520 301.835 6.700 302.005 ;
RECT 5.605 301.085 6.700 301.835 ;
RECT 1493.300 302.005 1493.995 302.755 ;
RECT 1493.300 301.835 1494.080 302.005 ;
RECT 1493.300 301.085 1493.995 301.835 ;
RECT 5.605 300.545 6.125 301.085 ;
RECT 1493.475 300.545 1493.995 301.085 ;
RECT 5.605 297.315 6.125 297.855 ;
RECT 1493.475 297.315 1493.995 297.855 ;
RECT 5.605 296.565 6.700 297.315 ;
RECT 5.520 296.395 6.700 296.565 ;
RECT 5.605 295.645 6.700 296.395 ;
RECT 1493.300 296.565 1493.995 297.315 ;
RECT 1493.300 296.395 1494.080 296.565 ;
RECT 1493.300 295.645 1493.995 296.395 ;
RECT 5.605 295.105 6.125 295.645 ;
RECT 1493.475 295.105 1493.995 295.645 ;
RECT 5.605 291.875 6.125 292.415 ;
RECT 1493.475 291.875 1493.995 292.415 ;
RECT 5.605 291.125 6.700 291.875 ;
RECT 5.520 290.955 6.700 291.125 ;
RECT 5.605 290.205 6.700 290.955 ;
RECT 1493.300 291.125 1493.995 291.875 ;
RECT 1493.300 290.955 1494.080 291.125 ;
RECT 1493.300 290.205 1493.995 290.955 ;
RECT 5.605 289.665 6.125 290.205 ;
RECT 1493.475 289.665 1493.995 290.205 ;
RECT 5.605 286.435 6.125 286.975 ;
RECT 1493.475 286.435 1493.995 286.975 ;
RECT 5.605 285.685 6.700 286.435 ;
RECT 5.520 285.515 6.700 285.685 ;
RECT 5.605 284.765 6.700 285.515 ;
RECT 1493.300 285.685 1493.995 286.435 ;
RECT 1493.300 285.515 1494.080 285.685 ;
RECT 1493.300 284.765 1493.995 285.515 ;
RECT 5.605 284.225 6.125 284.765 ;
RECT 1493.475 284.225 1493.995 284.765 ;
RECT 5.605 280.995 6.125 281.535 ;
RECT 1493.475 280.995 1493.995 281.535 ;
RECT 5.605 280.245 6.700 280.995 ;
RECT 5.520 280.075 6.700 280.245 ;
RECT 5.605 279.325 6.700 280.075 ;
RECT 1493.300 280.245 1493.995 280.995 ;
RECT 1493.300 280.075 1494.080 280.245 ;
RECT 1493.300 279.325 1493.995 280.075 ;
RECT 5.605 278.785 6.125 279.325 ;
RECT 1493.475 278.785 1493.995 279.325 ;
RECT 5.605 275.555 6.125 276.095 ;
RECT 1493.475 275.555 1493.995 276.095 ;
RECT 5.605 274.805 6.700 275.555 ;
RECT 5.520 274.635 6.700 274.805 ;
RECT 5.605 273.885 6.700 274.635 ;
RECT 1493.300 274.805 1493.995 275.555 ;
RECT 1493.300 274.635 1494.080 274.805 ;
RECT 1493.300 273.885 1493.995 274.635 ;
RECT 5.605 273.345 6.125 273.885 ;
RECT 1493.475 273.345 1493.995 273.885 ;
RECT 5.605 270.115 6.125 270.655 ;
RECT 1493.475 270.115 1493.995 270.655 ;
RECT 5.605 269.365 6.700 270.115 ;
RECT 5.520 269.195 6.700 269.365 ;
RECT 5.605 268.445 6.700 269.195 ;
RECT 1493.300 269.365 1493.995 270.115 ;
RECT 1493.300 269.195 1494.080 269.365 ;
RECT 1493.300 268.445 1493.995 269.195 ;
RECT 5.605 267.905 6.125 268.445 ;
RECT 1493.475 267.905 1493.995 268.445 ;
RECT 5.605 264.675 6.125 265.215 ;
RECT 1493.475 264.675 1493.995 265.215 ;
RECT 5.605 263.925 6.700 264.675 ;
RECT 5.520 263.755 6.700 263.925 ;
RECT 5.605 263.005 6.700 263.755 ;
RECT 1493.300 263.925 1493.995 264.675 ;
RECT 1493.300 263.755 1494.080 263.925 ;
RECT 1493.300 263.005 1493.995 263.755 ;
RECT 5.605 262.465 6.125 263.005 ;
RECT 1493.475 262.465 1493.995 263.005 ;
RECT 5.605 259.235 6.125 259.775 ;
RECT 1493.475 259.235 1493.995 259.775 ;
RECT 5.605 258.485 6.700 259.235 ;
RECT 5.520 258.315 6.700 258.485 ;
RECT 5.605 257.565 6.700 258.315 ;
RECT 1493.300 258.485 1493.995 259.235 ;
RECT 1493.300 258.315 1494.080 258.485 ;
RECT 1493.300 257.565 1493.995 258.315 ;
RECT 5.605 257.025 6.125 257.565 ;
RECT 1493.475 257.025 1493.995 257.565 ;
RECT 5.605 253.795 6.125 254.335 ;
RECT 1493.475 253.795 1493.995 254.335 ;
RECT 5.605 253.045 6.700 253.795 ;
RECT 5.520 252.875 6.700 253.045 ;
RECT 5.605 252.125 6.700 252.875 ;
RECT 1493.300 253.045 1493.995 253.795 ;
RECT 1493.300 252.875 1494.080 253.045 ;
RECT 1493.300 252.125 1493.995 252.875 ;
RECT 5.605 251.585 6.125 252.125 ;
RECT 1493.475 251.585 1493.995 252.125 ;
RECT 5.605 248.355 6.125 248.895 ;
RECT 1493.475 248.355 1493.995 248.895 ;
RECT 5.605 247.605 6.700 248.355 ;
RECT 5.520 247.435 6.700 247.605 ;
RECT 5.605 246.685 6.700 247.435 ;
RECT 1493.300 247.605 1493.995 248.355 ;
RECT 1493.300 247.435 1494.080 247.605 ;
RECT 1493.300 246.685 1493.995 247.435 ;
RECT 5.605 246.145 6.125 246.685 ;
RECT 1493.475 246.145 1493.995 246.685 ;
RECT 5.605 242.915 6.125 243.455 ;
RECT 1493.475 242.915 1493.995 243.455 ;
RECT 5.605 242.165 6.700 242.915 ;
RECT 5.520 241.995 6.700 242.165 ;
RECT 5.605 241.245 6.700 241.995 ;
RECT 1493.300 242.165 1493.995 242.915 ;
RECT 1493.300 241.995 1494.080 242.165 ;
RECT 1493.300 241.245 1493.995 241.995 ;
RECT 5.605 240.705 6.125 241.245 ;
RECT 1493.475 240.705 1493.995 241.245 ;
RECT 5.605 237.475 6.125 238.015 ;
RECT 1493.475 237.475 1493.995 238.015 ;
RECT 5.605 236.725 6.700 237.475 ;
RECT 5.520 236.555 6.700 236.725 ;
RECT 5.605 235.805 6.700 236.555 ;
RECT 1493.300 236.725 1493.995 237.475 ;
RECT 1493.300 236.555 1494.080 236.725 ;
RECT 1493.300 235.805 1493.995 236.555 ;
RECT 5.605 235.265 6.125 235.805 ;
RECT 1493.475 235.265 1493.995 235.805 ;
RECT 5.605 232.035 6.125 232.575 ;
RECT 1493.475 232.035 1493.995 232.575 ;
RECT 5.605 231.285 6.700 232.035 ;
RECT 5.520 231.115 6.700 231.285 ;
RECT 5.605 230.365 6.700 231.115 ;
RECT 1493.300 231.285 1493.995 232.035 ;
RECT 1493.300 231.115 1494.080 231.285 ;
RECT 1493.300 230.365 1493.995 231.115 ;
RECT 5.605 229.825 6.125 230.365 ;
RECT 1493.475 229.825 1493.995 230.365 ;
RECT 5.605 226.595 6.125 227.135 ;
RECT 1493.475 226.595 1493.995 227.135 ;
RECT 5.605 225.845 6.700 226.595 ;
RECT 5.520 225.675 6.700 225.845 ;
RECT 5.605 224.925 6.700 225.675 ;
RECT 1493.300 225.845 1493.995 226.595 ;
RECT 1493.300 225.675 1494.080 225.845 ;
RECT 1493.300 224.925 1493.995 225.675 ;
RECT 5.605 224.385 6.125 224.925 ;
RECT 1493.475 224.385 1493.995 224.925 ;
RECT 5.605 221.155 6.125 221.695 ;
RECT 1493.475 221.155 1493.995 221.695 ;
RECT 5.605 220.405 6.700 221.155 ;
RECT 5.520 220.235 6.700 220.405 ;
RECT 5.605 219.485 6.700 220.235 ;
RECT 1493.300 220.405 1493.995 221.155 ;
RECT 1493.300 220.235 1494.080 220.405 ;
RECT 1493.300 219.485 1493.995 220.235 ;
RECT 5.605 218.945 6.125 219.485 ;
RECT 1493.475 218.945 1493.995 219.485 ;
RECT 5.605 215.715 6.125 216.255 ;
RECT 1493.475 215.715 1493.995 216.255 ;
RECT 5.605 214.965 6.700 215.715 ;
RECT 5.520 214.795 6.700 214.965 ;
RECT 5.605 214.045 6.700 214.795 ;
RECT 1493.300 214.965 1493.995 215.715 ;
RECT 1493.300 214.795 1494.080 214.965 ;
RECT 1493.300 214.045 1493.995 214.795 ;
RECT 5.605 213.505 6.125 214.045 ;
RECT 1493.475 213.505 1493.995 214.045 ;
RECT 5.605 210.275 6.125 210.815 ;
RECT 1493.475 210.275 1493.995 210.815 ;
RECT 5.605 209.525 6.700 210.275 ;
RECT 5.520 209.355 6.700 209.525 ;
RECT 5.605 208.605 6.700 209.355 ;
RECT 1493.300 209.525 1493.995 210.275 ;
RECT 1493.300 209.355 1494.080 209.525 ;
RECT 1493.300 208.605 1493.995 209.355 ;
RECT 5.605 208.065 6.125 208.605 ;
RECT 1493.475 208.065 1493.995 208.605 ;
RECT 5.605 204.835 6.125 205.375 ;
RECT 1493.475 204.835 1493.995 205.375 ;
RECT 5.605 204.085 6.700 204.835 ;
RECT 5.520 203.915 6.700 204.085 ;
RECT 5.605 203.165 6.700 203.915 ;
RECT 1493.300 204.085 1493.995 204.835 ;
RECT 1493.300 203.915 1494.080 204.085 ;
RECT 1493.300 203.165 1493.995 203.915 ;
RECT 5.605 202.625 6.125 203.165 ;
RECT 1493.475 202.625 1493.995 203.165 ;
RECT 5.605 199.395 6.125 199.935 ;
RECT 1493.475 199.395 1493.995 199.935 ;
RECT 5.605 198.645 6.700 199.395 ;
RECT 5.520 198.475 6.700 198.645 ;
RECT 5.605 197.725 6.700 198.475 ;
RECT 1493.300 198.645 1493.995 199.395 ;
RECT 1493.300 198.475 1494.080 198.645 ;
RECT 1493.300 197.725 1493.995 198.475 ;
RECT 5.605 197.185 6.125 197.725 ;
RECT 1493.475 197.185 1493.995 197.725 ;
RECT 5.605 193.955 6.125 194.495 ;
RECT 1493.475 193.955 1493.995 194.495 ;
RECT 5.605 193.205 6.700 193.955 ;
RECT 5.520 193.035 6.700 193.205 ;
RECT 5.605 192.285 6.700 193.035 ;
RECT 1493.300 193.205 1493.995 193.955 ;
RECT 1493.300 193.035 1494.080 193.205 ;
RECT 1493.300 192.285 1493.995 193.035 ;
RECT 5.605 191.745 6.125 192.285 ;
RECT 1493.475 191.745 1493.995 192.285 ;
RECT 5.605 188.515 6.125 189.055 ;
RECT 1493.475 188.515 1493.995 189.055 ;
RECT 5.605 187.765 6.700 188.515 ;
RECT 5.520 187.595 6.700 187.765 ;
RECT 5.605 186.845 6.700 187.595 ;
RECT 1493.300 187.765 1493.995 188.515 ;
RECT 1493.300 187.595 1494.080 187.765 ;
RECT 1493.300 186.845 1493.995 187.595 ;
RECT 5.605 186.305 6.125 186.845 ;
RECT 1493.475 186.305 1493.995 186.845 ;
RECT 5.605 183.075 6.125 183.615 ;
RECT 1493.475 183.075 1493.995 183.615 ;
RECT 5.605 182.325 6.700 183.075 ;
RECT 5.520 182.155 6.700 182.325 ;
RECT 5.605 181.405 6.700 182.155 ;
RECT 1493.300 182.325 1493.995 183.075 ;
RECT 1493.300 182.155 1494.080 182.325 ;
RECT 1493.300 181.405 1493.995 182.155 ;
RECT 5.605 180.865 6.125 181.405 ;
RECT 1493.475 180.865 1493.995 181.405 ;
RECT 5.605 177.635 6.125 178.175 ;
RECT 1493.475 177.635 1493.995 178.175 ;
RECT 5.605 176.885 6.700 177.635 ;
RECT 5.520 176.715 6.700 176.885 ;
RECT 5.605 175.965 6.700 176.715 ;
RECT 1493.300 176.885 1493.995 177.635 ;
RECT 1493.300 176.715 1494.080 176.885 ;
RECT 1493.300 175.965 1493.995 176.715 ;
RECT 5.605 175.425 6.125 175.965 ;
RECT 1493.475 175.425 1493.995 175.965 ;
RECT 5.605 172.195 6.125 172.735 ;
RECT 1493.475 172.195 1493.995 172.735 ;
RECT 5.605 171.445 6.700 172.195 ;
RECT 5.520 171.275 6.700 171.445 ;
RECT 5.605 170.525 6.700 171.275 ;
RECT 1493.300 171.445 1493.995 172.195 ;
RECT 1493.300 171.275 1494.080 171.445 ;
RECT 1493.300 170.525 1493.995 171.275 ;
RECT 5.605 169.985 6.125 170.525 ;
RECT 1493.475 169.985 1493.995 170.525 ;
RECT 5.605 166.755 6.125 167.295 ;
RECT 1493.475 166.755 1493.995 167.295 ;
RECT 5.605 166.005 6.700 166.755 ;
RECT 5.520 165.835 6.700 166.005 ;
RECT 5.605 165.085 6.700 165.835 ;
RECT 1493.300 166.005 1493.995 166.755 ;
RECT 1493.300 165.835 1494.080 166.005 ;
RECT 1493.300 165.085 1493.995 165.835 ;
RECT 5.605 164.545 6.125 165.085 ;
RECT 1493.475 164.545 1493.995 165.085 ;
RECT 5.605 161.315 6.125 161.855 ;
RECT 1493.475 161.315 1493.995 161.855 ;
RECT 5.605 160.565 6.700 161.315 ;
RECT 5.520 160.395 6.700 160.565 ;
RECT 5.605 159.645 6.700 160.395 ;
RECT 1493.300 160.565 1493.995 161.315 ;
RECT 1493.300 160.395 1494.080 160.565 ;
RECT 1493.300 159.645 1493.995 160.395 ;
RECT 5.605 159.105 6.125 159.645 ;
RECT 1493.475 159.105 1493.995 159.645 ;
RECT 5.605 155.875 6.125 156.415 ;
RECT 1493.475 155.875 1493.995 156.415 ;
RECT 5.605 155.125 6.700 155.875 ;
RECT 5.520 154.955 6.700 155.125 ;
RECT 5.605 154.205 6.700 154.955 ;
RECT 1493.300 155.125 1493.995 155.875 ;
RECT 1493.300 154.955 1494.080 155.125 ;
RECT 1493.300 154.205 1493.995 154.955 ;
RECT 5.605 153.665 6.125 154.205 ;
RECT 1493.475 153.665 1493.995 154.205 ;
RECT 5.605 150.435 6.125 150.975 ;
RECT 1493.475 150.435 1493.995 150.975 ;
RECT 5.605 149.685 6.700 150.435 ;
RECT 5.520 149.515 6.700 149.685 ;
RECT 5.605 148.765 6.700 149.515 ;
RECT 1493.300 149.685 1493.995 150.435 ;
RECT 1493.300 149.515 1494.080 149.685 ;
RECT 1493.300 148.765 1493.995 149.515 ;
RECT 5.605 148.225 6.125 148.765 ;
RECT 1493.475 148.225 1493.995 148.765 ;
RECT 5.605 144.995 6.125 145.535 ;
RECT 1493.475 144.995 1493.995 145.535 ;
RECT 5.605 144.245 6.700 144.995 ;
RECT 5.520 144.075 6.700 144.245 ;
RECT 5.605 143.325 6.700 144.075 ;
RECT 1493.300 144.245 1493.995 144.995 ;
RECT 1493.300 144.075 1494.080 144.245 ;
RECT 1493.300 143.325 1493.995 144.075 ;
RECT 5.605 142.785 6.125 143.325 ;
RECT 1493.475 142.785 1493.995 143.325 ;
RECT 5.605 139.555 6.125 140.095 ;
RECT 1493.475 139.555 1493.995 140.095 ;
RECT 5.605 138.805 6.700 139.555 ;
RECT 5.520 138.635 6.700 138.805 ;
RECT 5.605 137.885 6.700 138.635 ;
RECT 1493.300 138.805 1493.995 139.555 ;
RECT 1493.300 138.635 1494.080 138.805 ;
RECT 1493.300 137.885 1493.995 138.635 ;
RECT 5.605 137.345 6.125 137.885 ;
RECT 1493.475 137.345 1493.995 137.885 ;
RECT 5.605 134.115 6.125 134.655 ;
RECT 1493.475 134.115 1493.995 134.655 ;
RECT 5.605 133.365 6.700 134.115 ;
RECT 5.520 133.195 6.700 133.365 ;
RECT 5.605 132.445 6.700 133.195 ;
RECT 1493.300 133.365 1493.995 134.115 ;
RECT 1493.300 133.195 1494.080 133.365 ;
RECT 1493.300 132.445 1493.995 133.195 ;
RECT 5.605 131.905 6.125 132.445 ;
RECT 1493.475 131.905 1493.995 132.445 ;
RECT 5.605 128.675 6.125 129.215 ;
RECT 1493.475 128.675 1493.995 129.215 ;
RECT 5.605 127.925 6.700 128.675 ;
RECT 5.520 127.755 6.700 127.925 ;
RECT 5.605 127.005 6.700 127.755 ;
RECT 1493.300 127.925 1493.995 128.675 ;
RECT 1493.300 127.755 1494.080 127.925 ;
RECT 1493.300 127.005 1493.995 127.755 ;
RECT 5.605 126.465 6.125 127.005 ;
RECT 1493.475 126.465 1493.995 127.005 ;
RECT 5.605 123.235 6.125 123.775 ;
RECT 1493.475 123.235 1493.995 123.775 ;
RECT 5.605 122.485 6.700 123.235 ;
RECT 5.520 122.315 6.700 122.485 ;
RECT 5.605 121.565 6.700 122.315 ;
RECT 1493.300 122.485 1493.995 123.235 ;
RECT 1493.300 122.315 1494.080 122.485 ;
RECT 1493.300 121.565 1493.995 122.315 ;
RECT 5.605 121.025 6.125 121.565 ;
RECT 1493.475 121.025 1493.995 121.565 ;
RECT 5.605 117.795 6.125 118.335 ;
RECT 1493.475 117.795 1493.995 118.335 ;
RECT 5.605 117.045 6.700 117.795 ;
RECT 5.520 116.875 6.700 117.045 ;
RECT 5.605 116.125 6.700 116.875 ;
RECT 1493.300 117.045 1493.995 117.795 ;
RECT 1493.300 116.875 1494.080 117.045 ;
RECT 1493.300 116.125 1493.995 116.875 ;
RECT 5.605 115.585 6.125 116.125 ;
RECT 1493.475 115.585 1493.995 116.125 ;
RECT 5.605 112.355 6.125 112.895 ;
RECT 1493.475 112.355 1493.995 112.895 ;
RECT 5.605 111.605 6.700 112.355 ;
RECT 5.520 111.435 6.700 111.605 ;
RECT 5.605 110.685 6.700 111.435 ;
RECT 1493.300 111.605 1493.995 112.355 ;
RECT 1493.300 111.435 1494.080 111.605 ;
RECT 1493.300 110.685 1493.995 111.435 ;
RECT 5.605 110.145 6.125 110.685 ;
RECT 1493.475 110.145 1493.995 110.685 ;
RECT 5.605 106.915 6.125 107.455 ;
RECT 1493.475 106.915 1493.995 107.455 ;
RECT 5.605 106.165 6.700 106.915 ;
RECT 5.520 105.995 6.700 106.165 ;
RECT 5.605 105.245 6.700 105.995 ;
RECT 1493.300 106.165 1493.995 106.915 ;
RECT 1493.300 105.995 1494.080 106.165 ;
RECT 1493.300 105.245 1493.995 105.995 ;
RECT 5.605 104.705 6.125 105.245 ;
RECT 1493.475 104.705 1493.995 105.245 ;
RECT 5.605 101.475 6.125 102.015 ;
RECT 1493.475 101.475 1493.995 102.015 ;
RECT 5.605 100.725 6.700 101.475 ;
RECT 5.520 100.555 6.700 100.725 ;
RECT 5.605 99.805 6.700 100.555 ;
RECT 1493.300 100.725 1493.995 101.475 ;
RECT 1493.300 100.555 1494.080 100.725 ;
RECT 1493.300 99.805 1493.995 100.555 ;
RECT 5.605 99.265 6.125 99.805 ;
RECT 1493.475 99.265 1493.995 99.805 ;
RECT 5.605 96.035 6.125 96.575 ;
RECT 1493.475 96.035 1493.995 96.575 ;
RECT 5.605 95.285 6.700 96.035 ;
RECT 5.520 95.115 6.700 95.285 ;
RECT 5.605 94.365 6.700 95.115 ;
RECT 1493.300 95.285 1493.995 96.035 ;
RECT 1493.300 95.115 1494.080 95.285 ;
RECT 1493.300 94.365 1493.995 95.115 ;
RECT 5.605 93.825 6.125 94.365 ;
RECT 1493.475 93.825 1493.995 94.365 ;
RECT 5.605 90.595 6.125 91.135 ;
RECT 1493.475 90.595 1493.995 91.135 ;
RECT 5.605 89.845 6.700 90.595 ;
RECT 5.520 89.675 6.700 89.845 ;
RECT 5.605 88.925 6.700 89.675 ;
RECT 1493.300 89.845 1493.995 90.595 ;
RECT 1493.300 89.675 1494.080 89.845 ;
RECT 1493.300 88.925 1493.995 89.675 ;
RECT 5.605 88.385 6.125 88.925 ;
RECT 1493.475 88.385 1493.995 88.925 ;
RECT 5.605 85.155 6.125 85.695 ;
RECT 1493.475 85.155 1493.995 85.695 ;
RECT 5.605 84.405 6.700 85.155 ;
RECT 5.520 84.235 6.700 84.405 ;
RECT 5.605 83.485 6.700 84.235 ;
RECT 1493.300 84.405 1493.995 85.155 ;
RECT 1493.300 84.235 1494.080 84.405 ;
RECT 1493.300 83.485 1493.995 84.235 ;
RECT 5.605 82.945 6.125 83.485 ;
RECT 1493.475 82.945 1493.995 83.485 ;
RECT 5.605 79.715 6.125 80.255 ;
RECT 1493.475 79.715 1493.995 80.255 ;
RECT 5.605 78.965 6.700 79.715 ;
RECT 5.520 78.795 6.700 78.965 ;
RECT 5.605 78.045 6.700 78.795 ;
RECT 1493.300 78.965 1493.995 79.715 ;
RECT 1493.300 78.795 1494.080 78.965 ;
RECT 1493.300 78.045 1493.995 78.795 ;
RECT 5.605 77.505 6.125 78.045 ;
RECT 1493.475 77.505 1493.995 78.045 ;
RECT 5.605 74.275 6.125 74.815 ;
RECT 1493.475 74.275 1493.995 74.815 ;
RECT 5.605 73.525 6.700 74.275 ;
RECT 5.520 73.355 6.700 73.525 ;
RECT 5.605 72.605 6.700 73.355 ;
RECT 1493.300 73.525 1493.995 74.275 ;
RECT 1493.300 73.355 1494.080 73.525 ;
RECT 1493.300 72.605 1493.995 73.355 ;
RECT 5.605 72.065 6.125 72.605 ;
RECT 1493.475 72.065 1493.995 72.605 ;
RECT 5.605 68.835 6.125 69.375 ;
RECT 1493.475 68.835 1493.995 69.375 ;
RECT 5.605 68.085 6.700 68.835 ;
RECT 5.520 67.915 6.700 68.085 ;
RECT 5.605 67.165 6.700 67.915 ;
RECT 1493.300 68.085 1493.995 68.835 ;
RECT 1493.300 67.915 1494.080 68.085 ;
RECT 1493.300 67.165 1493.995 67.915 ;
RECT 5.605 66.625 6.125 67.165 ;
RECT 1493.475 66.625 1493.995 67.165 ;
RECT 5.605 63.395 6.125 63.935 ;
RECT 1493.475 63.395 1493.995 63.935 ;
RECT 5.605 62.645 6.700 63.395 ;
RECT 5.520 62.475 6.700 62.645 ;
RECT 5.605 61.725 6.700 62.475 ;
RECT 1493.300 62.645 1493.995 63.395 ;
RECT 1493.300 62.475 1494.080 62.645 ;
RECT 1493.300 61.725 1493.995 62.475 ;
RECT 5.605 61.185 6.125 61.725 ;
RECT 1493.475 61.185 1493.995 61.725 ;
RECT 5.605 57.955 6.125 58.495 ;
RECT 1493.475 57.955 1493.995 58.495 ;
RECT 5.605 57.205 6.700 57.955 ;
RECT 5.520 57.035 6.700 57.205 ;
RECT 5.605 56.285 6.700 57.035 ;
RECT 1493.300 57.205 1493.995 57.955 ;
RECT 1493.300 57.035 1494.080 57.205 ;
RECT 1493.300 56.285 1493.995 57.035 ;
RECT 5.605 55.745 6.125 56.285 ;
RECT 1493.475 55.745 1493.995 56.285 ;
RECT 5.605 52.515 6.125 53.055 ;
RECT 1493.475 52.515 1493.995 53.055 ;
RECT 5.605 51.765 6.700 52.515 ;
RECT 5.520 51.595 6.700 51.765 ;
RECT 5.605 50.845 6.700 51.595 ;
RECT 1493.300 51.765 1493.995 52.515 ;
RECT 1493.300 51.595 1494.080 51.765 ;
RECT 1493.300 50.845 1493.995 51.595 ;
RECT 5.605 50.305 6.125 50.845 ;
RECT 1493.475 50.305 1493.995 50.845 ;
RECT 5.605 47.075 6.125 47.615 ;
RECT 1493.475 47.075 1493.995 47.615 ;
RECT 5.605 46.325 6.700 47.075 ;
RECT 5.520 46.155 6.700 46.325 ;
RECT 5.605 45.405 6.700 46.155 ;
RECT 1493.300 46.325 1493.995 47.075 ;
RECT 1493.300 46.155 1494.080 46.325 ;
RECT 1493.300 45.405 1493.995 46.155 ;
RECT 5.605 44.865 6.125 45.405 ;
RECT 1493.475 44.865 1493.995 45.405 ;
RECT 5.605 41.635 6.125 42.175 ;
RECT 1493.475 41.635 1493.995 42.175 ;
RECT 5.605 40.885 6.700 41.635 ;
RECT 5.520 40.715 6.700 40.885 ;
RECT 5.605 39.965 6.700 40.715 ;
RECT 1493.300 40.885 1493.995 41.635 ;
RECT 1493.300 40.715 1494.080 40.885 ;
RECT 1493.300 39.965 1493.995 40.715 ;
RECT 5.605 39.425 6.125 39.965 ;
RECT 1493.475 39.425 1493.995 39.965 ;
RECT 5.605 36.195 6.125 36.735 ;
RECT 1493.475 36.195 1493.995 36.735 ;
RECT 5.605 35.445 6.700 36.195 ;
RECT 5.520 35.275 6.700 35.445 ;
RECT 5.605 34.525 6.700 35.275 ;
RECT 1493.300 35.445 1493.995 36.195 ;
RECT 1493.300 35.275 1494.080 35.445 ;
RECT 1493.300 34.525 1493.995 35.275 ;
RECT 5.605 33.985 6.125 34.525 ;
RECT 1493.475 33.985 1493.995 34.525 ;
RECT 5.605 30.755 6.125 31.295 ;
RECT 1493.475 30.755 1493.995 31.295 ;
RECT 5.605 30.005 6.700 30.755 ;
RECT 5.520 29.835 6.700 30.005 ;
RECT 5.605 29.085 6.700 29.835 ;
RECT 1493.300 30.005 1493.995 30.755 ;
RECT 1493.300 29.835 1494.080 30.005 ;
RECT 1493.300 29.085 1493.995 29.835 ;
RECT 5.605 28.545 6.125 29.085 ;
RECT 1493.475 28.545 1493.995 29.085 ;
RECT 5.605 25.315 6.125 25.855 ;
RECT 1493.475 25.315 1493.995 25.855 ;
RECT 5.605 24.565 6.700 25.315 ;
RECT 5.520 24.395 6.700 24.565 ;
RECT 5.605 23.645 6.700 24.395 ;
RECT 1493.300 24.565 1493.995 25.315 ;
RECT 1493.300 24.395 1494.080 24.565 ;
RECT 1493.300 23.645 1493.995 24.395 ;
RECT 5.605 23.105 6.125 23.645 ;
RECT 1493.475 23.105 1493.995 23.645 ;
RECT 5.605 19.875 6.125 20.415 ;
RECT 1493.475 19.875 1493.995 20.415 ;
RECT 5.605 19.125 6.700 19.875 ;
RECT 5.520 18.955 6.700 19.125 ;
RECT 5.605 18.205 6.700 18.955 ;
RECT 1493.300 19.125 1493.995 19.875 ;
RECT 1493.300 18.955 1494.080 19.125 ;
RECT 1493.300 18.205 1493.995 18.955 ;
RECT 5.605 17.665 6.125 18.205 ;
RECT 1493.475 17.665 1493.995 18.205 ;
RECT 5.605 14.435 6.125 14.975 ;
RECT 1493.475 14.435 1493.995 14.975 ;
RECT 5.605 13.685 6.700 14.435 ;
RECT 5.520 13.515 6.700 13.685 ;
RECT 5.605 12.765 6.700 13.515 ;
RECT 1493.300 13.685 1493.995 14.435 ;
RECT 1493.300 13.515 1494.080 13.685 ;
RECT 1493.300 12.765 1493.995 13.515 ;
RECT 5.605 12.225 6.125 12.765 ;
RECT 1493.475 12.225 1493.995 12.765 ;
LAYER mcon ;
RECT 5.665 1487.755 5.835 1487.925 ;
RECT 6.125 1487.755 6.295 1487.925 ;
RECT 6.585 1487.755 6.700 1487.925 ;
RECT 1493.305 1487.755 1493.475 1487.925 ;
RECT 1493.765 1487.755 1493.935 1487.925 ;
RECT 5.665 1482.315 5.835 1482.485 ;
RECT 6.125 1482.315 6.295 1482.485 ;
RECT 6.585 1482.315 6.700 1482.485 ;
RECT 1493.305 1482.315 1493.475 1482.485 ;
RECT 1493.765 1482.315 1493.935 1482.485 ;
RECT 5.665 1476.875 5.835 1477.045 ;
RECT 6.125 1476.875 6.295 1477.045 ;
RECT 6.585 1476.875 6.700 1477.045 ;
RECT 1493.305 1476.875 1493.475 1477.045 ;
RECT 1493.765 1476.875 1493.935 1477.045 ;
RECT 5.665 1471.435 5.835 1471.605 ;
RECT 6.125 1471.435 6.295 1471.605 ;
RECT 6.585 1471.435 6.700 1471.605 ;
RECT 1493.305 1471.435 1493.475 1471.605 ;
RECT 1493.765 1471.435 1493.935 1471.605 ;
RECT 5.665 1465.995 5.835 1466.165 ;
RECT 6.125 1465.995 6.295 1466.165 ;
RECT 6.585 1465.995 6.700 1466.165 ;
RECT 1493.305 1465.995 1493.475 1466.165 ;
RECT 1493.765 1465.995 1493.935 1466.165 ;
RECT 5.665 1460.555 5.835 1460.725 ;
RECT 6.125 1460.555 6.295 1460.725 ;
RECT 6.585 1460.555 6.700 1460.725 ;
RECT 1493.305 1460.555 1493.475 1460.725 ;
RECT 1493.765 1460.555 1493.935 1460.725 ;
RECT 5.665 1455.115 5.835 1455.285 ;
RECT 6.125 1455.115 6.295 1455.285 ;
RECT 6.585 1455.115 6.700 1455.285 ;
RECT 1493.305 1455.115 1493.475 1455.285 ;
RECT 1493.765 1455.115 1493.935 1455.285 ;
RECT 5.665 1449.675 5.835 1449.845 ;
RECT 6.125 1449.675 6.295 1449.845 ;
RECT 6.585 1449.675 6.700 1449.845 ;
RECT 1493.305 1449.675 1493.475 1449.845 ;
RECT 1493.765 1449.675 1493.935 1449.845 ;
RECT 5.665 1444.235 5.835 1444.405 ;
RECT 6.125 1444.235 6.295 1444.405 ;
RECT 6.585 1444.235 6.700 1444.405 ;
RECT 1493.305 1444.235 1493.475 1444.405 ;
RECT 1493.765 1444.235 1493.935 1444.405 ;
RECT 5.665 1438.795 5.835 1438.965 ;
RECT 6.125 1438.795 6.295 1438.965 ;
RECT 6.585 1438.795 6.700 1438.965 ;
RECT 1493.305 1438.795 1493.475 1438.965 ;
RECT 1493.765 1438.795 1493.935 1438.965 ;
RECT 5.665 1433.355 5.835 1433.525 ;
RECT 6.125 1433.355 6.295 1433.525 ;
RECT 6.585 1433.355 6.700 1433.525 ;
RECT 1493.305 1433.355 1493.475 1433.525 ;
RECT 1493.765 1433.355 1493.935 1433.525 ;
RECT 5.665 1427.915 5.835 1428.085 ;
RECT 6.125 1427.915 6.295 1428.085 ;
RECT 6.585 1427.915 6.700 1428.085 ;
RECT 1493.305 1427.915 1493.475 1428.085 ;
RECT 1493.765 1427.915 1493.935 1428.085 ;
RECT 5.665 1422.475 5.835 1422.645 ;
RECT 6.125 1422.475 6.295 1422.645 ;
RECT 6.585 1422.475 6.700 1422.645 ;
RECT 1493.305 1422.475 1493.475 1422.645 ;
RECT 1493.765 1422.475 1493.935 1422.645 ;
RECT 5.665 1417.035 5.835 1417.205 ;
RECT 6.125 1417.035 6.295 1417.205 ;
RECT 6.585 1417.035 6.700 1417.205 ;
RECT 1493.305 1417.035 1493.475 1417.205 ;
RECT 1493.765 1417.035 1493.935 1417.205 ;
RECT 5.665 1411.595 5.835 1411.765 ;
RECT 6.125 1411.595 6.295 1411.765 ;
RECT 6.585 1411.595 6.700 1411.765 ;
RECT 1493.305 1411.595 1493.475 1411.765 ;
RECT 1493.765 1411.595 1493.935 1411.765 ;
RECT 5.665 1406.155 5.835 1406.325 ;
RECT 6.125 1406.155 6.295 1406.325 ;
RECT 6.585 1406.155 6.700 1406.325 ;
RECT 1493.305 1406.155 1493.475 1406.325 ;
RECT 1493.765 1406.155 1493.935 1406.325 ;
RECT 5.665 1400.715 5.835 1400.885 ;
RECT 6.125 1400.715 6.295 1400.885 ;
RECT 6.585 1400.715 6.700 1400.885 ;
RECT 1493.305 1400.715 1493.475 1400.885 ;
RECT 1493.765 1400.715 1493.935 1400.885 ;
RECT 5.665 1395.275 5.835 1395.445 ;
RECT 6.125 1395.275 6.295 1395.445 ;
RECT 6.585 1395.275 6.700 1395.445 ;
RECT 1493.305 1395.275 1493.475 1395.445 ;
RECT 1493.765 1395.275 1493.935 1395.445 ;
RECT 5.665 1389.835 5.835 1390.005 ;
RECT 6.125 1389.835 6.295 1390.005 ;
RECT 6.585 1389.835 6.700 1390.005 ;
RECT 1493.305 1389.835 1493.475 1390.005 ;
RECT 1493.765 1389.835 1493.935 1390.005 ;
RECT 5.665 1384.395 5.835 1384.565 ;
RECT 6.125 1384.395 6.295 1384.565 ;
RECT 6.585 1384.395 6.700 1384.565 ;
RECT 1493.305 1384.395 1493.475 1384.565 ;
RECT 1493.765 1384.395 1493.935 1384.565 ;
RECT 5.665 1378.955 5.835 1379.125 ;
RECT 6.125 1378.955 6.295 1379.125 ;
RECT 6.585 1378.955 6.700 1379.125 ;
RECT 1493.305 1378.955 1493.475 1379.125 ;
RECT 1493.765 1378.955 1493.935 1379.125 ;
RECT 5.665 1373.515 5.835 1373.685 ;
RECT 6.125 1373.515 6.295 1373.685 ;
RECT 6.585 1373.515 6.700 1373.685 ;
RECT 1493.305 1373.515 1493.475 1373.685 ;
RECT 1493.765 1373.515 1493.935 1373.685 ;
RECT 5.665 1368.075 5.835 1368.245 ;
RECT 6.125 1368.075 6.295 1368.245 ;
RECT 6.585 1368.075 6.700 1368.245 ;
RECT 1493.305 1368.075 1493.475 1368.245 ;
RECT 1493.765 1368.075 1493.935 1368.245 ;
RECT 5.665 1362.635 5.835 1362.805 ;
RECT 6.125 1362.635 6.295 1362.805 ;
RECT 6.585 1362.635 6.700 1362.805 ;
RECT 1493.305 1362.635 1493.475 1362.805 ;
RECT 1493.765 1362.635 1493.935 1362.805 ;
RECT 5.665 1357.195 5.835 1357.365 ;
RECT 6.125 1357.195 6.295 1357.365 ;
RECT 6.585 1357.195 6.700 1357.365 ;
RECT 1493.305 1357.195 1493.475 1357.365 ;
RECT 1493.765 1357.195 1493.935 1357.365 ;
RECT 5.665 1351.755 5.835 1351.925 ;
RECT 6.125 1351.755 6.295 1351.925 ;
RECT 6.585 1351.755 6.700 1351.925 ;
RECT 1493.305 1351.755 1493.475 1351.925 ;
RECT 1493.765 1351.755 1493.935 1351.925 ;
RECT 5.665 1346.315 5.835 1346.485 ;
RECT 6.125 1346.315 6.295 1346.485 ;
RECT 6.585 1346.315 6.700 1346.485 ;
RECT 1493.305 1346.315 1493.475 1346.485 ;
RECT 1493.765 1346.315 1493.935 1346.485 ;
RECT 5.665 1340.875 5.835 1341.045 ;
RECT 6.125 1340.875 6.295 1341.045 ;
RECT 6.585 1340.875 6.700 1341.045 ;
RECT 1493.305 1340.875 1493.475 1341.045 ;
RECT 1493.765 1340.875 1493.935 1341.045 ;
RECT 5.665 1335.435 5.835 1335.605 ;
RECT 6.125 1335.435 6.295 1335.605 ;
RECT 6.585 1335.435 6.700 1335.605 ;
RECT 1493.305 1335.435 1493.475 1335.605 ;
RECT 1493.765 1335.435 1493.935 1335.605 ;
RECT 5.665 1329.995 5.835 1330.165 ;
RECT 6.125 1329.995 6.295 1330.165 ;
RECT 6.585 1329.995 6.700 1330.165 ;
RECT 1493.305 1329.995 1493.475 1330.165 ;
RECT 1493.765 1329.995 1493.935 1330.165 ;
RECT 5.665 1324.555 5.835 1324.725 ;
RECT 6.125 1324.555 6.295 1324.725 ;
RECT 6.585 1324.555 6.700 1324.725 ;
RECT 1493.305 1324.555 1493.475 1324.725 ;
RECT 1493.765 1324.555 1493.935 1324.725 ;
RECT 5.665 1319.115 5.835 1319.285 ;
RECT 6.125 1319.115 6.295 1319.285 ;
RECT 6.585 1319.115 6.700 1319.285 ;
RECT 1493.305 1319.115 1493.475 1319.285 ;
RECT 1493.765 1319.115 1493.935 1319.285 ;
RECT 5.665 1313.675 5.835 1313.845 ;
RECT 6.125 1313.675 6.295 1313.845 ;
RECT 6.585 1313.675 6.700 1313.845 ;
RECT 1493.305 1313.675 1493.475 1313.845 ;
RECT 1493.765 1313.675 1493.935 1313.845 ;
RECT 5.665 1308.235 5.835 1308.405 ;
RECT 6.125 1308.235 6.295 1308.405 ;
RECT 6.585 1308.235 6.700 1308.405 ;
RECT 1493.305 1308.235 1493.475 1308.405 ;
RECT 1493.765 1308.235 1493.935 1308.405 ;
RECT 5.665 1302.795 5.835 1302.965 ;
RECT 6.125 1302.795 6.295 1302.965 ;
RECT 6.585 1302.795 6.700 1302.965 ;
RECT 1493.305 1302.795 1493.475 1302.965 ;
RECT 1493.765 1302.795 1493.935 1302.965 ;
RECT 5.665 1297.355 5.835 1297.525 ;
RECT 6.125 1297.355 6.295 1297.525 ;
RECT 6.585 1297.355 6.700 1297.525 ;
RECT 1493.305 1297.355 1493.475 1297.525 ;
RECT 1493.765 1297.355 1493.935 1297.525 ;
RECT 5.665 1291.915 5.835 1292.085 ;
RECT 6.125 1291.915 6.295 1292.085 ;
RECT 6.585 1291.915 6.700 1292.085 ;
RECT 1493.305 1291.915 1493.475 1292.085 ;
RECT 1493.765 1291.915 1493.935 1292.085 ;
RECT 5.665 1286.475 5.835 1286.645 ;
RECT 6.125 1286.475 6.295 1286.645 ;
RECT 6.585 1286.475 6.700 1286.645 ;
RECT 1493.305 1286.475 1493.475 1286.645 ;
RECT 1493.765 1286.475 1493.935 1286.645 ;
RECT 5.665 1281.035 5.835 1281.205 ;
RECT 6.125 1281.035 6.295 1281.205 ;
RECT 6.585 1281.035 6.700 1281.205 ;
RECT 1493.305 1281.035 1493.475 1281.205 ;
RECT 1493.765 1281.035 1493.935 1281.205 ;
RECT 5.665 1275.595 5.835 1275.765 ;
RECT 6.125 1275.595 6.295 1275.765 ;
RECT 6.585 1275.595 6.700 1275.765 ;
RECT 1493.305 1275.595 1493.475 1275.765 ;
RECT 1493.765 1275.595 1493.935 1275.765 ;
RECT 5.665 1270.155 5.835 1270.325 ;
RECT 6.125 1270.155 6.295 1270.325 ;
RECT 6.585 1270.155 6.700 1270.325 ;
RECT 1493.305 1270.155 1493.475 1270.325 ;
RECT 1493.765 1270.155 1493.935 1270.325 ;
RECT 5.665 1264.715 5.835 1264.885 ;
RECT 6.125 1264.715 6.295 1264.885 ;
RECT 6.585 1264.715 6.700 1264.885 ;
RECT 1493.305 1264.715 1493.475 1264.885 ;
RECT 1493.765 1264.715 1493.935 1264.885 ;
RECT 5.665 1259.275 5.835 1259.445 ;
RECT 6.125 1259.275 6.295 1259.445 ;
RECT 6.585 1259.275 6.700 1259.445 ;
RECT 1493.305 1259.275 1493.475 1259.445 ;
RECT 1493.765 1259.275 1493.935 1259.445 ;
RECT 5.665 1253.835 5.835 1254.005 ;
RECT 6.125 1253.835 6.295 1254.005 ;
RECT 6.585 1253.835 6.700 1254.005 ;
RECT 1493.305 1253.835 1493.475 1254.005 ;
RECT 1493.765 1253.835 1493.935 1254.005 ;
RECT 5.665 1248.395 5.835 1248.565 ;
RECT 6.125 1248.395 6.295 1248.565 ;
RECT 6.585 1248.395 6.700 1248.565 ;
RECT 1493.305 1248.395 1493.475 1248.565 ;
RECT 1493.765 1248.395 1493.935 1248.565 ;
RECT 5.665 1242.955 5.835 1243.125 ;
RECT 6.125 1242.955 6.295 1243.125 ;
RECT 6.585 1242.955 6.700 1243.125 ;
RECT 1493.305 1242.955 1493.475 1243.125 ;
RECT 1493.765 1242.955 1493.935 1243.125 ;
RECT 5.665 1237.515 5.835 1237.685 ;
RECT 6.125 1237.515 6.295 1237.685 ;
RECT 6.585 1237.515 6.700 1237.685 ;
RECT 1493.305 1237.515 1493.475 1237.685 ;
RECT 1493.765 1237.515 1493.935 1237.685 ;
RECT 5.665 1232.075 5.835 1232.245 ;
RECT 6.125 1232.075 6.295 1232.245 ;
RECT 6.585 1232.075 6.700 1232.245 ;
RECT 1493.305 1232.075 1493.475 1232.245 ;
RECT 1493.765 1232.075 1493.935 1232.245 ;
RECT 5.665 1226.635 5.835 1226.805 ;
RECT 6.125 1226.635 6.295 1226.805 ;
RECT 6.585 1226.635 6.700 1226.805 ;
RECT 1493.305 1226.635 1493.475 1226.805 ;
RECT 1493.765 1226.635 1493.935 1226.805 ;
RECT 5.665 1221.195 5.835 1221.365 ;
RECT 6.125 1221.195 6.295 1221.365 ;
RECT 6.585 1221.195 6.700 1221.365 ;
RECT 1493.305 1221.195 1493.475 1221.365 ;
RECT 1493.765 1221.195 1493.935 1221.365 ;
RECT 5.665 1215.755 5.835 1215.925 ;
RECT 6.125 1215.755 6.295 1215.925 ;
RECT 6.585 1215.755 6.700 1215.925 ;
RECT 1493.305 1215.755 1493.475 1215.925 ;
RECT 1493.765 1215.755 1493.935 1215.925 ;
RECT 5.665 1210.315 5.835 1210.485 ;
RECT 6.125 1210.315 6.295 1210.485 ;
RECT 6.585 1210.315 6.700 1210.485 ;
RECT 1493.305 1210.315 1493.475 1210.485 ;
RECT 1493.765 1210.315 1493.935 1210.485 ;
RECT 5.665 1204.875 5.835 1205.045 ;
RECT 6.125 1204.875 6.295 1205.045 ;
RECT 6.585 1204.875 6.700 1205.045 ;
RECT 1493.305 1204.875 1493.475 1205.045 ;
RECT 1493.765 1204.875 1493.935 1205.045 ;
RECT 5.665 1199.435 5.835 1199.605 ;
RECT 6.125 1199.435 6.295 1199.605 ;
RECT 6.585 1199.435 6.700 1199.605 ;
RECT 1493.305 1199.435 1493.475 1199.605 ;
RECT 1493.765 1199.435 1493.935 1199.605 ;
RECT 5.665 1193.995 5.835 1194.165 ;
RECT 6.125 1193.995 6.295 1194.165 ;
RECT 6.585 1193.995 6.700 1194.165 ;
RECT 1493.305 1193.995 1493.475 1194.165 ;
RECT 1493.765 1193.995 1493.935 1194.165 ;
RECT 5.665 1188.555 5.835 1188.725 ;
RECT 6.125 1188.555 6.295 1188.725 ;
RECT 6.585 1188.555 6.700 1188.725 ;
RECT 1493.305 1188.555 1493.475 1188.725 ;
RECT 1493.765 1188.555 1493.935 1188.725 ;
RECT 5.665 1183.115 5.835 1183.285 ;
RECT 6.125 1183.115 6.295 1183.285 ;
RECT 6.585 1183.115 6.700 1183.285 ;
RECT 1493.305 1183.115 1493.475 1183.285 ;
RECT 1493.765 1183.115 1493.935 1183.285 ;
RECT 5.665 1177.675 5.835 1177.845 ;
RECT 6.125 1177.675 6.295 1177.845 ;
RECT 6.585 1177.675 6.700 1177.845 ;
RECT 1493.305 1177.675 1493.475 1177.845 ;
RECT 1493.765 1177.675 1493.935 1177.845 ;
RECT 5.665 1172.235 5.835 1172.405 ;
RECT 6.125 1172.235 6.295 1172.405 ;
RECT 6.585 1172.235 6.700 1172.405 ;
RECT 1493.305 1172.235 1493.475 1172.405 ;
RECT 1493.765 1172.235 1493.935 1172.405 ;
RECT 5.665 1166.795 5.835 1166.965 ;
RECT 6.125 1166.795 6.295 1166.965 ;
RECT 6.585 1166.795 6.700 1166.965 ;
RECT 1493.305 1166.795 1493.475 1166.965 ;
RECT 1493.765 1166.795 1493.935 1166.965 ;
RECT 5.665 1161.355 5.835 1161.525 ;
RECT 6.125 1161.355 6.295 1161.525 ;
RECT 6.585 1161.355 6.700 1161.525 ;
RECT 1493.305 1161.355 1493.475 1161.525 ;
RECT 1493.765 1161.355 1493.935 1161.525 ;
RECT 5.665 1155.915 5.835 1156.085 ;
RECT 6.125 1155.915 6.295 1156.085 ;
RECT 6.585 1155.915 6.700 1156.085 ;
RECT 1493.305 1155.915 1493.475 1156.085 ;
RECT 1493.765 1155.915 1493.935 1156.085 ;
RECT 5.665 1150.475 5.835 1150.645 ;
RECT 6.125 1150.475 6.295 1150.645 ;
RECT 6.585 1150.475 6.700 1150.645 ;
RECT 1493.305 1150.475 1493.475 1150.645 ;
RECT 1493.765 1150.475 1493.935 1150.645 ;
RECT 5.665 1145.035 5.835 1145.205 ;
RECT 6.125 1145.035 6.295 1145.205 ;
RECT 6.585 1145.035 6.700 1145.205 ;
RECT 1493.305 1145.035 1493.475 1145.205 ;
RECT 1493.765 1145.035 1493.935 1145.205 ;
RECT 5.665 1139.595 5.835 1139.765 ;
RECT 6.125 1139.595 6.295 1139.765 ;
RECT 6.585 1139.595 6.700 1139.765 ;
RECT 1493.305 1139.595 1493.475 1139.765 ;
RECT 1493.765 1139.595 1493.935 1139.765 ;
RECT 5.665 1134.155 5.835 1134.325 ;
RECT 6.125 1134.155 6.295 1134.325 ;
RECT 6.585 1134.155 6.700 1134.325 ;
RECT 1493.305 1134.155 1493.475 1134.325 ;
RECT 1493.765 1134.155 1493.935 1134.325 ;
RECT 5.665 1128.715 5.835 1128.885 ;
RECT 6.125 1128.715 6.295 1128.885 ;
RECT 6.585 1128.715 6.700 1128.885 ;
RECT 1493.305 1128.715 1493.475 1128.885 ;
RECT 1493.765 1128.715 1493.935 1128.885 ;
RECT 5.665 1123.275 5.835 1123.445 ;
RECT 6.125 1123.275 6.295 1123.445 ;
RECT 6.585 1123.275 6.700 1123.445 ;
RECT 1493.305 1123.275 1493.475 1123.445 ;
RECT 1493.765 1123.275 1493.935 1123.445 ;
RECT 5.665 1117.835 5.835 1118.005 ;
RECT 6.125 1117.835 6.295 1118.005 ;
RECT 6.585 1117.835 6.700 1118.005 ;
RECT 1493.305 1117.835 1493.475 1118.005 ;
RECT 1493.765 1117.835 1493.935 1118.005 ;
RECT 5.665 1112.395 5.835 1112.565 ;
RECT 6.125 1112.395 6.295 1112.565 ;
RECT 6.585 1112.395 6.700 1112.565 ;
RECT 1493.305 1112.395 1493.475 1112.565 ;
RECT 1493.765 1112.395 1493.935 1112.565 ;
RECT 5.665 1106.955 5.835 1107.125 ;
RECT 6.125 1106.955 6.295 1107.125 ;
RECT 6.585 1106.955 6.700 1107.125 ;
RECT 1493.305 1106.955 1493.475 1107.125 ;
RECT 1493.765 1106.955 1493.935 1107.125 ;
RECT 5.665 1101.515 5.835 1101.685 ;
RECT 6.125 1101.515 6.295 1101.685 ;
RECT 6.585 1101.515 6.700 1101.685 ;
RECT 1493.305 1101.515 1493.475 1101.685 ;
RECT 1493.765 1101.515 1493.935 1101.685 ;
RECT 5.665 1096.075 5.835 1096.245 ;
RECT 6.125 1096.075 6.295 1096.245 ;
RECT 6.585 1096.075 6.700 1096.245 ;
RECT 1493.305 1096.075 1493.475 1096.245 ;
RECT 1493.765 1096.075 1493.935 1096.245 ;
RECT 5.665 1090.635 5.835 1090.805 ;
RECT 6.125 1090.635 6.295 1090.805 ;
RECT 6.585 1090.635 6.700 1090.805 ;
RECT 1493.305 1090.635 1493.475 1090.805 ;
RECT 1493.765 1090.635 1493.935 1090.805 ;
RECT 5.665 1085.195 5.835 1085.365 ;
RECT 6.125 1085.195 6.295 1085.365 ;
RECT 6.585 1085.195 6.700 1085.365 ;
RECT 1493.305 1085.195 1493.475 1085.365 ;
RECT 1493.765 1085.195 1493.935 1085.365 ;
RECT 5.665 1079.755 5.835 1079.925 ;
RECT 6.125 1079.755 6.295 1079.925 ;
RECT 6.585 1079.755 6.700 1079.925 ;
RECT 1493.305 1079.755 1493.475 1079.925 ;
RECT 1493.765 1079.755 1493.935 1079.925 ;
RECT 5.665 1074.315 5.835 1074.485 ;
RECT 6.125 1074.315 6.295 1074.485 ;
RECT 6.585 1074.315 6.700 1074.485 ;
RECT 1493.305 1074.315 1493.475 1074.485 ;
RECT 1493.765 1074.315 1493.935 1074.485 ;
RECT 5.665 1068.875 5.835 1069.045 ;
RECT 6.125 1068.875 6.295 1069.045 ;
RECT 6.585 1068.875 6.700 1069.045 ;
RECT 1493.305 1068.875 1493.475 1069.045 ;
RECT 1493.765 1068.875 1493.935 1069.045 ;
RECT 5.665 1063.435 5.835 1063.605 ;
RECT 6.125 1063.435 6.295 1063.605 ;
RECT 6.585 1063.435 6.700 1063.605 ;
RECT 1493.305 1063.435 1493.475 1063.605 ;
RECT 1493.765 1063.435 1493.935 1063.605 ;
RECT 5.665 1057.995 5.835 1058.165 ;
RECT 6.125 1057.995 6.295 1058.165 ;
RECT 6.585 1057.995 6.700 1058.165 ;
RECT 1493.305 1057.995 1493.475 1058.165 ;
RECT 1493.765 1057.995 1493.935 1058.165 ;
RECT 5.665 1052.555 5.835 1052.725 ;
RECT 6.125 1052.555 6.295 1052.725 ;
RECT 6.585 1052.555 6.700 1052.725 ;
RECT 1493.305 1052.555 1493.475 1052.725 ;
RECT 1493.765 1052.555 1493.935 1052.725 ;
RECT 5.665 1047.115 5.835 1047.285 ;
RECT 6.125 1047.115 6.295 1047.285 ;
RECT 6.585 1047.115 6.700 1047.285 ;
RECT 1493.305 1047.115 1493.475 1047.285 ;
RECT 1493.765 1047.115 1493.935 1047.285 ;
RECT 5.665 1041.675 5.835 1041.845 ;
RECT 6.125 1041.675 6.295 1041.845 ;
RECT 6.585 1041.675 6.700 1041.845 ;
RECT 1493.305 1041.675 1493.475 1041.845 ;
RECT 1493.765 1041.675 1493.935 1041.845 ;
RECT 5.665 1036.235 5.835 1036.405 ;
RECT 6.125 1036.235 6.295 1036.405 ;
RECT 6.585 1036.235 6.700 1036.405 ;
RECT 1493.305 1036.235 1493.475 1036.405 ;
RECT 1493.765 1036.235 1493.935 1036.405 ;
RECT 5.665 1030.795 5.835 1030.965 ;
RECT 6.125 1030.795 6.295 1030.965 ;
RECT 6.585 1030.795 6.700 1030.965 ;
RECT 1493.305 1030.795 1493.475 1030.965 ;
RECT 1493.765 1030.795 1493.935 1030.965 ;
RECT 5.665 1025.355 5.835 1025.525 ;
RECT 6.125 1025.355 6.295 1025.525 ;
RECT 6.585 1025.355 6.700 1025.525 ;
RECT 1493.305 1025.355 1493.475 1025.525 ;
RECT 1493.765 1025.355 1493.935 1025.525 ;
RECT 5.665 1019.915 5.835 1020.085 ;
RECT 6.125 1019.915 6.295 1020.085 ;
RECT 6.585 1019.915 6.700 1020.085 ;
RECT 1493.305 1019.915 1493.475 1020.085 ;
RECT 1493.765 1019.915 1493.935 1020.085 ;
RECT 5.665 1014.475 5.835 1014.645 ;
RECT 6.125 1014.475 6.295 1014.645 ;
RECT 6.585 1014.475 6.700 1014.645 ;
RECT 1493.305 1014.475 1493.475 1014.645 ;
RECT 1493.765 1014.475 1493.935 1014.645 ;
RECT 5.665 1009.035 5.835 1009.205 ;
RECT 6.125 1009.035 6.295 1009.205 ;
RECT 6.585 1009.035 6.700 1009.205 ;
RECT 1493.305 1009.035 1493.475 1009.205 ;
RECT 1493.765 1009.035 1493.935 1009.205 ;
RECT 5.665 1003.595 5.835 1003.765 ;
RECT 6.125 1003.595 6.295 1003.765 ;
RECT 6.585 1003.595 6.700 1003.765 ;
RECT 1493.305 1003.595 1493.475 1003.765 ;
RECT 1493.765 1003.595 1493.935 1003.765 ;
RECT 5.665 998.155 5.835 998.325 ;
RECT 6.125 998.155 6.295 998.325 ;
RECT 6.585 998.155 6.700 998.325 ;
RECT 1493.305 998.155 1493.475 998.325 ;
RECT 1493.765 998.155 1493.935 998.325 ;
RECT 5.665 992.715 5.835 992.885 ;
RECT 6.125 992.715 6.295 992.885 ;
RECT 6.585 992.715 6.700 992.885 ;
RECT 1493.305 992.715 1493.475 992.885 ;
RECT 1493.765 992.715 1493.935 992.885 ;
RECT 5.665 987.275 5.835 987.445 ;
RECT 6.125 987.275 6.295 987.445 ;
RECT 6.585 987.275 6.700 987.445 ;
RECT 1493.305 987.275 1493.475 987.445 ;
RECT 1493.765 987.275 1493.935 987.445 ;
RECT 5.665 981.835 5.835 982.005 ;
RECT 6.125 981.835 6.295 982.005 ;
RECT 6.585 981.835 6.700 982.005 ;
RECT 1493.305 981.835 1493.475 982.005 ;
RECT 1493.765 981.835 1493.935 982.005 ;
RECT 5.665 976.395 5.835 976.565 ;
RECT 6.125 976.395 6.295 976.565 ;
RECT 6.585 976.395 6.700 976.565 ;
RECT 1493.305 976.395 1493.475 976.565 ;
RECT 1493.765 976.395 1493.935 976.565 ;
RECT 5.665 970.955 5.835 971.125 ;
RECT 6.125 970.955 6.295 971.125 ;
RECT 6.585 970.955 6.700 971.125 ;
RECT 1493.305 970.955 1493.475 971.125 ;
RECT 1493.765 970.955 1493.935 971.125 ;
RECT 5.665 965.515 5.835 965.685 ;
RECT 6.125 965.515 6.295 965.685 ;
RECT 6.585 965.515 6.700 965.685 ;
RECT 1493.305 965.515 1493.475 965.685 ;
RECT 1493.765 965.515 1493.935 965.685 ;
RECT 5.665 960.075 5.835 960.245 ;
RECT 6.125 960.075 6.295 960.245 ;
RECT 6.585 960.075 6.700 960.245 ;
RECT 1493.305 960.075 1493.475 960.245 ;
RECT 1493.765 960.075 1493.935 960.245 ;
RECT 5.665 954.635 5.835 954.805 ;
RECT 6.125 954.635 6.295 954.805 ;
RECT 6.585 954.635 6.700 954.805 ;
RECT 1493.305 954.635 1493.475 954.805 ;
RECT 1493.765 954.635 1493.935 954.805 ;
RECT 5.665 949.195 5.835 949.365 ;
RECT 6.125 949.195 6.295 949.365 ;
RECT 6.585 949.195 6.700 949.365 ;
RECT 1493.305 949.195 1493.475 949.365 ;
RECT 1493.765 949.195 1493.935 949.365 ;
RECT 5.665 943.755 5.835 943.925 ;
RECT 6.125 943.755 6.295 943.925 ;
RECT 6.585 943.755 6.700 943.925 ;
RECT 1493.305 943.755 1493.475 943.925 ;
RECT 1493.765 943.755 1493.935 943.925 ;
RECT 5.665 938.315 5.835 938.485 ;
RECT 6.125 938.315 6.295 938.485 ;
RECT 6.585 938.315 6.700 938.485 ;
RECT 1493.305 938.315 1493.475 938.485 ;
RECT 1493.765 938.315 1493.935 938.485 ;
RECT 5.665 932.875 5.835 933.045 ;
RECT 6.125 932.875 6.295 933.045 ;
RECT 6.585 932.875 6.700 933.045 ;
RECT 1493.305 932.875 1493.475 933.045 ;
RECT 1493.765 932.875 1493.935 933.045 ;
RECT 5.665 927.435 5.835 927.605 ;
RECT 6.125 927.435 6.295 927.605 ;
RECT 6.585 927.435 6.700 927.605 ;
RECT 1493.305 927.435 1493.475 927.605 ;
RECT 1493.765 927.435 1493.935 927.605 ;
RECT 5.665 921.995 5.835 922.165 ;
RECT 6.125 921.995 6.295 922.165 ;
RECT 6.585 921.995 6.700 922.165 ;
RECT 1493.305 921.995 1493.475 922.165 ;
RECT 1493.765 921.995 1493.935 922.165 ;
RECT 5.665 916.555 5.835 916.725 ;
RECT 6.125 916.555 6.295 916.725 ;
RECT 6.585 916.555 6.700 916.725 ;
RECT 1493.305 916.555 1493.475 916.725 ;
RECT 1493.765 916.555 1493.935 916.725 ;
RECT 5.665 911.115 5.835 911.285 ;
RECT 6.125 911.115 6.295 911.285 ;
RECT 6.585 911.115 6.700 911.285 ;
RECT 1493.305 911.115 1493.475 911.285 ;
RECT 1493.765 911.115 1493.935 911.285 ;
RECT 5.665 905.675 5.835 905.845 ;
RECT 6.125 905.675 6.295 905.845 ;
RECT 6.585 905.675 6.700 905.845 ;
RECT 1493.305 905.675 1493.475 905.845 ;
RECT 1493.765 905.675 1493.935 905.845 ;
RECT 5.665 900.235 5.835 900.405 ;
RECT 6.125 900.235 6.295 900.405 ;
RECT 6.585 900.235 6.700 900.405 ;
RECT 1493.305 900.235 1493.475 900.405 ;
RECT 1493.765 900.235 1493.935 900.405 ;
RECT 5.665 894.795 5.835 894.965 ;
RECT 6.125 894.795 6.295 894.965 ;
RECT 6.585 894.795 6.700 894.965 ;
RECT 1493.305 894.795 1493.475 894.965 ;
RECT 1493.765 894.795 1493.935 894.965 ;
RECT 5.665 889.355 5.835 889.525 ;
RECT 6.125 889.355 6.295 889.525 ;
RECT 6.585 889.355 6.700 889.525 ;
RECT 1493.305 889.355 1493.475 889.525 ;
RECT 1493.765 889.355 1493.935 889.525 ;
RECT 5.665 883.915 5.835 884.085 ;
RECT 6.125 883.915 6.295 884.085 ;
RECT 6.585 883.915 6.700 884.085 ;
RECT 1493.305 883.915 1493.475 884.085 ;
RECT 1493.765 883.915 1493.935 884.085 ;
RECT 5.665 878.475 5.835 878.645 ;
RECT 6.125 878.475 6.295 878.645 ;
RECT 6.585 878.475 6.700 878.645 ;
RECT 1493.305 878.475 1493.475 878.645 ;
RECT 1493.765 878.475 1493.935 878.645 ;
RECT 5.665 873.035 5.835 873.205 ;
RECT 6.125 873.035 6.295 873.205 ;
RECT 6.585 873.035 6.700 873.205 ;
RECT 1493.305 873.035 1493.475 873.205 ;
RECT 1493.765 873.035 1493.935 873.205 ;
RECT 5.665 867.595 5.835 867.765 ;
RECT 6.125 867.595 6.295 867.765 ;
RECT 6.585 867.595 6.700 867.765 ;
RECT 1493.305 867.595 1493.475 867.765 ;
RECT 1493.765 867.595 1493.935 867.765 ;
RECT 5.665 862.155 5.835 862.325 ;
RECT 6.125 862.155 6.295 862.325 ;
RECT 6.585 862.155 6.700 862.325 ;
RECT 1493.305 862.155 1493.475 862.325 ;
RECT 1493.765 862.155 1493.935 862.325 ;
RECT 5.665 856.715 5.835 856.885 ;
RECT 6.125 856.715 6.295 856.885 ;
RECT 6.585 856.715 6.700 856.885 ;
RECT 1493.305 856.715 1493.475 856.885 ;
RECT 1493.765 856.715 1493.935 856.885 ;
RECT 5.665 851.275 5.835 851.445 ;
RECT 6.125 851.275 6.295 851.445 ;
RECT 6.585 851.275 6.700 851.445 ;
RECT 1493.305 851.275 1493.475 851.445 ;
RECT 1493.765 851.275 1493.935 851.445 ;
RECT 5.665 845.835 5.835 846.005 ;
RECT 6.125 845.835 6.295 846.005 ;
RECT 6.585 845.835 6.700 846.005 ;
RECT 1493.305 845.835 1493.475 846.005 ;
RECT 1493.765 845.835 1493.935 846.005 ;
RECT 5.665 840.395 5.835 840.565 ;
RECT 6.125 840.395 6.295 840.565 ;
RECT 6.585 840.395 6.700 840.565 ;
RECT 1493.305 840.395 1493.475 840.565 ;
RECT 1493.765 840.395 1493.935 840.565 ;
RECT 5.665 834.955 5.835 835.125 ;
RECT 6.125 834.955 6.295 835.125 ;
RECT 6.585 834.955 6.700 835.125 ;
RECT 1493.305 834.955 1493.475 835.125 ;
RECT 1493.765 834.955 1493.935 835.125 ;
RECT 5.665 829.515 5.835 829.685 ;
RECT 6.125 829.515 6.295 829.685 ;
RECT 6.585 829.515 6.700 829.685 ;
RECT 1493.305 829.515 1493.475 829.685 ;
RECT 1493.765 829.515 1493.935 829.685 ;
RECT 5.665 824.075 5.835 824.245 ;
RECT 6.125 824.075 6.295 824.245 ;
RECT 6.585 824.075 6.700 824.245 ;
RECT 1493.305 824.075 1493.475 824.245 ;
RECT 1493.765 824.075 1493.935 824.245 ;
RECT 5.665 818.635 5.835 818.805 ;
RECT 6.125 818.635 6.295 818.805 ;
RECT 6.585 818.635 6.700 818.805 ;
RECT 1493.305 818.635 1493.475 818.805 ;
RECT 1493.765 818.635 1493.935 818.805 ;
RECT 5.665 813.195 5.835 813.365 ;
RECT 6.125 813.195 6.295 813.365 ;
RECT 6.585 813.195 6.700 813.365 ;
RECT 1493.305 813.195 1493.475 813.365 ;
RECT 1493.765 813.195 1493.935 813.365 ;
RECT 5.665 807.755 5.835 807.925 ;
RECT 6.125 807.755 6.295 807.925 ;
RECT 6.585 807.755 6.700 807.925 ;
RECT 1493.305 807.755 1493.475 807.925 ;
RECT 1493.765 807.755 1493.935 807.925 ;
RECT 5.665 802.315 5.835 802.485 ;
RECT 6.125 802.315 6.295 802.485 ;
RECT 6.585 802.315 6.700 802.485 ;
RECT 1493.305 802.315 1493.475 802.485 ;
RECT 1493.765 802.315 1493.935 802.485 ;
RECT 5.665 796.875 5.835 797.045 ;
RECT 6.125 796.875 6.295 797.045 ;
RECT 6.585 796.875 6.700 797.045 ;
RECT 1493.305 796.875 1493.475 797.045 ;
RECT 1493.765 796.875 1493.935 797.045 ;
RECT 5.665 791.435 5.835 791.605 ;
RECT 6.125 791.435 6.295 791.605 ;
RECT 6.585 791.435 6.700 791.605 ;
RECT 1493.305 791.435 1493.475 791.605 ;
RECT 1493.765 791.435 1493.935 791.605 ;
RECT 5.665 785.995 5.835 786.165 ;
RECT 6.125 785.995 6.295 786.165 ;
RECT 6.585 785.995 6.700 786.165 ;
RECT 1493.305 785.995 1493.475 786.165 ;
RECT 1493.765 785.995 1493.935 786.165 ;
RECT 5.665 780.555 5.835 780.725 ;
RECT 6.125 780.555 6.295 780.725 ;
RECT 6.585 780.555 6.700 780.725 ;
RECT 1493.305 780.555 1493.475 780.725 ;
RECT 1493.765 780.555 1493.935 780.725 ;
RECT 5.665 775.115 5.835 775.285 ;
RECT 6.125 775.115 6.295 775.285 ;
RECT 6.585 775.115 6.700 775.285 ;
RECT 1493.305 775.115 1493.475 775.285 ;
RECT 1493.765 775.115 1493.935 775.285 ;
RECT 5.665 769.675 5.835 769.845 ;
RECT 6.125 769.675 6.295 769.845 ;
RECT 6.585 769.675 6.700 769.845 ;
RECT 1493.305 769.675 1493.475 769.845 ;
RECT 1493.765 769.675 1493.935 769.845 ;
RECT 5.665 764.235 5.835 764.405 ;
RECT 6.125 764.235 6.295 764.405 ;
RECT 6.585 764.235 6.700 764.405 ;
RECT 1493.305 764.235 1493.475 764.405 ;
RECT 1493.765 764.235 1493.935 764.405 ;
RECT 5.665 758.795 5.835 758.965 ;
RECT 6.125 758.795 6.295 758.965 ;
RECT 6.585 758.795 6.700 758.965 ;
RECT 1493.305 758.795 1493.475 758.965 ;
RECT 1493.765 758.795 1493.935 758.965 ;
RECT 5.665 753.355 5.835 753.525 ;
RECT 6.125 753.355 6.295 753.525 ;
RECT 6.585 753.355 6.700 753.525 ;
RECT 1493.305 753.355 1493.475 753.525 ;
RECT 1493.765 753.355 1493.935 753.525 ;
RECT 5.665 747.915 5.835 748.085 ;
RECT 6.125 747.915 6.295 748.085 ;
RECT 6.585 747.915 6.700 748.085 ;
RECT 1493.305 747.915 1493.475 748.085 ;
RECT 1493.765 747.915 1493.935 748.085 ;
RECT 5.665 742.475 5.835 742.645 ;
RECT 6.125 742.475 6.295 742.645 ;
RECT 6.585 742.475 6.700 742.645 ;
RECT 1493.305 742.475 1493.475 742.645 ;
RECT 1493.765 742.475 1493.935 742.645 ;
RECT 5.665 737.035 5.835 737.205 ;
RECT 6.125 737.035 6.295 737.205 ;
RECT 6.585 737.035 6.700 737.205 ;
RECT 1493.305 737.035 1493.475 737.205 ;
RECT 1493.765 737.035 1493.935 737.205 ;
RECT 5.665 731.595 5.835 731.765 ;
RECT 6.125 731.595 6.295 731.765 ;
RECT 6.585 731.595 6.700 731.765 ;
RECT 1493.305 731.595 1493.475 731.765 ;
RECT 1493.765 731.595 1493.935 731.765 ;
RECT 5.665 726.155 5.835 726.325 ;
RECT 6.125 726.155 6.295 726.325 ;
RECT 6.585 726.155 6.700 726.325 ;
RECT 1493.305 726.155 1493.475 726.325 ;
RECT 1493.765 726.155 1493.935 726.325 ;
RECT 5.665 720.715 5.835 720.885 ;
RECT 6.125 720.715 6.295 720.885 ;
RECT 6.585 720.715 6.700 720.885 ;
RECT 1493.305 720.715 1493.475 720.885 ;
RECT 1493.765 720.715 1493.935 720.885 ;
RECT 5.665 715.275 5.835 715.445 ;
RECT 6.125 715.275 6.295 715.445 ;
RECT 6.585 715.275 6.700 715.445 ;
RECT 1493.305 715.275 1493.475 715.445 ;
RECT 1493.765 715.275 1493.935 715.445 ;
RECT 5.665 709.835 5.835 710.005 ;
RECT 6.125 709.835 6.295 710.005 ;
RECT 6.585 709.835 6.700 710.005 ;
RECT 1493.305 709.835 1493.475 710.005 ;
RECT 1493.765 709.835 1493.935 710.005 ;
RECT 5.665 704.395 5.835 704.565 ;
RECT 6.125 704.395 6.295 704.565 ;
RECT 6.585 704.395 6.700 704.565 ;
RECT 1493.305 704.395 1493.475 704.565 ;
RECT 1493.765 704.395 1493.935 704.565 ;
RECT 5.665 698.955 5.835 699.125 ;
RECT 6.125 698.955 6.295 699.125 ;
RECT 6.585 698.955 6.700 699.125 ;
RECT 1493.305 698.955 1493.475 699.125 ;
RECT 1493.765 698.955 1493.935 699.125 ;
RECT 5.665 693.515 5.835 693.685 ;
RECT 6.125 693.515 6.295 693.685 ;
RECT 6.585 693.515 6.700 693.685 ;
RECT 1493.305 693.515 1493.475 693.685 ;
RECT 1493.765 693.515 1493.935 693.685 ;
RECT 5.665 688.075 5.835 688.245 ;
RECT 6.125 688.075 6.295 688.245 ;
RECT 6.585 688.075 6.700 688.245 ;
RECT 1493.305 688.075 1493.475 688.245 ;
RECT 1493.765 688.075 1493.935 688.245 ;
RECT 5.665 682.635 5.835 682.805 ;
RECT 6.125 682.635 6.295 682.805 ;
RECT 6.585 682.635 6.700 682.805 ;
RECT 1493.305 682.635 1493.475 682.805 ;
RECT 1493.765 682.635 1493.935 682.805 ;
RECT 5.665 677.195 5.835 677.365 ;
RECT 6.125 677.195 6.295 677.365 ;
RECT 6.585 677.195 6.700 677.365 ;
RECT 1493.305 677.195 1493.475 677.365 ;
RECT 1493.765 677.195 1493.935 677.365 ;
RECT 5.665 671.755 5.835 671.925 ;
RECT 6.125 671.755 6.295 671.925 ;
RECT 6.585 671.755 6.700 671.925 ;
RECT 1493.305 671.755 1493.475 671.925 ;
RECT 1493.765 671.755 1493.935 671.925 ;
RECT 5.665 666.315 5.835 666.485 ;
RECT 6.125 666.315 6.295 666.485 ;
RECT 6.585 666.315 6.700 666.485 ;
RECT 1493.305 666.315 1493.475 666.485 ;
RECT 1493.765 666.315 1493.935 666.485 ;
RECT 5.665 660.875 5.835 661.045 ;
RECT 6.125 660.875 6.295 661.045 ;
RECT 6.585 660.875 6.700 661.045 ;
RECT 1493.305 660.875 1493.475 661.045 ;
RECT 1493.765 660.875 1493.935 661.045 ;
RECT 5.665 655.435 5.835 655.605 ;
RECT 6.125 655.435 6.295 655.605 ;
RECT 6.585 655.435 6.700 655.605 ;
RECT 1493.305 655.435 1493.475 655.605 ;
RECT 1493.765 655.435 1493.935 655.605 ;
RECT 5.665 649.995 5.835 650.165 ;
RECT 6.125 649.995 6.295 650.165 ;
RECT 6.585 649.995 6.700 650.165 ;
RECT 1493.305 649.995 1493.475 650.165 ;
RECT 1493.765 649.995 1493.935 650.165 ;
RECT 5.665 644.555 5.835 644.725 ;
RECT 6.125 644.555 6.295 644.725 ;
RECT 6.585 644.555 6.700 644.725 ;
RECT 1493.305 644.555 1493.475 644.725 ;
RECT 1493.765 644.555 1493.935 644.725 ;
RECT 5.665 639.115 5.835 639.285 ;
RECT 6.125 639.115 6.295 639.285 ;
RECT 6.585 639.115 6.700 639.285 ;
RECT 1493.305 639.115 1493.475 639.285 ;
RECT 1493.765 639.115 1493.935 639.285 ;
RECT 5.665 633.675 5.835 633.845 ;
RECT 6.125 633.675 6.295 633.845 ;
RECT 6.585 633.675 6.700 633.845 ;
RECT 1493.305 633.675 1493.475 633.845 ;
RECT 1493.765 633.675 1493.935 633.845 ;
RECT 5.665 628.235 5.835 628.405 ;
RECT 6.125 628.235 6.295 628.405 ;
RECT 6.585 628.235 6.700 628.405 ;
RECT 1493.305 628.235 1493.475 628.405 ;
RECT 1493.765 628.235 1493.935 628.405 ;
RECT 5.665 622.795 5.835 622.965 ;
RECT 6.125 622.795 6.295 622.965 ;
RECT 6.585 622.795 6.700 622.965 ;
RECT 1493.305 622.795 1493.475 622.965 ;
RECT 1493.765 622.795 1493.935 622.965 ;
RECT 5.665 617.355 5.835 617.525 ;
RECT 6.125 617.355 6.295 617.525 ;
RECT 6.585 617.355 6.700 617.525 ;
RECT 1493.305 617.355 1493.475 617.525 ;
RECT 1493.765 617.355 1493.935 617.525 ;
RECT 5.665 611.915 5.835 612.085 ;
RECT 6.125 611.915 6.295 612.085 ;
RECT 6.585 611.915 6.700 612.085 ;
RECT 1493.305 611.915 1493.475 612.085 ;
RECT 1493.765 611.915 1493.935 612.085 ;
RECT 5.665 606.475 5.835 606.645 ;
RECT 6.125 606.475 6.295 606.645 ;
RECT 6.585 606.475 6.700 606.645 ;
RECT 1493.305 606.475 1493.475 606.645 ;
RECT 1493.765 606.475 1493.935 606.645 ;
RECT 5.665 601.035 5.835 601.205 ;
RECT 6.125 601.035 6.295 601.205 ;
RECT 6.585 601.035 6.700 601.205 ;
RECT 1493.305 601.035 1493.475 601.205 ;
RECT 1493.765 601.035 1493.935 601.205 ;
RECT 5.665 595.595 5.835 595.765 ;
RECT 6.125 595.595 6.295 595.765 ;
RECT 6.585 595.595 6.700 595.765 ;
RECT 1493.305 595.595 1493.475 595.765 ;
RECT 1493.765 595.595 1493.935 595.765 ;
RECT 5.665 590.155 5.835 590.325 ;
RECT 6.125 590.155 6.295 590.325 ;
RECT 6.585 590.155 6.700 590.325 ;
RECT 1493.305 590.155 1493.475 590.325 ;
RECT 1493.765 590.155 1493.935 590.325 ;
RECT 5.665 584.715 5.835 584.885 ;
RECT 6.125 584.715 6.295 584.885 ;
RECT 6.585 584.715 6.700 584.885 ;
RECT 1493.305 584.715 1493.475 584.885 ;
RECT 1493.765 584.715 1493.935 584.885 ;
RECT 5.665 579.275 5.835 579.445 ;
RECT 6.125 579.275 6.295 579.445 ;
RECT 6.585 579.275 6.700 579.445 ;
RECT 1493.305 579.275 1493.475 579.445 ;
RECT 1493.765 579.275 1493.935 579.445 ;
RECT 5.665 573.835 5.835 574.005 ;
RECT 6.125 573.835 6.295 574.005 ;
RECT 6.585 573.835 6.700 574.005 ;
RECT 1493.305 573.835 1493.475 574.005 ;
RECT 1493.765 573.835 1493.935 574.005 ;
RECT 5.665 568.395 5.835 568.565 ;
RECT 6.125 568.395 6.295 568.565 ;
RECT 6.585 568.395 6.700 568.565 ;
RECT 1493.305 568.395 1493.475 568.565 ;
RECT 1493.765 568.395 1493.935 568.565 ;
RECT 5.665 562.955 5.835 563.125 ;
RECT 6.125 562.955 6.295 563.125 ;
RECT 6.585 562.955 6.700 563.125 ;
RECT 1493.305 562.955 1493.475 563.125 ;
RECT 1493.765 562.955 1493.935 563.125 ;
RECT 5.665 557.515 5.835 557.685 ;
RECT 6.125 557.515 6.295 557.685 ;
RECT 6.585 557.515 6.700 557.685 ;
RECT 1493.305 557.515 1493.475 557.685 ;
RECT 1493.765 557.515 1493.935 557.685 ;
RECT 5.665 552.075 5.835 552.245 ;
RECT 6.125 552.075 6.295 552.245 ;
RECT 6.585 552.075 6.700 552.245 ;
RECT 1493.305 552.075 1493.475 552.245 ;
RECT 1493.765 552.075 1493.935 552.245 ;
RECT 5.665 546.635 5.835 546.805 ;
RECT 6.125 546.635 6.295 546.805 ;
RECT 6.585 546.635 6.700 546.805 ;
RECT 1493.305 546.635 1493.475 546.805 ;
RECT 1493.765 546.635 1493.935 546.805 ;
RECT 5.665 541.195 5.835 541.365 ;
RECT 6.125 541.195 6.295 541.365 ;
RECT 6.585 541.195 6.700 541.365 ;
RECT 1493.305 541.195 1493.475 541.365 ;
RECT 1493.765 541.195 1493.935 541.365 ;
RECT 5.665 535.755 5.835 535.925 ;
RECT 6.125 535.755 6.295 535.925 ;
RECT 6.585 535.755 6.700 535.925 ;
RECT 1493.305 535.755 1493.475 535.925 ;
RECT 1493.765 535.755 1493.935 535.925 ;
RECT 5.665 530.315 5.835 530.485 ;
RECT 6.125 530.315 6.295 530.485 ;
RECT 6.585 530.315 6.700 530.485 ;
RECT 1493.305 530.315 1493.475 530.485 ;
RECT 1493.765 530.315 1493.935 530.485 ;
RECT 5.665 524.875 5.835 525.045 ;
RECT 6.125 524.875 6.295 525.045 ;
RECT 6.585 524.875 6.700 525.045 ;
RECT 1493.305 524.875 1493.475 525.045 ;
RECT 1493.765 524.875 1493.935 525.045 ;
RECT 5.665 519.435 5.835 519.605 ;
RECT 6.125 519.435 6.295 519.605 ;
RECT 6.585 519.435 6.700 519.605 ;
RECT 1493.305 519.435 1493.475 519.605 ;
RECT 1493.765 519.435 1493.935 519.605 ;
RECT 5.665 513.995 5.835 514.165 ;
RECT 6.125 513.995 6.295 514.165 ;
RECT 6.585 513.995 6.700 514.165 ;
RECT 1493.305 513.995 1493.475 514.165 ;
RECT 1493.765 513.995 1493.935 514.165 ;
RECT 5.665 508.555 5.835 508.725 ;
RECT 6.125 508.555 6.295 508.725 ;
RECT 6.585 508.555 6.700 508.725 ;
RECT 1493.305 508.555 1493.475 508.725 ;
RECT 1493.765 508.555 1493.935 508.725 ;
RECT 5.665 503.115 5.835 503.285 ;
RECT 6.125 503.115 6.295 503.285 ;
RECT 6.585 503.115 6.700 503.285 ;
RECT 1493.305 503.115 1493.475 503.285 ;
RECT 1493.765 503.115 1493.935 503.285 ;
RECT 5.665 497.675 5.835 497.845 ;
RECT 6.125 497.675 6.295 497.845 ;
RECT 6.585 497.675 6.700 497.845 ;
RECT 1493.305 497.675 1493.475 497.845 ;
RECT 1493.765 497.675 1493.935 497.845 ;
RECT 5.665 492.235 5.835 492.405 ;
RECT 6.125 492.235 6.295 492.405 ;
RECT 6.585 492.235 6.700 492.405 ;
RECT 1493.305 492.235 1493.475 492.405 ;
RECT 1493.765 492.235 1493.935 492.405 ;
RECT 5.665 486.795 5.835 486.965 ;
RECT 6.125 486.795 6.295 486.965 ;
RECT 6.585 486.795 6.700 486.965 ;
RECT 1493.305 486.795 1493.475 486.965 ;
RECT 1493.765 486.795 1493.935 486.965 ;
RECT 5.665 481.355 5.835 481.525 ;
RECT 6.125 481.355 6.295 481.525 ;
RECT 6.585 481.355 6.700 481.525 ;
RECT 1493.305 481.355 1493.475 481.525 ;
RECT 1493.765 481.355 1493.935 481.525 ;
RECT 5.665 475.915 5.835 476.085 ;
RECT 6.125 475.915 6.295 476.085 ;
RECT 6.585 475.915 6.700 476.085 ;
RECT 1493.305 475.915 1493.475 476.085 ;
RECT 1493.765 475.915 1493.935 476.085 ;
RECT 5.665 470.475 5.835 470.645 ;
RECT 6.125 470.475 6.295 470.645 ;
RECT 6.585 470.475 6.700 470.645 ;
RECT 1493.305 470.475 1493.475 470.645 ;
RECT 1493.765 470.475 1493.935 470.645 ;
RECT 5.665 465.035 5.835 465.205 ;
RECT 6.125 465.035 6.295 465.205 ;
RECT 6.585 465.035 6.700 465.205 ;
RECT 1493.305 465.035 1493.475 465.205 ;
RECT 1493.765 465.035 1493.935 465.205 ;
RECT 5.665 459.595 5.835 459.765 ;
RECT 6.125 459.595 6.295 459.765 ;
RECT 6.585 459.595 6.700 459.765 ;
RECT 1493.305 459.595 1493.475 459.765 ;
RECT 1493.765 459.595 1493.935 459.765 ;
RECT 5.665 454.155 5.835 454.325 ;
RECT 6.125 454.155 6.295 454.325 ;
RECT 6.585 454.155 6.700 454.325 ;
RECT 1493.305 454.155 1493.475 454.325 ;
RECT 1493.765 454.155 1493.935 454.325 ;
RECT 5.665 448.715 5.835 448.885 ;
RECT 6.125 448.715 6.295 448.885 ;
RECT 6.585 448.715 6.700 448.885 ;
RECT 1493.305 448.715 1493.475 448.885 ;
RECT 1493.765 448.715 1493.935 448.885 ;
RECT 5.665 443.275 5.835 443.445 ;
RECT 6.125 443.275 6.295 443.445 ;
RECT 6.585 443.275 6.700 443.445 ;
RECT 1493.305 443.275 1493.475 443.445 ;
RECT 1493.765 443.275 1493.935 443.445 ;
RECT 5.665 437.835 5.835 438.005 ;
RECT 6.125 437.835 6.295 438.005 ;
RECT 6.585 437.835 6.700 438.005 ;
RECT 1493.305 437.835 1493.475 438.005 ;
RECT 1493.765 437.835 1493.935 438.005 ;
RECT 5.665 432.395 5.835 432.565 ;
RECT 6.125 432.395 6.295 432.565 ;
RECT 6.585 432.395 6.700 432.565 ;
RECT 1493.305 432.395 1493.475 432.565 ;
RECT 1493.765 432.395 1493.935 432.565 ;
RECT 5.665 426.955 5.835 427.125 ;
RECT 6.125 426.955 6.295 427.125 ;
RECT 6.585 426.955 6.700 427.125 ;
RECT 1493.305 426.955 1493.475 427.125 ;
RECT 1493.765 426.955 1493.935 427.125 ;
RECT 5.665 421.515 5.835 421.685 ;
RECT 6.125 421.515 6.295 421.685 ;
RECT 6.585 421.515 6.700 421.685 ;
RECT 1493.305 421.515 1493.475 421.685 ;
RECT 1493.765 421.515 1493.935 421.685 ;
RECT 5.665 416.075 5.835 416.245 ;
RECT 6.125 416.075 6.295 416.245 ;
RECT 6.585 416.075 6.700 416.245 ;
RECT 1493.305 416.075 1493.475 416.245 ;
RECT 1493.765 416.075 1493.935 416.245 ;
RECT 5.665 410.635 5.835 410.805 ;
RECT 6.125 410.635 6.295 410.805 ;
RECT 6.585 410.635 6.700 410.805 ;
RECT 1493.305 410.635 1493.475 410.805 ;
RECT 1493.765 410.635 1493.935 410.805 ;
RECT 5.665 405.195 5.835 405.365 ;
RECT 6.125 405.195 6.295 405.365 ;
RECT 6.585 405.195 6.700 405.365 ;
RECT 1493.305 405.195 1493.475 405.365 ;
RECT 1493.765 405.195 1493.935 405.365 ;
RECT 5.665 399.755 5.835 399.925 ;
RECT 6.125 399.755 6.295 399.925 ;
RECT 6.585 399.755 6.700 399.925 ;
RECT 1493.305 399.755 1493.475 399.925 ;
RECT 1493.765 399.755 1493.935 399.925 ;
RECT 5.665 394.315 5.835 394.485 ;
RECT 6.125 394.315 6.295 394.485 ;
RECT 6.585 394.315 6.700 394.485 ;
RECT 1493.305 394.315 1493.475 394.485 ;
RECT 1493.765 394.315 1493.935 394.485 ;
RECT 5.665 388.875 5.835 389.045 ;
RECT 6.125 388.875 6.295 389.045 ;
RECT 6.585 388.875 6.700 389.045 ;
RECT 1493.305 388.875 1493.475 389.045 ;
RECT 1493.765 388.875 1493.935 389.045 ;
RECT 5.665 383.435 5.835 383.605 ;
RECT 6.125 383.435 6.295 383.605 ;
RECT 6.585 383.435 6.700 383.605 ;
RECT 1493.305 383.435 1493.475 383.605 ;
RECT 1493.765 383.435 1493.935 383.605 ;
RECT 5.665 377.995 5.835 378.165 ;
RECT 6.125 377.995 6.295 378.165 ;
RECT 6.585 377.995 6.700 378.165 ;
RECT 1493.305 377.995 1493.475 378.165 ;
RECT 1493.765 377.995 1493.935 378.165 ;
RECT 5.665 372.555 5.835 372.725 ;
RECT 6.125 372.555 6.295 372.725 ;
RECT 6.585 372.555 6.700 372.725 ;
RECT 1493.305 372.555 1493.475 372.725 ;
RECT 1493.765 372.555 1493.935 372.725 ;
RECT 5.665 367.115 5.835 367.285 ;
RECT 6.125 367.115 6.295 367.285 ;
RECT 6.585 367.115 6.700 367.285 ;
RECT 1493.305 367.115 1493.475 367.285 ;
RECT 1493.765 367.115 1493.935 367.285 ;
RECT 5.665 361.675 5.835 361.845 ;
RECT 6.125 361.675 6.295 361.845 ;
RECT 6.585 361.675 6.700 361.845 ;
RECT 1493.305 361.675 1493.475 361.845 ;
RECT 1493.765 361.675 1493.935 361.845 ;
RECT 5.665 356.235 5.835 356.405 ;
RECT 6.125 356.235 6.295 356.405 ;
RECT 6.585 356.235 6.700 356.405 ;
RECT 1493.305 356.235 1493.475 356.405 ;
RECT 1493.765 356.235 1493.935 356.405 ;
RECT 5.665 350.795 5.835 350.965 ;
RECT 6.125 350.795 6.295 350.965 ;
RECT 6.585 350.795 6.700 350.965 ;
RECT 1493.305 350.795 1493.475 350.965 ;
RECT 1493.765 350.795 1493.935 350.965 ;
RECT 5.665 345.355 5.835 345.525 ;
RECT 6.125 345.355 6.295 345.525 ;
RECT 6.585 345.355 6.700 345.525 ;
RECT 1493.305 345.355 1493.475 345.525 ;
RECT 1493.765 345.355 1493.935 345.525 ;
RECT 5.665 339.915 5.835 340.085 ;
RECT 6.125 339.915 6.295 340.085 ;
RECT 6.585 339.915 6.700 340.085 ;
RECT 1493.305 339.915 1493.475 340.085 ;
RECT 1493.765 339.915 1493.935 340.085 ;
RECT 5.665 334.475 5.835 334.645 ;
RECT 6.125 334.475 6.295 334.645 ;
RECT 6.585 334.475 6.700 334.645 ;
RECT 1493.305 334.475 1493.475 334.645 ;
RECT 1493.765 334.475 1493.935 334.645 ;
RECT 5.665 329.035 5.835 329.205 ;
RECT 6.125 329.035 6.295 329.205 ;
RECT 6.585 329.035 6.700 329.205 ;
RECT 1493.305 329.035 1493.475 329.205 ;
RECT 1493.765 329.035 1493.935 329.205 ;
RECT 5.665 323.595 5.835 323.765 ;
RECT 6.125 323.595 6.295 323.765 ;
RECT 6.585 323.595 6.700 323.765 ;
RECT 1493.305 323.595 1493.475 323.765 ;
RECT 1493.765 323.595 1493.935 323.765 ;
RECT 5.665 318.155 5.835 318.325 ;
RECT 6.125 318.155 6.295 318.325 ;
RECT 6.585 318.155 6.700 318.325 ;
RECT 1493.305 318.155 1493.475 318.325 ;
RECT 1493.765 318.155 1493.935 318.325 ;
RECT 5.665 312.715 5.835 312.885 ;
RECT 6.125 312.715 6.295 312.885 ;
RECT 6.585 312.715 6.700 312.885 ;
RECT 1493.305 312.715 1493.475 312.885 ;
RECT 1493.765 312.715 1493.935 312.885 ;
RECT 5.665 307.275 5.835 307.445 ;
RECT 6.125 307.275 6.295 307.445 ;
RECT 6.585 307.275 6.700 307.445 ;
RECT 1493.305 307.275 1493.475 307.445 ;
RECT 1493.765 307.275 1493.935 307.445 ;
RECT 5.665 301.835 5.835 302.005 ;
RECT 6.125 301.835 6.295 302.005 ;
RECT 6.585 301.835 6.700 302.005 ;
RECT 1493.305 301.835 1493.475 302.005 ;
RECT 1493.765 301.835 1493.935 302.005 ;
RECT 5.665 296.395 5.835 296.565 ;
RECT 6.125 296.395 6.295 296.565 ;
RECT 6.585 296.395 6.700 296.565 ;
RECT 1493.305 296.395 1493.475 296.565 ;
RECT 1493.765 296.395 1493.935 296.565 ;
RECT 5.665 290.955 5.835 291.125 ;
RECT 6.125 290.955 6.295 291.125 ;
RECT 6.585 290.955 6.700 291.125 ;
RECT 1493.305 290.955 1493.475 291.125 ;
RECT 1493.765 290.955 1493.935 291.125 ;
RECT 5.665 285.515 5.835 285.685 ;
RECT 6.125 285.515 6.295 285.685 ;
RECT 6.585 285.515 6.700 285.685 ;
RECT 1493.305 285.515 1493.475 285.685 ;
RECT 1493.765 285.515 1493.935 285.685 ;
RECT 5.665 280.075 5.835 280.245 ;
RECT 6.125 280.075 6.295 280.245 ;
RECT 6.585 280.075 6.700 280.245 ;
RECT 1493.305 280.075 1493.475 280.245 ;
RECT 1493.765 280.075 1493.935 280.245 ;
RECT 5.665 274.635 5.835 274.805 ;
RECT 6.125 274.635 6.295 274.805 ;
RECT 6.585 274.635 6.700 274.805 ;
RECT 1493.305 274.635 1493.475 274.805 ;
RECT 1493.765 274.635 1493.935 274.805 ;
RECT 5.665 269.195 5.835 269.365 ;
RECT 6.125 269.195 6.295 269.365 ;
RECT 6.585 269.195 6.700 269.365 ;
RECT 1493.305 269.195 1493.475 269.365 ;
RECT 1493.765 269.195 1493.935 269.365 ;
RECT 5.665 263.755 5.835 263.925 ;
RECT 6.125 263.755 6.295 263.925 ;
RECT 6.585 263.755 6.700 263.925 ;
RECT 1493.305 263.755 1493.475 263.925 ;
RECT 1493.765 263.755 1493.935 263.925 ;
RECT 5.665 258.315 5.835 258.485 ;
RECT 6.125 258.315 6.295 258.485 ;
RECT 6.585 258.315 6.700 258.485 ;
RECT 1493.305 258.315 1493.475 258.485 ;
RECT 1493.765 258.315 1493.935 258.485 ;
RECT 5.665 252.875 5.835 253.045 ;
RECT 6.125 252.875 6.295 253.045 ;
RECT 6.585 252.875 6.700 253.045 ;
RECT 1493.305 252.875 1493.475 253.045 ;
RECT 1493.765 252.875 1493.935 253.045 ;
RECT 5.665 247.435 5.835 247.605 ;
RECT 6.125 247.435 6.295 247.605 ;
RECT 6.585 247.435 6.700 247.605 ;
RECT 1493.305 247.435 1493.475 247.605 ;
RECT 1493.765 247.435 1493.935 247.605 ;
RECT 5.665 241.995 5.835 242.165 ;
RECT 6.125 241.995 6.295 242.165 ;
RECT 6.585 241.995 6.700 242.165 ;
RECT 1493.305 241.995 1493.475 242.165 ;
RECT 1493.765 241.995 1493.935 242.165 ;
RECT 5.665 236.555 5.835 236.725 ;
RECT 6.125 236.555 6.295 236.725 ;
RECT 6.585 236.555 6.700 236.725 ;
RECT 1493.305 236.555 1493.475 236.725 ;
RECT 1493.765 236.555 1493.935 236.725 ;
RECT 5.665 231.115 5.835 231.285 ;
RECT 6.125 231.115 6.295 231.285 ;
RECT 6.585 231.115 6.700 231.285 ;
RECT 1493.305 231.115 1493.475 231.285 ;
RECT 1493.765 231.115 1493.935 231.285 ;
RECT 5.665 225.675 5.835 225.845 ;
RECT 6.125 225.675 6.295 225.845 ;
RECT 6.585 225.675 6.700 225.845 ;
RECT 1493.305 225.675 1493.475 225.845 ;
RECT 1493.765 225.675 1493.935 225.845 ;
RECT 5.665 220.235 5.835 220.405 ;
RECT 6.125 220.235 6.295 220.405 ;
RECT 6.585 220.235 6.700 220.405 ;
RECT 1493.305 220.235 1493.475 220.405 ;
RECT 1493.765 220.235 1493.935 220.405 ;
RECT 5.665 214.795 5.835 214.965 ;
RECT 6.125 214.795 6.295 214.965 ;
RECT 6.585 214.795 6.700 214.965 ;
RECT 1493.305 214.795 1493.475 214.965 ;
RECT 1493.765 214.795 1493.935 214.965 ;
RECT 5.665 209.355 5.835 209.525 ;
RECT 6.125 209.355 6.295 209.525 ;
RECT 6.585 209.355 6.700 209.525 ;
RECT 1493.305 209.355 1493.475 209.525 ;
RECT 1493.765 209.355 1493.935 209.525 ;
RECT 5.665 203.915 5.835 204.085 ;
RECT 6.125 203.915 6.295 204.085 ;
RECT 6.585 203.915 6.700 204.085 ;
RECT 1493.305 203.915 1493.475 204.085 ;
RECT 1493.765 203.915 1493.935 204.085 ;
RECT 5.665 198.475 5.835 198.645 ;
RECT 6.125 198.475 6.295 198.645 ;
RECT 6.585 198.475 6.700 198.645 ;
RECT 1493.305 198.475 1493.475 198.645 ;
RECT 1493.765 198.475 1493.935 198.645 ;
RECT 5.665 193.035 5.835 193.205 ;
RECT 6.125 193.035 6.295 193.205 ;
RECT 6.585 193.035 6.700 193.205 ;
RECT 1493.305 193.035 1493.475 193.205 ;
RECT 1493.765 193.035 1493.935 193.205 ;
RECT 5.665 187.595 5.835 187.765 ;
RECT 6.125 187.595 6.295 187.765 ;
RECT 6.585 187.595 6.700 187.765 ;
RECT 1493.305 187.595 1493.475 187.765 ;
RECT 1493.765 187.595 1493.935 187.765 ;
RECT 5.665 182.155 5.835 182.325 ;
RECT 6.125 182.155 6.295 182.325 ;
RECT 6.585 182.155 6.700 182.325 ;
RECT 1493.305 182.155 1493.475 182.325 ;
RECT 1493.765 182.155 1493.935 182.325 ;
RECT 5.665 176.715 5.835 176.885 ;
RECT 6.125 176.715 6.295 176.885 ;
RECT 6.585 176.715 6.700 176.885 ;
RECT 1493.305 176.715 1493.475 176.885 ;
RECT 1493.765 176.715 1493.935 176.885 ;
RECT 5.665 171.275 5.835 171.445 ;
RECT 6.125 171.275 6.295 171.445 ;
RECT 6.585 171.275 6.700 171.445 ;
RECT 1493.305 171.275 1493.475 171.445 ;
RECT 1493.765 171.275 1493.935 171.445 ;
RECT 5.665 165.835 5.835 166.005 ;
RECT 6.125 165.835 6.295 166.005 ;
RECT 6.585 165.835 6.700 166.005 ;
RECT 1493.305 165.835 1493.475 166.005 ;
RECT 1493.765 165.835 1493.935 166.005 ;
RECT 5.665 160.395 5.835 160.565 ;
RECT 6.125 160.395 6.295 160.565 ;
RECT 6.585 160.395 6.700 160.565 ;
RECT 1493.305 160.395 1493.475 160.565 ;
RECT 1493.765 160.395 1493.935 160.565 ;
RECT 5.665 154.955 5.835 155.125 ;
RECT 6.125 154.955 6.295 155.125 ;
RECT 6.585 154.955 6.700 155.125 ;
RECT 1493.305 154.955 1493.475 155.125 ;
RECT 1493.765 154.955 1493.935 155.125 ;
RECT 5.665 149.515 5.835 149.685 ;
RECT 6.125 149.515 6.295 149.685 ;
RECT 6.585 149.515 6.700 149.685 ;
RECT 1493.305 149.515 1493.475 149.685 ;
RECT 1493.765 149.515 1493.935 149.685 ;
RECT 5.665 144.075 5.835 144.245 ;
RECT 6.125 144.075 6.295 144.245 ;
RECT 6.585 144.075 6.700 144.245 ;
RECT 1493.305 144.075 1493.475 144.245 ;
RECT 1493.765 144.075 1493.935 144.245 ;
RECT 5.665 138.635 5.835 138.805 ;
RECT 6.125 138.635 6.295 138.805 ;
RECT 6.585 138.635 6.700 138.805 ;
RECT 1493.305 138.635 1493.475 138.805 ;
RECT 1493.765 138.635 1493.935 138.805 ;
RECT 5.665 133.195 5.835 133.365 ;
RECT 6.125 133.195 6.295 133.365 ;
RECT 6.585 133.195 6.700 133.365 ;
RECT 1493.305 133.195 1493.475 133.365 ;
RECT 1493.765 133.195 1493.935 133.365 ;
RECT 5.665 127.755 5.835 127.925 ;
RECT 6.125 127.755 6.295 127.925 ;
RECT 6.585 127.755 6.700 127.925 ;
RECT 1493.305 127.755 1493.475 127.925 ;
RECT 1493.765 127.755 1493.935 127.925 ;
RECT 5.665 122.315 5.835 122.485 ;
RECT 6.125 122.315 6.295 122.485 ;
RECT 6.585 122.315 6.700 122.485 ;
RECT 1493.305 122.315 1493.475 122.485 ;
RECT 1493.765 122.315 1493.935 122.485 ;
RECT 5.665 116.875 5.835 117.045 ;
RECT 6.125 116.875 6.295 117.045 ;
RECT 6.585 116.875 6.700 117.045 ;
RECT 1493.305 116.875 1493.475 117.045 ;
RECT 1493.765 116.875 1493.935 117.045 ;
RECT 5.665 111.435 5.835 111.605 ;
RECT 6.125 111.435 6.295 111.605 ;
RECT 6.585 111.435 6.700 111.605 ;
RECT 1493.305 111.435 1493.475 111.605 ;
RECT 1493.765 111.435 1493.935 111.605 ;
RECT 5.665 105.995 5.835 106.165 ;
RECT 6.125 105.995 6.295 106.165 ;
RECT 6.585 105.995 6.700 106.165 ;
RECT 1493.305 105.995 1493.475 106.165 ;
RECT 1493.765 105.995 1493.935 106.165 ;
RECT 5.665 100.555 5.835 100.725 ;
RECT 6.125 100.555 6.295 100.725 ;
RECT 6.585 100.555 6.700 100.725 ;
RECT 1493.305 100.555 1493.475 100.725 ;
RECT 1493.765 100.555 1493.935 100.725 ;
RECT 5.665 95.115 5.835 95.285 ;
RECT 6.125 95.115 6.295 95.285 ;
RECT 6.585 95.115 6.700 95.285 ;
RECT 1493.305 95.115 1493.475 95.285 ;
RECT 1493.765 95.115 1493.935 95.285 ;
RECT 5.665 89.675 5.835 89.845 ;
RECT 6.125 89.675 6.295 89.845 ;
RECT 6.585 89.675 6.700 89.845 ;
RECT 1493.305 89.675 1493.475 89.845 ;
RECT 1493.765 89.675 1493.935 89.845 ;
RECT 5.665 84.235 5.835 84.405 ;
RECT 6.125 84.235 6.295 84.405 ;
RECT 6.585 84.235 6.700 84.405 ;
RECT 1493.305 84.235 1493.475 84.405 ;
RECT 1493.765 84.235 1493.935 84.405 ;
RECT 5.665 78.795 5.835 78.965 ;
RECT 6.125 78.795 6.295 78.965 ;
RECT 6.585 78.795 6.700 78.965 ;
RECT 1493.305 78.795 1493.475 78.965 ;
RECT 1493.765 78.795 1493.935 78.965 ;
RECT 5.665 73.355 5.835 73.525 ;
RECT 6.125 73.355 6.295 73.525 ;
RECT 6.585 73.355 6.700 73.525 ;
RECT 1493.305 73.355 1493.475 73.525 ;
RECT 1493.765 73.355 1493.935 73.525 ;
RECT 5.665 67.915 5.835 68.085 ;
RECT 6.125 67.915 6.295 68.085 ;
RECT 6.585 67.915 6.700 68.085 ;
RECT 1493.305 67.915 1493.475 68.085 ;
RECT 1493.765 67.915 1493.935 68.085 ;
RECT 5.665 62.475 5.835 62.645 ;
RECT 6.125 62.475 6.295 62.645 ;
RECT 6.585 62.475 6.700 62.645 ;
RECT 1493.305 62.475 1493.475 62.645 ;
RECT 1493.765 62.475 1493.935 62.645 ;
RECT 5.665 57.035 5.835 57.205 ;
RECT 6.125 57.035 6.295 57.205 ;
RECT 6.585 57.035 6.700 57.205 ;
RECT 1493.305 57.035 1493.475 57.205 ;
RECT 1493.765 57.035 1493.935 57.205 ;
RECT 5.665 51.595 5.835 51.765 ;
RECT 6.125 51.595 6.295 51.765 ;
RECT 6.585 51.595 6.700 51.765 ;
RECT 1493.305 51.595 1493.475 51.765 ;
RECT 1493.765 51.595 1493.935 51.765 ;
RECT 5.665 46.155 5.835 46.325 ;
RECT 6.125 46.155 6.295 46.325 ;
RECT 6.585 46.155 6.700 46.325 ;
RECT 1493.305 46.155 1493.475 46.325 ;
RECT 1493.765 46.155 1493.935 46.325 ;
RECT 5.665 40.715 5.835 40.885 ;
RECT 6.125 40.715 6.295 40.885 ;
RECT 6.585 40.715 6.700 40.885 ;
RECT 1493.305 40.715 1493.475 40.885 ;
RECT 1493.765 40.715 1493.935 40.885 ;
RECT 5.665 35.275 5.835 35.445 ;
RECT 6.125 35.275 6.295 35.445 ;
RECT 6.585 35.275 6.700 35.445 ;
RECT 1493.305 35.275 1493.475 35.445 ;
RECT 1493.765 35.275 1493.935 35.445 ;
RECT 5.665 29.835 5.835 30.005 ;
RECT 6.125 29.835 6.295 30.005 ;
RECT 6.585 29.835 6.700 30.005 ;
RECT 1493.305 29.835 1493.475 30.005 ;
RECT 1493.765 29.835 1493.935 30.005 ;
RECT 5.665 24.395 5.835 24.565 ;
RECT 6.125 24.395 6.295 24.565 ;
RECT 6.585 24.395 6.700 24.565 ;
RECT 1493.305 24.395 1493.475 24.565 ;
RECT 1493.765 24.395 1493.935 24.565 ;
RECT 5.665 18.955 5.835 19.125 ;
RECT 6.125 18.955 6.295 19.125 ;
RECT 6.585 18.955 6.700 19.125 ;
RECT 1493.305 18.955 1493.475 19.125 ;
RECT 1493.765 18.955 1493.935 19.125 ;
RECT 5.665 13.515 5.835 13.685 ;
RECT 6.125 13.515 6.295 13.685 ;
RECT 6.585 13.515 6.700 13.685 ;
RECT 1493.305 13.515 1493.475 13.685 ;
RECT 1493.765 13.515 1493.935 13.685 ;
LAYER met1 ;
RECT 5.520 1487.600 6.700 1488.080 ;
RECT 1493.300 1487.600 1494.080 1488.080 ;
RECT 5.520 1482.160 6.700 1482.640 ;
RECT 1493.300 1482.160 1494.080 1482.640 ;
RECT 5.520 1476.720 6.700 1477.200 ;
RECT 1493.300 1476.720 1494.080 1477.200 ;
RECT 5.520 1471.280 6.700 1471.760 ;
RECT 1493.300 1471.280 1494.080 1471.760 ;
RECT 5.520 1465.840 6.700 1466.320 ;
RECT 1493.300 1465.840 1494.080 1466.320 ;
RECT 5.520 1460.400 6.700 1460.880 ;
RECT 1493.300 1460.400 1494.080 1460.880 ;
RECT 5.520 1454.960 6.700 1455.440 ;
RECT 1493.300 1454.960 1494.080 1455.440 ;
RECT 5.520 1449.520 6.700 1450.000 ;
RECT 1493.300 1449.520 1494.080 1450.000 ;
RECT 5.520 1444.080 6.700 1444.560 ;
RECT 1493.300 1444.080 1494.080 1444.560 ;
RECT 5.520 1438.640 6.700 1439.120 ;
RECT 1493.300 1438.640 1494.080 1439.120 ;
RECT 5.520 1433.200 6.700 1433.680 ;
RECT 1493.300 1433.200 1494.080 1433.680 ;
RECT 5.520 1427.760 6.700 1428.240 ;
RECT 1493.300 1427.760 1494.080 1428.240 ;
RECT 5.520 1422.320 6.700 1422.800 ;
RECT 1493.300 1422.320 1494.080 1422.800 ;
RECT 5.520 1416.880 6.700 1417.360 ;
RECT 1493.300 1416.880 1494.080 1417.360 ;
RECT 5.520 1411.440 6.700 1411.920 ;
RECT 1493.300 1411.440 1494.080 1411.920 ;
RECT 5.520 1406.000 6.700 1406.480 ;
RECT 1493.300 1406.000 1494.080 1406.480 ;
RECT 5.520 1400.560 6.700 1401.040 ;
RECT 1493.300 1400.560 1494.080 1401.040 ;
RECT 5.520 1395.120 6.700 1395.600 ;
RECT 1493.300 1395.120 1494.080 1395.600 ;
RECT 5.520 1389.680 6.700 1390.160 ;
RECT 1493.300 1389.680 1494.080 1390.160 ;
RECT 5.520 1384.240 6.700 1384.720 ;
RECT 1493.300 1384.240 1494.080 1384.720 ;
RECT 5.520 1378.800 6.700 1379.280 ;
RECT 1493.300 1378.800 1494.080 1379.280 ;
RECT 5.520 1373.360 6.700 1373.840 ;
RECT 1493.300 1373.360 1494.080 1373.840 ;
RECT 5.520 1367.920 6.700 1368.400 ;
RECT 1493.300 1367.920 1494.080 1368.400 ;
RECT 5.520 1362.480 6.700 1362.960 ;
RECT 1493.300 1362.480 1494.080 1362.960 ;
RECT 5.520 1357.040 6.700 1357.520 ;
RECT 1493.300 1357.040 1494.080 1357.520 ;
RECT 5.520 1351.600 6.700 1352.080 ;
RECT 1493.300 1351.600 1494.080 1352.080 ;
RECT 5.520 1346.160 6.700 1346.640 ;
RECT 1493.300 1346.160 1494.080 1346.640 ;
RECT 5.520 1340.720 6.700 1341.200 ;
RECT 1493.300 1340.720 1494.080 1341.200 ;
RECT 5.520 1335.280 6.700 1335.760 ;
RECT 1493.300 1335.280 1494.080 1335.760 ;
RECT 5.520 1329.840 6.700 1330.320 ;
RECT 1493.300 1329.840 1494.080 1330.320 ;
RECT 5.520 1324.400 6.700 1324.880 ;
RECT 1493.300 1324.400 1494.080 1324.880 ;
RECT 5.520 1318.960 6.700 1319.440 ;
RECT 1493.300 1318.960 1494.080 1319.440 ;
RECT 5.520 1313.520 6.700 1314.000 ;
RECT 1493.300 1313.520 1494.080 1314.000 ;
RECT 5.520 1308.080 6.700 1308.560 ;
RECT 1493.300 1308.080 1494.080 1308.560 ;
RECT 5.520 1302.640 6.700 1303.120 ;
RECT 1493.300 1302.640 1494.080 1303.120 ;
RECT 5.520 1297.200 6.700 1297.680 ;
RECT 1493.300 1297.200 1494.080 1297.680 ;
RECT 5.520 1291.760 6.700 1292.240 ;
RECT 1493.300 1291.760 1494.080 1292.240 ;
RECT 5.520 1286.320 6.700 1286.800 ;
RECT 1493.300 1286.320 1494.080 1286.800 ;
RECT 5.520 1280.880 6.700 1281.360 ;
RECT 1493.300 1280.880 1494.080 1281.360 ;
RECT 5.520 1275.440 6.700 1275.920 ;
RECT 1493.300 1275.440 1494.080 1275.920 ;
RECT 5.520 1270.000 6.700 1270.480 ;
RECT 1493.300 1270.000 1494.080 1270.480 ;
RECT 5.520 1264.560 6.700 1265.040 ;
RECT 1493.300 1264.560 1494.080 1265.040 ;
RECT 5.520 1259.120 6.700 1259.600 ;
RECT 1493.300 1259.120 1494.080 1259.600 ;
RECT 5.520 1253.680 6.700 1254.160 ;
RECT 1493.300 1253.680 1494.080 1254.160 ;
RECT 5.520 1248.240 6.700 1248.720 ;
RECT 1493.300 1248.240 1494.080 1248.720 ;
RECT 5.520 1242.800 6.700 1243.280 ;
RECT 1493.300 1242.800 1494.080 1243.280 ;
RECT 5.520 1237.360 6.700 1237.840 ;
RECT 1493.300 1237.360 1494.080 1237.840 ;
RECT 5.520 1231.920 6.700 1232.400 ;
RECT 1493.300 1231.920 1494.080 1232.400 ;
RECT 5.520 1226.480 6.700 1226.960 ;
RECT 1493.300 1226.480 1494.080 1226.960 ;
RECT 5.520 1221.040 6.700 1221.520 ;
RECT 1493.300 1221.040 1494.080 1221.520 ;
RECT 5.520 1215.600 6.700 1216.080 ;
RECT 1493.300 1215.600 1494.080 1216.080 ;
RECT 5.520 1210.160 6.700 1210.640 ;
RECT 1493.300 1210.160 1494.080 1210.640 ;
RECT 5.520 1204.720 6.700 1205.200 ;
RECT 1493.300 1204.720 1494.080 1205.200 ;
RECT 5.520 1199.280 6.700 1199.760 ;
RECT 1493.300 1199.280 1494.080 1199.760 ;
RECT 5.520 1193.840 6.700 1194.320 ;
RECT 1493.300 1193.840 1494.080 1194.320 ;
RECT 5.520 1188.400 6.700 1188.880 ;
RECT 1493.300 1188.400 1494.080 1188.880 ;
RECT 5.520 1182.960 6.700 1183.440 ;
RECT 1493.300 1182.960 1494.080 1183.440 ;
RECT 5.520 1177.520 6.700 1178.000 ;
RECT 1493.300 1177.520 1494.080 1178.000 ;
RECT 5.520 1172.080 6.700 1172.560 ;
RECT 1493.300 1172.080 1494.080 1172.560 ;
RECT 5.520 1166.640 6.700 1167.120 ;
RECT 1493.300 1166.640 1494.080 1167.120 ;
RECT 5.520 1161.200 6.700 1161.680 ;
RECT 1493.300 1161.200 1494.080 1161.680 ;
RECT 5.520 1155.760 6.700 1156.240 ;
RECT 1493.300 1155.760 1494.080 1156.240 ;
RECT 5.520 1150.320 6.700 1150.800 ;
RECT 1493.300 1150.320 1494.080 1150.800 ;
RECT 5.520 1144.880 6.700 1145.360 ;
RECT 1493.300 1144.880 1494.080 1145.360 ;
RECT 5.520 1139.440 6.700 1139.920 ;
RECT 1493.300 1139.440 1494.080 1139.920 ;
RECT 5.520 1134.000 6.700 1134.480 ;
RECT 1493.300 1134.000 1494.080 1134.480 ;
RECT 5.520 1128.560 6.700 1129.040 ;
RECT 1493.300 1128.560 1494.080 1129.040 ;
RECT 5.520 1123.120 6.700 1123.600 ;
RECT 1493.300 1123.120 1494.080 1123.600 ;
RECT 5.520 1117.680 6.700 1118.160 ;
RECT 1493.300 1117.680 1494.080 1118.160 ;
RECT 5.520 1112.240 6.700 1112.720 ;
RECT 1493.300 1112.240 1494.080 1112.720 ;
RECT 5.520 1106.800 6.700 1107.280 ;
RECT 1493.300 1106.800 1494.080 1107.280 ;
RECT 5.520 1101.360 6.700 1101.840 ;
RECT 1493.300 1101.360 1494.080 1101.840 ;
RECT 5.520 1095.920 6.700 1096.400 ;
RECT 1493.300 1095.920 1494.080 1096.400 ;
RECT 5.520 1090.480 6.700 1090.960 ;
RECT 1493.300 1090.480 1494.080 1090.960 ;
RECT 5.520 1085.040 6.700 1085.520 ;
RECT 1493.300 1085.040 1494.080 1085.520 ;
RECT 5.520 1079.600 6.700 1080.080 ;
RECT 1493.300 1079.600 1494.080 1080.080 ;
RECT 5.520 1074.160 6.700 1074.640 ;
RECT 1493.300 1074.160 1494.080 1074.640 ;
RECT 5.520 1068.720 6.700 1069.200 ;
RECT 1493.300 1068.720 1494.080 1069.200 ;
RECT 5.520 1063.280 6.700 1063.760 ;
RECT 1493.300 1063.280 1494.080 1063.760 ;
RECT 5.520 1057.840 6.700 1058.320 ;
RECT 1493.300 1057.840 1494.080 1058.320 ;
RECT 5.520 1052.400 6.700 1052.880 ;
RECT 1493.300 1052.400 1494.080 1052.880 ;
RECT 5.520 1046.960 6.700 1047.440 ;
RECT 1493.300 1046.960 1494.080 1047.440 ;
RECT 5.520 1041.520 6.700 1042.000 ;
RECT 1493.300 1041.520 1494.080 1042.000 ;
RECT 5.520 1036.080 6.700 1036.560 ;
RECT 1493.300 1036.080 1494.080 1036.560 ;
RECT 5.520 1030.640 6.700 1031.120 ;
RECT 1493.300 1030.640 1494.080 1031.120 ;
RECT 5.520 1025.200 6.700 1025.680 ;
RECT 1493.300 1025.200 1494.080 1025.680 ;
RECT 5.520 1019.760 6.700 1020.240 ;
RECT 1493.300 1019.760 1494.080 1020.240 ;
RECT 5.520 1014.320 6.700 1014.800 ;
RECT 1493.300 1014.320 1494.080 1014.800 ;
RECT 5.520 1008.880 6.700 1009.360 ;
RECT 1493.300 1008.880 1494.080 1009.360 ;
RECT 5.520 1003.440 6.700 1003.920 ;
RECT 1493.300 1003.440 1494.080 1003.920 ;
RECT 5.520 998.000 6.700 998.480 ;
RECT 1493.300 998.000 1494.080 998.480 ;
RECT 5.520 992.560 6.700 993.040 ;
RECT 1493.300 992.560 1494.080 993.040 ;
RECT 5.520 987.120 6.700 987.600 ;
RECT 1493.300 987.120 1494.080 987.600 ;
RECT 5.520 981.680 6.700 982.160 ;
RECT 1493.300 981.680 1494.080 982.160 ;
RECT 5.520 976.240 6.700 976.720 ;
RECT 1493.300 976.240 1494.080 976.720 ;
RECT 5.520 970.800 6.700 971.280 ;
RECT 1493.300 970.800 1494.080 971.280 ;
RECT 5.520 965.360 6.700 965.840 ;
RECT 1493.300 965.360 1494.080 965.840 ;
RECT 5.520 959.920 6.700 960.400 ;
RECT 1493.300 959.920 1494.080 960.400 ;
RECT 5.520 954.480 6.700 954.960 ;
RECT 1493.300 954.480 1494.080 954.960 ;
RECT 5.520 949.040 6.700 949.520 ;
RECT 1493.300 949.040 1494.080 949.520 ;
RECT 5.520 943.600 6.700 944.080 ;
RECT 1493.300 943.600 1494.080 944.080 ;
RECT 5.520 938.160 6.700 938.640 ;
RECT 1493.300 938.160 1494.080 938.640 ;
RECT 5.520 932.720 6.700 933.200 ;
RECT 1493.300 932.720 1494.080 933.200 ;
RECT 5.520 927.280 6.700 927.760 ;
RECT 1493.300 927.280 1494.080 927.760 ;
RECT 5.520 921.840 6.700 922.320 ;
RECT 1493.300 921.840 1494.080 922.320 ;
RECT 5.520 916.400 6.700 916.880 ;
RECT 1493.300 916.400 1494.080 916.880 ;
RECT 5.520 910.960 6.700 911.440 ;
RECT 1493.300 910.960 1494.080 911.440 ;
RECT 5.520 905.520 6.700 906.000 ;
RECT 1493.300 905.520 1494.080 906.000 ;
RECT 5.520 900.080 6.700 900.560 ;
RECT 1493.300 900.080 1494.080 900.560 ;
RECT 5.520 894.640 6.700 895.120 ;
RECT 1493.300 894.640 1494.080 895.120 ;
RECT 5.520 889.200 6.700 889.680 ;
RECT 1493.300 889.200 1494.080 889.680 ;
RECT 5.520 883.760 6.700 884.240 ;
RECT 1493.300 883.760 1494.080 884.240 ;
RECT 5.520 878.320 6.700 878.800 ;
RECT 1493.300 878.320 1494.080 878.800 ;
RECT 5.520 872.880 6.700 873.360 ;
RECT 1493.300 872.880 1494.080 873.360 ;
RECT 5.520 867.440 6.700 867.920 ;
RECT 1493.300 867.440 1494.080 867.920 ;
RECT 5.520 862.000 6.700 862.480 ;
RECT 1493.300 862.000 1494.080 862.480 ;
RECT 5.520 856.560 6.700 857.040 ;
RECT 1493.300 856.560 1494.080 857.040 ;
RECT 5.520 851.120 6.700 851.600 ;
RECT 1493.300 851.120 1494.080 851.600 ;
RECT 5.520 845.680 6.700 846.160 ;
RECT 1493.300 845.680 1494.080 846.160 ;
RECT 5.520 840.240 6.700 840.720 ;
RECT 1493.300 840.240 1494.080 840.720 ;
RECT 5.520 834.800 6.700 835.280 ;
RECT 1493.300 834.800 1494.080 835.280 ;
RECT 5.520 829.360 6.700 829.840 ;
RECT 1493.300 829.360 1494.080 829.840 ;
RECT 5.520 823.920 6.700 824.400 ;
RECT 1493.300 823.920 1494.080 824.400 ;
RECT 5.520 818.480 6.700 818.960 ;
RECT 1493.300 818.480 1494.080 818.960 ;
RECT 5.520 813.040 6.700 813.520 ;
RECT 1493.300 813.040 1494.080 813.520 ;
RECT 5.520 807.600 6.700 808.080 ;
RECT 1493.300 807.600 1494.080 808.080 ;
RECT 5.520 802.160 6.700 802.640 ;
RECT 1493.300 802.160 1494.080 802.640 ;
RECT 5.520 796.720 6.700 797.200 ;
RECT 1493.300 796.720 1494.080 797.200 ;
RECT 5.520 791.280 6.700 791.760 ;
RECT 1493.300 791.280 1494.080 791.760 ;
RECT 5.520 785.840 6.700 786.320 ;
RECT 1493.300 785.840 1494.080 786.320 ;
RECT 5.520 780.400 6.700 780.880 ;
RECT 1493.300 780.400 1494.080 780.880 ;
RECT 5.520 774.960 6.700 775.440 ;
RECT 1493.300 774.960 1494.080 775.440 ;
RECT 5.520 769.520 6.700 770.000 ;
RECT 1493.300 769.520 1494.080 770.000 ;
RECT 5.520 764.080 6.700 764.560 ;
RECT 1493.300 764.080 1494.080 764.560 ;
RECT 5.520 758.640 6.700 759.120 ;
RECT 1493.300 758.640 1494.080 759.120 ;
RECT 5.520 753.200 6.700 753.680 ;
RECT 1493.300 753.200 1494.080 753.680 ;
RECT 5.520 747.760 6.700 748.240 ;
RECT 1493.300 747.760 1494.080 748.240 ;
RECT 5.520 742.320 6.700 742.800 ;
RECT 1493.300 742.320 1494.080 742.800 ;
RECT 5.520 736.880 6.700 737.360 ;
RECT 1493.300 736.880 1494.080 737.360 ;
RECT 5.520 731.440 6.700 731.920 ;
RECT 1493.300 731.440 1494.080 731.920 ;
RECT 5.520 726.000 6.700 726.480 ;
RECT 1493.300 726.000 1494.080 726.480 ;
RECT 5.520 720.560 6.700 721.040 ;
RECT 1493.300 720.560 1494.080 721.040 ;
RECT 5.520 715.120 6.700 715.600 ;
RECT 1493.300 715.120 1494.080 715.600 ;
RECT 5.520 709.680 6.700 710.160 ;
RECT 1493.300 709.680 1494.080 710.160 ;
RECT 5.520 704.240 6.700 704.720 ;
RECT 1493.300 704.240 1494.080 704.720 ;
RECT 5.520 698.800 6.700 699.280 ;
RECT 1493.300 698.800 1494.080 699.280 ;
RECT 5.520 693.360 6.700 693.840 ;
RECT 1493.300 693.360 1494.080 693.840 ;
RECT 5.520 687.920 6.700 688.400 ;
RECT 1493.300 687.920 1494.080 688.400 ;
RECT 5.520 682.480 6.700 682.960 ;
RECT 1493.300 682.480 1494.080 682.960 ;
RECT 5.520 677.040 6.700 677.520 ;
RECT 1493.300 677.040 1494.080 677.520 ;
RECT 5.520 671.600 6.700 672.080 ;
RECT 1493.300 671.600 1494.080 672.080 ;
RECT 5.520 666.160 6.700 666.640 ;
RECT 1493.300 666.160 1494.080 666.640 ;
RECT 5.520 660.720 6.700 661.200 ;
RECT 1493.300 660.720 1494.080 661.200 ;
RECT 5.520 655.280 6.700 655.760 ;
RECT 1493.300 655.280 1494.080 655.760 ;
RECT 5.520 649.840 6.700 650.320 ;
RECT 1493.300 649.840 1494.080 650.320 ;
RECT 5.520 644.400 6.700 644.880 ;
RECT 1493.300 644.400 1494.080 644.880 ;
RECT 5.520 638.960 6.700 639.440 ;
RECT 1493.300 638.960 1494.080 639.440 ;
RECT 5.520 633.520 6.700 634.000 ;
RECT 1493.300 633.520 1494.080 634.000 ;
RECT 5.520 628.080 6.700 628.560 ;
RECT 1493.300 628.080 1494.080 628.560 ;
RECT 5.520 622.640 6.700 623.120 ;
RECT 1493.300 622.640 1494.080 623.120 ;
RECT 5.520 617.200 6.700 617.680 ;
RECT 1493.300 617.200 1494.080 617.680 ;
RECT 5.520 611.760 6.700 612.240 ;
RECT 1493.300 611.760 1494.080 612.240 ;
RECT 5.520 606.320 6.700 606.800 ;
RECT 1493.300 606.320 1494.080 606.800 ;
RECT 5.520 600.880 6.700 601.360 ;
RECT 1493.300 600.880 1494.080 601.360 ;
RECT 5.520 595.440 6.700 595.920 ;
RECT 1493.300 595.440 1494.080 595.920 ;
RECT 5.520 590.000 6.700 590.480 ;
RECT 1493.300 590.000 1494.080 590.480 ;
RECT 5.520 584.560 6.700 585.040 ;
RECT 1493.300 584.560 1494.080 585.040 ;
RECT 5.520 579.120 6.700 579.600 ;
RECT 1493.300 579.120 1494.080 579.600 ;
RECT 5.520 573.680 6.700 574.160 ;
RECT 1493.300 573.680 1494.080 574.160 ;
RECT 5.520 568.240 6.700 568.720 ;
RECT 1493.300 568.240 1494.080 568.720 ;
RECT 5.520 562.800 6.700 563.280 ;
RECT 1493.300 562.800 1494.080 563.280 ;
RECT 5.520 557.360 6.700 557.840 ;
RECT 1493.300 557.360 1494.080 557.840 ;
RECT 5.520 551.920 6.700 552.400 ;
RECT 1493.300 551.920 1494.080 552.400 ;
RECT 5.520 546.480 6.700 546.960 ;
RECT 1493.300 546.480 1494.080 546.960 ;
RECT 5.520 541.040 6.700 541.520 ;
RECT 1493.300 541.040 1494.080 541.520 ;
RECT 5.520 535.600 6.700 536.080 ;
RECT 1493.300 535.600 1494.080 536.080 ;
RECT 5.520 530.160 6.700 530.640 ;
RECT 1493.300 530.160 1494.080 530.640 ;
RECT 5.520 524.720 6.700 525.200 ;
RECT 1493.300 524.720 1494.080 525.200 ;
RECT 5.520 519.280 6.700 519.760 ;
RECT 1493.300 519.280 1494.080 519.760 ;
RECT 5.520 513.840 6.700 514.320 ;
RECT 1493.300 513.840 1494.080 514.320 ;
RECT 5.520 508.400 6.700 508.880 ;
RECT 1493.300 508.400 1494.080 508.880 ;
RECT 5.520 502.960 6.700 503.440 ;
RECT 1493.300 502.960 1494.080 503.440 ;
RECT 5.520 497.520 6.700 498.000 ;
RECT 1493.300 497.520 1494.080 498.000 ;
RECT 5.520 492.080 6.700 492.560 ;
RECT 1493.300 492.080 1494.080 492.560 ;
RECT 5.520 486.640 6.700 487.120 ;
RECT 1493.300 486.640 1494.080 487.120 ;
RECT 5.520 481.200 6.700 481.680 ;
RECT 1493.300 481.200 1494.080 481.680 ;
RECT 5.520 475.760 6.700 476.240 ;
RECT 1493.300 475.760 1494.080 476.240 ;
RECT 5.520 470.320 6.700 470.800 ;
RECT 1493.300 470.320 1494.080 470.800 ;
RECT 5.520 464.880 6.700 465.360 ;
RECT 1493.300 464.880 1494.080 465.360 ;
RECT 5.520 459.440 6.700 459.920 ;
RECT 1493.300 459.440 1494.080 459.920 ;
RECT 5.520 454.000 6.700 454.480 ;
RECT 1493.300 454.000 1494.080 454.480 ;
RECT 5.520 448.560 6.700 449.040 ;
RECT 1493.300 448.560 1494.080 449.040 ;
RECT 5.520 443.120 6.700 443.600 ;
RECT 1493.300 443.120 1494.080 443.600 ;
RECT 5.520 437.680 6.700 438.160 ;
RECT 1493.300 437.680 1494.080 438.160 ;
RECT 5.520 432.240 6.700 432.720 ;
RECT 1493.300 432.240 1494.080 432.720 ;
RECT 5.520 426.800 6.700 427.280 ;
RECT 1493.300 426.800 1494.080 427.280 ;
RECT 5.520 421.360 6.700 421.840 ;
RECT 1493.300 421.360 1494.080 421.840 ;
RECT 5.520 415.920 6.700 416.400 ;
RECT 1493.300 415.920 1494.080 416.400 ;
RECT 5.520 410.480 6.700 410.960 ;
RECT 1493.300 410.480 1494.080 410.960 ;
RECT 5.520 405.040 6.700 405.520 ;
RECT 1493.300 405.040 1494.080 405.520 ;
RECT 5.520 399.600 6.700 400.080 ;
RECT 1493.300 399.600 1494.080 400.080 ;
RECT 5.520 394.160 6.700 394.640 ;
RECT 1493.300 394.160 1494.080 394.640 ;
RECT 5.520 388.720 6.700 389.200 ;
RECT 1493.300 388.720 1494.080 389.200 ;
RECT 5.520 383.280 6.700 383.760 ;
RECT 1493.300 383.280 1494.080 383.760 ;
RECT 5.520 377.840 6.700 378.320 ;
RECT 1493.300 377.840 1494.080 378.320 ;
RECT 5.520 372.400 6.700 372.880 ;
RECT 1493.300 372.400 1494.080 372.880 ;
RECT 5.520 366.960 6.700 367.440 ;
RECT 1493.300 366.960 1494.080 367.440 ;
RECT 5.520 361.520 6.700 362.000 ;
RECT 1493.300 361.520 1494.080 362.000 ;
RECT 5.520 356.080 6.700 356.560 ;
RECT 1493.300 356.080 1494.080 356.560 ;
RECT 5.520 350.640 6.700 351.120 ;
RECT 1493.300 350.640 1494.080 351.120 ;
RECT 5.520 345.200 6.700 345.680 ;
RECT 1493.300 345.200 1494.080 345.680 ;
RECT 5.520 339.760 6.700 340.240 ;
RECT 1493.300 339.760 1494.080 340.240 ;
RECT 5.520 334.320 6.700 334.800 ;
RECT 1493.300 334.320 1494.080 334.800 ;
RECT 5.520 328.880 6.700 329.360 ;
RECT 1493.300 328.880 1494.080 329.360 ;
RECT 5.520 323.440 6.700 323.920 ;
RECT 1493.300 323.440 1494.080 323.920 ;
RECT 5.520 318.000 6.700 318.480 ;
RECT 1493.300 318.000 1494.080 318.480 ;
RECT 5.520 312.560 6.700 313.040 ;
RECT 1493.300 312.560 1494.080 313.040 ;
RECT 5.520 307.120 6.700 307.600 ;
RECT 1493.300 307.120 1494.080 307.600 ;
RECT 5.520 301.680 6.700 302.160 ;
RECT 1493.300 301.680 1494.080 302.160 ;
RECT 5.520 296.240 6.700 296.720 ;
RECT 1493.300 296.240 1494.080 296.720 ;
RECT 5.520 290.800 6.700 291.280 ;
RECT 1493.300 290.800 1494.080 291.280 ;
RECT 5.520 285.360 6.700 285.840 ;
RECT 1493.300 285.360 1494.080 285.840 ;
RECT 5.520 279.920 6.700 280.400 ;
RECT 1493.300 279.920 1494.080 280.400 ;
RECT 5.520 274.480 6.700 274.960 ;
RECT 1493.300 274.480 1494.080 274.960 ;
RECT 5.520 269.040 6.700 269.520 ;
RECT 1493.300 269.040 1494.080 269.520 ;
RECT 5.520 263.600 6.700 264.080 ;
RECT 1493.300 263.600 1494.080 264.080 ;
RECT 5.520 258.160 6.700 258.640 ;
RECT 1493.300 258.160 1494.080 258.640 ;
RECT 5.520 252.720 6.700 253.200 ;
RECT 1493.300 252.720 1494.080 253.200 ;
RECT 5.520 247.280 6.700 247.760 ;
RECT 1493.300 247.280 1494.080 247.760 ;
RECT 5.520 241.840 6.700 242.320 ;
RECT 1493.300 241.840 1494.080 242.320 ;
RECT 5.520 236.400 6.700 236.880 ;
RECT 1493.300 236.400 1494.080 236.880 ;
RECT 5.520 230.960 6.700 231.440 ;
RECT 1493.300 230.960 1494.080 231.440 ;
RECT 5.520 225.520 6.700 226.000 ;
RECT 1493.300 225.520 1494.080 226.000 ;
RECT 5.520 220.080 6.700 220.560 ;
RECT 1493.300 220.080 1494.080 220.560 ;
RECT 5.520 214.640 6.700 215.120 ;
RECT 1493.300 214.640 1494.080 215.120 ;
RECT 5.520 209.200 6.700 209.680 ;
RECT 1493.300 209.200 1494.080 209.680 ;
RECT 5.520 203.760 6.700 204.240 ;
RECT 1493.300 203.760 1494.080 204.240 ;
RECT 5.520 198.320 6.700 198.800 ;
RECT 1493.300 198.320 1494.080 198.800 ;
RECT 5.520 192.880 6.700 193.360 ;
RECT 1493.300 192.880 1494.080 193.360 ;
RECT 5.520 187.440 6.700 187.920 ;
RECT 1493.300 187.440 1494.080 187.920 ;
RECT 5.520 182.000 6.700 182.480 ;
RECT 1493.300 182.000 1494.080 182.480 ;
RECT 5.520 176.560 6.700 177.040 ;
RECT 1493.300 176.560 1494.080 177.040 ;
RECT 5.520 171.120 6.700 171.600 ;
RECT 1493.300 171.120 1494.080 171.600 ;
RECT 5.520 165.680 6.700 166.160 ;
RECT 1493.300 165.680 1494.080 166.160 ;
RECT 5.520 160.240 6.700 160.720 ;
RECT 1493.300 160.240 1494.080 160.720 ;
RECT 5.520 154.800 6.700 155.280 ;
RECT 1493.300 154.800 1494.080 155.280 ;
RECT 5.520 149.360 6.700 149.840 ;
RECT 1493.300 149.360 1494.080 149.840 ;
RECT 5.520 143.920 6.700 144.400 ;
RECT 1493.300 143.920 1494.080 144.400 ;
RECT 5.520 138.480 6.700 138.960 ;
RECT 1493.300 138.480 1494.080 138.960 ;
RECT 5.520 133.040 6.700 133.520 ;
RECT 1493.300 133.040 1494.080 133.520 ;
RECT 5.520 127.600 6.700 128.080 ;
RECT 1493.300 127.600 1494.080 128.080 ;
RECT 5.520 122.160 6.700 122.640 ;
RECT 1493.300 122.160 1494.080 122.640 ;
RECT 5.520 116.720 6.700 117.200 ;
RECT 1493.300 116.720 1494.080 117.200 ;
RECT 5.520 111.280 6.700 111.760 ;
RECT 1493.300 111.280 1494.080 111.760 ;
RECT 5.520 105.840 6.700 106.320 ;
RECT 1493.300 105.840 1494.080 106.320 ;
RECT 5.520 100.400 6.700 100.880 ;
RECT 1493.300 100.400 1494.080 100.880 ;
RECT 5.520 94.960 6.700 95.440 ;
RECT 1493.300 94.960 1494.080 95.440 ;
RECT 5.520 89.520 6.700 90.000 ;
RECT 1493.300 89.520 1494.080 90.000 ;
RECT 5.520 84.080 6.700 84.560 ;
RECT 1493.300 84.080 1494.080 84.560 ;
RECT 5.520 78.640 6.700 79.120 ;
RECT 1493.300 78.640 1494.080 79.120 ;
RECT 5.520 73.200 6.700 73.680 ;
RECT 1493.300 73.200 1494.080 73.680 ;
RECT 5.520 67.760 6.700 68.240 ;
RECT 1493.300 67.760 1494.080 68.240 ;
RECT 5.520 62.320 6.700 62.800 ;
RECT 1493.300 62.320 1494.080 62.800 ;
RECT 5.520 56.880 6.700 57.360 ;
RECT 1493.300 56.880 1494.080 57.360 ;
RECT 5.520 51.440 6.700 51.920 ;
RECT 1493.300 51.440 1494.080 51.920 ;
RECT 5.520 46.000 6.700 46.480 ;
RECT 1493.300 46.000 1494.080 46.480 ;
RECT 5.520 40.560 6.700 41.040 ;
RECT 1493.300 40.560 1494.080 41.040 ;
RECT 5.520 35.120 6.700 35.600 ;
RECT 1493.300 35.120 1494.080 35.600 ;
RECT 5.520 29.680 6.700 30.160 ;
RECT 1493.300 29.680 1494.080 30.160 ;
RECT 5.520 24.240 6.700 24.720 ;
RECT 1493.300 24.240 1494.080 24.720 ;
RECT 5.520 18.800 6.700 19.280 ;
RECT 1493.300 18.800 1494.080 19.280 ;
RECT 5.520 13.360 6.700 13.840 ;
RECT 1493.300 13.360 1494.080 13.840 ;
LAYER met4 ;
RECT -0.680 4.680 2.320 1494.040 ;
RECT 101.040 1493.300 102.640 1494.040 ;
RECT 254.640 1493.300 256.240 1494.040 ;
RECT 408.240 1493.300 409.840 1494.040 ;
RECT 561.840 1493.300 563.440 1494.040 ;
RECT 715.440 1493.300 717.040 1494.040 ;
RECT 869.040 1493.300 870.640 1494.040 ;
RECT 1022.640 1493.300 1024.240 1494.040 ;
RECT 1176.240 1493.300 1177.840 1494.040 ;
RECT 1329.840 1493.300 1331.440 1494.040 ;
RECT 1483.440 1493.300 1485.040 1494.040 ;
RECT 101.040 4.680 102.640 6.700 ;
RECT 254.640 4.680 256.240 6.700 ;
RECT 408.240 4.680 409.840 6.700 ;
RECT 561.840 4.680 563.440 6.700 ;
RECT 715.440 4.680 717.040 6.700 ;
RECT 869.040 4.680 870.640 6.700 ;
RECT 1022.640 4.680 1024.240 6.700 ;
RECT 1176.240 4.680 1177.840 6.700 ;
RECT 1329.840 4.680 1331.440 6.700 ;
RECT 1483.440 4.680 1485.040 6.700 ;
RECT 1497.280 4.680 1500.280 1494.040 ;
LAYER via4 ;
RECT 0.230 1492.750 1.410 1493.930 ;
RECT 101.250 1493.300 102.430 1493.930 ;
RECT 254.850 1493.300 256.030 1493.930 ;
RECT 408.450 1493.300 409.630 1493.930 ;
RECT 562.050 1493.300 563.230 1493.930 ;
RECT 715.650 1493.300 716.830 1493.930 ;
RECT 869.250 1493.300 870.430 1493.930 ;
RECT 1022.850 1493.300 1024.030 1493.930 ;
RECT 1176.450 1493.300 1177.630 1493.930 ;
RECT 1330.050 1493.300 1331.230 1493.930 ;
RECT 1483.650 1493.300 1484.830 1493.930 ;
RECT 0.230 1491.150 1.410 1492.330 ;
RECT 0.230 1447.055 1.410 1448.235 ;
RECT 0.230 1370.465 1.410 1371.645 ;
RECT 0.230 1293.875 1.410 1295.055 ;
RECT 0.230 1217.285 1.410 1218.465 ;
RECT 0.230 1140.695 1.410 1141.875 ;
RECT 0.230 1064.105 1.410 1065.285 ;
RECT 0.230 987.515 1.410 988.695 ;
RECT 0.230 910.925 1.410 912.105 ;
RECT 0.230 834.335 1.410 835.515 ;
RECT 0.230 757.745 1.410 758.925 ;
RECT 0.230 681.155 1.410 682.335 ;
RECT 0.230 604.565 1.410 605.745 ;
RECT 0.230 527.975 1.410 529.155 ;
RECT 0.230 451.385 1.410 452.565 ;
RECT 0.230 374.795 1.410 375.975 ;
RECT 0.230 298.205 1.410 299.385 ;
RECT 0.230 221.615 1.410 222.795 ;
RECT 0.230 145.025 1.410 146.205 ;
RECT 0.230 68.435 1.410 69.615 ;
RECT 0.230 6.390 1.410 7.570 ;
RECT 1498.190 1492.750 1499.370 1493.930 ;
RECT 1498.190 1491.150 1499.370 1492.330 ;
RECT 1498.190 1447.055 1499.370 1448.235 ;
RECT 1498.190 1370.465 1499.370 1371.645 ;
RECT 1498.190 1293.875 1499.370 1295.055 ;
RECT 1498.190 1217.285 1499.370 1218.465 ;
RECT 1498.190 1140.695 1499.370 1141.875 ;
RECT 1498.190 1064.105 1499.370 1065.285 ;
RECT 1498.190 987.515 1499.370 988.695 ;
RECT 1498.190 910.925 1499.370 912.105 ;
RECT 1498.190 834.335 1499.370 835.515 ;
RECT 1498.190 757.745 1499.370 758.925 ;
RECT 1498.190 681.155 1499.370 682.335 ;
RECT 1498.190 604.565 1499.370 605.745 ;
RECT 1498.190 527.975 1499.370 529.155 ;
RECT 1498.190 451.385 1499.370 452.565 ;
RECT 1498.190 374.795 1499.370 375.975 ;
RECT 1498.190 298.205 1499.370 299.385 ;
RECT 1498.190 221.615 1499.370 222.795 ;
RECT 1498.190 145.025 1499.370 146.205 ;
RECT 1498.190 68.435 1499.370 69.615 ;
RECT 0.230 4.790 1.410 5.970 ;
RECT 101.250 6.390 102.430 6.700 ;
RECT 101.250 4.790 102.430 5.970 ;
RECT 254.850 6.390 256.030 6.700 ;
RECT 254.850 4.790 256.030 5.970 ;
RECT 408.450 6.390 409.630 6.700 ;
RECT 408.450 4.790 409.630 5.970 ;
RECT 562.050 6.390 563.230 6.700 ;
RECT 562.050 4.790 563.230 5.970 ;
RECT 715.650 6.390 716.830 6.700 ;
RECT 715.650 4.790 716.830 5.970 ;
RECT 869.250 6.390 870.430 6.700 ;
RECT 869.250 4.790 870.430 5.970 ;
RECT 1022.850 6.390 1024.030 6.700 ;
RECT 1022.850 4.790 1024.030 5.970 ;
RECT 1176.450 6.390 1177.630 6.700 ;
RECT 1176.450 4.790 1177.630 5.970 ;
RECT 1330.050 6.390 1331.230 6.700 ;
RECT 1330.050 4.790 1331.230 5.970 ;
RECT 1483.650 6.390 1484.830 6.700 ;
RECT 1483.650 4.790 1484.830 5.970 ;
RECT 1498.190 6.390 1499.370 7.570 ;
RECT 1498.190 4.790 1499.370 5.970 ;
LAYER met5 ;
RECT -0.680 1494.040 2.320 1494.050 ;
RECT 101.040 1494.040 102.640 1494.050 ;
RECT 254.640 1494.040 256.240 1494.050 ;
RECT 408.240 1494.040 409.840 1494.050 ;
RECT 561.840 1494.040 563.440 1494.050 ;
RECT 715.440 1494.040 717.040 1494.050 ;
RECT 869.040 1494.040 870.640 1494.050 ;
RECT 1022.640 1494.040 1024.240 1494.050 ;
RECT 1176.240 1494.040 1177.840 1494.050 ;
RECT 1329.840 1494.040 1331.440 1494.050 ;
RECT 1483.440 1494.040 1485.040 1494.050 ;
RECT 1497.280 1494.040 1500.280 1494.050 ;
RECT -0.680 1493.300 1500.280 1494.040 ;
RECT -0.680 1491.040 6.700 1493.300 ;
RECT 1493.300 1491.040 1500.280 1493.300 ;
RECT -0.680 1491.030 2.320 1491.040 ;
RECT 1497.280 1491.030 1500.280 1491.040 ;
RECT -0.680 1446.845 6.700 1448.445 ;
RECT 1493.300 1446.845 1500.280 1448.445 ;
RECT -0.680 1370.255 6.700 1371.855 ;
RECT 1493.300 1370.255 1500.280 1371.855 ;
RECT -0.680 1293.665 6.700 1295.265 ;
RECT 1493.300 1293.665 1500.280 1295.265 ;
RECT -0.680 1217.075 6.700 1218.675 ;
RECT 1493.300 1217.075 1500.280 1218.675 ;
RECT -0.680 1140.485 6.700 1142.085 ;
RECT 1493.300 1140.485 1500.280 1142.085 ;
RECT -0.680 1063.895 6.700 1065.495 ;
RECT 1493.300 1063.895 1500.280 1065.495 ;
RECT -0.680 987.305 6.700 988.905 ;
RECT 1493.300 987.305 1500.280 988.905 ;
RECT -0.680 910.715 6.700 912.315 ;
RECT 1493.300 910.715 1500.280 912.315 ;
RECT -0.680 834.125 6.700 835.725 ;
RECT 1493.300 834.125 1500.280 835.725 ;
RECT -0.680 757.535 6.700 759.135 ;
RECT 1493.300 757.535 1500.280 759.135 ;
RECT -0.680 680.945 6.700 682.545 ;
RECT 1493.300 680.945 1500.280 682.545 ;
RECT -0.680 604.355 6.700 605.955 ;
RECT 1493.300 604.355 1500.280 605.955 ;
RECT -0.680 527.765 6.700 529.365 ;
RECT 1493.300 527.765 1500.280 529.365 ;
RECT -0.680 451.175 6.700 452.775 ;
RECT 1493.300 451.175 1500.280 452.775 ;
RECT -0.680 374.585 6.700 376.185 ;
RECT 1493.300 374.585 1500.280 376.185 ;
RECT -0.680 297.995 6.700 299.595 ;
RECT 1493.300 297.995 1500.280 299.595 ;
RECT -0.680 221.405 6.700 223.005 ;
RECT 1493.300 221.405 1500.280 223.005 ;
RECT -0.680 144.815 6.700 146.415 ;
RECT 1493.300 144.815 1500.280 146.415 ;
RECT -0.680 68.225 6.700 69.825 ;
RECT 1493.300 68.225 1500.280 69.825 ;
RECT -0.680 7.680 2.320 7.690 ;
RECT 1497.280 7.680 1500.280 7.690 ;
RECT -0.680 6.700 6.700 7.680 ;
RECT 1493.300 6.700 1500.280 7.680 ;
RECT -0.680 4.680 1500.280 6.700 ;
RECT -0.680 4.670 2.320 4.680 ;
RECT 101.040 4.670 102.640 4.680 ;
RECT 254.640 4.670 256.240 4.680 ;
RECT 408.240 4.670 409.840 4.680 ;
RECT 561.840 4.670 563.440 4.680 ;
RECT 715.440 4.670 717.040 4.680 ;
RECT 869.040 4.670 870.640 4.680 ;
RECT 1022.640 4.670 1024.240 4.680 ;
RECT 1176.240 4.670 1177.840 4.680 ;
RECT 1329.840 4.670 1331.440 4.680 ;
RECT 1483.440 4.670 1485.040 4.680 ;
RECT 1497.280 4.670 1500.280 4.680 ;
END
END VGND
OBS
LAYER pwell ;
RECT 5.665 1487.755 5.835 1487.925 ;
RECT 1493.765 1487.755 1493.935 1487.925 ;
RECT 5.665 1482.315 5.835 1482.485 ;
RECT 1493.765 1482.315 1493.935 1482.485 ;
RECT 5.665 1476.875 5.835 1477.045 ;
RECT 1493.765 1476.875 1493.935 1477.045 ;
RECT 5.665 1471.435 5.835 1471.605 ;
RECT 1493.765 1471.435 1493.935 1471.605 ;
RECT 5.665 1465.995 5.835 1466.165 ;
RECT 1493.765 1465.995 1493.935 1466.165 ;
RECT 5.665 1460.555 5.835 1460.725 ;
RECT 1493.765 1460.555 1493.935 1460.725 ;
RECT 5.665 1455.115 5.835 1455.285 ;
RECT 1493.765 1455.115 1493.935 1455.285 ;
RECT 5.665 1449.675 5.835 1449.845 ;
RECT 1493.765 1449.675 1493.935 1449.845 ;
RECT 5.665 1444.235 5.835 1444.405 ;
RECT 1493.765 1444.235 1493.935 1444.405 ;
RECT 5.665 1438.795 5.835 1438.965 ;
RECT 1493.765 1438.795 1493.935 1438.965 ;
RECT 5.665 1433.355 5.835 1433.525 ;
RECT 1493.765 1433.355 1493.935 1433.525 ;
RECT 5.665 1427.915 5.835 1428.085 ;
RECT 1493.765 1427.915 1493.935 1428.085 ;
RECT 5.665 1422.475 5.835 1422.645 ;
RECT 1493.765 1422.475 1493.935 1422.645 ;
RECT 5.665 1417.035 5.835 1417.205 ;
RECT 1493.765 1417.035 1493.935 1417.205 ;
RECT 5.665 1411.595 5.835 1411.765 ;
RECT 1493.765 1411.595 1493.935 1411.765 ;
RECT 5.665 1406.155 5.835 1406.325 ;
RECT 1493.765 1406.155 1493.935 1406.325 ;
RECT 5.665 1400.715 5.835 1400.885 ;
RECT 1493.765 1400.715 1493.935 1400.885 ;
RECT 5.665 1395.275 5.835 1395.445 ;
RECT 1493.765 1395.275 1493.935 1395.445 ;
RECT 5.665 1389.835 5.835 1390.005 ;
RECT 1493.765 1389.835 1493.935 1390.005 ;
RECT 5.665 1384.395 5.835 1384.565 ;
RECT 1493.765 1384.395 1493.935 1384.565 ;
RECT 5.665 1378.955 5.835 1379.125 ;
RECT 1493.765 1378.955 1493.935 1379.125 ;
RECT 5.665 1373.515 5.835 1373.685 ;
RECT 1493.765 1373.515 1493.935 1373.685 ;
RECT 5.665 1368.075 5.835 1368.245 ;
RECT 1493.765 1368.075 1493.935 1368.245 ;
RECT 5.665 1362.635 5.835 1362.805 ;
RECT 1493.765 1362.635 1493.935 1362.805 ;
RECT 5.665 1357.195 5.835 1357.365 ;
RECT 1493.765 1357.195 1493.935 1357.365 ;
RECT 5.665 1351.755 5.835 1351.925 ;
RECT 1493.765 1351.755 1493.935 1351.925 ;
RECT 5.665 1346.315 5.835 1346.485 ;
RECT 1493.765 1346.315 1493.935 1346.485 ;
RECT 5.665 1340.875 5.835 1341.045 ;
RECT 1493.765 1340.875 1493.935 1341.045 ;
RECT 5.665 1335.435 5.835 1335.605 ;
RECT 1493.765 1335.435 1493.935 1335.605 ;
RECT 5.665 1329.995 5.835 1330.165 ;
RECT 1493.765 1329.995 1493.935 1330.165 ;
RECT 5.665 1324.555 5.835 1324.725 ;
RECT 1493.765 1324.555 1493.935 1324.725 ;
RECT 5.665 1319.115 5.835 1319.285 ;
RECT 1493.765 1319.115 1493.935 1319.285 ;
RECT 5.665 1313.675 5.835 1313.845 ;
RECT 1493.765 1313.675 1493.935 1313.845 ;
RECT 5.665 1308.235 5.835 1308.405 ;
RECT 1493.765 1308.235 1493.935 1308.405 ;
RECT 5.665 1302.795 5.835 1302.965 ;
RECT 1493.765 1302.795 1493.935 1302.965 ;
RECT 5.665 1297.355 5.835 1297.525 ;
RECT 1493.765 1297.355 1493.935 1297.525 ;
RECT 5.665 1291.915 5.835 1292.085 ;
RECT 1493.765 1291.915 1493.935 1292.085 ;
RECT 5.665 1286.475 5.835 1286.645 ;
RECT 1493.765 1286.475 1493.935 1286.645 ;
RECT 5.665 1281.035 5.835 1281.205 ;
RECT 1493.765 1281.035 1493.935 1281.205 ;
RECT 5.665 1275.595 5.835 1275.765 ;
RECT 1493.765 1275.595 1493.935 1275.765 ;
RECT 5.665 1270.155 5.835 1270.325 ;
RECT 1493.765 1270.155 1493.935 1270.325 ;
RECT 5.665 1264.715 5.835 1264.885 ;
RECT 1493.765 1264.715 1493.935 1264.885 ;
RECT 5.665 1259.275 5.835 1259.445 ;
RECT 1493.765 1259.275 1493.935 1259.445 ;
RECT 5.665 1253.835 5.835 1254.005 ;
RECT 1493.765 1253.835 1493.935 1254.005 ;
RECT 5.665 1248.395 5.835 1248.565 ;
RECT 1493.765 1248.395 1493.935 1248.565 ;
RECT 5.665 1242.955 5.835 1243.125 ;
RECT 1493.765 1242.955 1493.935 1243.125 ;
RECT 5.665 1237.515 5.835 1237.685 ;
RECT 1493.765 1237.515 1493.935 1237.685 ;
RECT 5.665 1232.075 5.835 1232.245 ;
RECT 1493.765 1232.075 1493.935 1232.245 ;
RECT 5.665 1226.635 5.835 1226.805 ;
RECT 1493.765 1226.635 1493.935 1226.805 ;
RECT 5.665 1221.195 5.835 1221.365 ;
RECT 1493.765 1221.195 1493.935 1221.365 ;
RECT 5.665 1215.755 5.835 1215.925 ;
RECT 1493.765 1215.755 1493.935 1215.925 ;
RECT 5.665 1210.315 5.835 1210.485 ;
RECT 1493.765 1210.315 1493.935 1210.485 ;
RECT 5.665 1204.875 5.835 1205.045 ;
RECT 1493.765 1204.875 1493.935 1205.045 ;
RECT 5.665 1199.435 5.835 1199.605 ;
RECT 1493.765 1199.435 1493.935 1199.605 ;
RECT 5.665 1193.995 5.835 1194.165 ;
RECT 1493.765 1193.995 1493.935 1194.165 ;
RECT 5.665 1188.555 5.835 1188.725 ;
RECT 1493.765 1188.555 1493.935 1188.725 ;
RECT 5.665 1183.115 5.835 1183.285 ;
RECT 1493.765 1183.115 1493.935 1183.285 ;
RECT 5.665 1177.675 5.835 1177.845 ;
RECT 1493.765 1177.675 1493.935 1177.845 ;
RECT 5.665 1172.235 5.835 1172.405 ;
RECT 1493.765 1172.235 1493.935 1172.405 ;
RECT 5.665 1166.795 5.835 1166.965 ;
RECT 1493.765 1166.795 1493.935 1166.965 ;
RECT 5.665 1161.355 5.835 1161.525 ;
RECT 1493.765 1161.355 1493.935 1161.525 ;
RECT 5.665 1155.915 5.835 1156.085 ;
RECT 1493.765 1155.915 1493.935 1156.085 ;
RECT 5.665 1150.475 5.835 1150.645 ;
RECT 1493.765 1150.475 1493.935 1150.645 ;
RECT 5.665 1145.035 5.835 1145.205 ;
RECT 1493.765 1145.035 1493.935 1145.205 ;
RECT 5.665 1139.595 5.835 1139.765 ;
RECT 1493.765 1139.595 1493.935 1139.765 ;
RECT 5.665 1134.155 5.835 1134.325 ;
RECT 1493.765 1134.155 1493.935 1134.325 ;
RECT 5.665 1128.715 5.835 1128.885 ;
RECT 1493.765 1128.715 1493.935 1128.885 ;
RECT 5.665 1123.275 5.835 1123.445 ;
RECT 1493.765 1123.275 1493.935 1123.445 ;
RECT 5.665 1117.835 5.835 1118.005 ;
RECT 1493.765 1117.835 1493.935 1118.005 ;
RECT 5.665 1112.395 5.835 1112.565 ;
RECT 1493.765 1112.395 1493.935 1112.565 ;
RECT 5.665 1106.955 5.835 1107.125 ;
RECT 1493.765 1106.955 1493.935 1107.125 ;
RECT 5.665 1101.515 5.835 1101.685 ;
RECT 1493.765 1101.515 1493.935 1101.685 ;
RECT 5.665 1096.075 5.835 1096.245 ;
RECT 1493.765 1096.075 1493.935 1096.245 ;
RECT 5.665 1090.635 5.835 1090.805 ;
RECT 1493.765 1090.635 1493.935 1090.805 ;
RECT 5.665 1085.195 5.835 1085.365 ;
RECT 1493.765 1085.195 1493.935 1085.365 ;
RECT 5.665 1079.755 5.835 1079.925 ;
RECT 1493.765 1079.755 1493.935 1079.925 ;
RECT 5.665 1074.315 5.835 1074.485 ;
RECT 1493.765 1074.315 1493.935 1074.485 ;
RECT 5.665 1068.875 5.835 1069.045 ;
RECT 1493.765 1068.875 1493.935 1069.045 ;
RECT 5.665 1063.435 5.835 1063.605 ;
RECT 1493.765 1063.435 1493.935 1063.605 ;
RECT 5.665 1057.995 5.835 1058.165 ;
RECT 1493.765 1057.995 1493.935 1058.165 ;
RECT 5.665 1052.555 5.835 1052.725 ;
RECT 1493.765 1052.555 1493.935 1052.725 ;
RECT 5.665 1047.115 5.835 1047.285 ;
RECT 1493.765 1047.115 1493.935 1047.285 ;
RECT 5.665 1041.675 5.835 1041.845 ;
RECT 1493.765 1041.675 1493.935 1041.845 ;
RECT 5.665 1036.235 5.835 1036.405 ;
RECT 1493.765 1036.235 1493.935 1036.405 ;
RECT 5.665 1030.795 5.835 1030.965 ;
RECT 1493.765 1030.795 1493.935 1030.965 ;
RECT 5.665 1025.355 5.835 1025.525 ;
RECT 1493.765 1025.355 1493.935 1025.525 ;
RECT 5.665 1019.915 5.835 1020.085 ;
RECT 1493.765 1019.915 1493.935 1020.085 ;
RECT 5.665 1014.475 5.835 1014.645 ;
RECT 1493.765 1014.475 1493.935 1014.645 ;
RECT 5.665 1009.035 5.835 1009.205 ;
RECT 1493.765 1009.035 1493.935 1009.205 ;
RECT 5.665 1003.595 5.835 1003.765 ;
RECT 1493.765 1003.595 1493.935 1003.765 ;
RECT 5.665 998.155 5.835 998.325 ;
RECT 1493.765 998.155 1493.935 998.325 ;
RECT 5.665 992.715 5.835 992.885 ;
RECT 1493.765 992.715 1493.935 992.885 ;
RECT 5.665 987.275 5.835 987.445 ;
RECT 1493.765 987.275 1493.935 987.445 ;
RECT 5.665 981.835 5.835 982.005 ;
RECT 1493.765 981.835 1493.935 982.005 ;
RECT 5.665 976.395 5.835 976.565 ;
RECT 1493.765 976.395 1493.935 976.565 ;
RECT 5.665 970.955 5.835 971.125 ;
RECT 1493.765 970.955 1493.935 971.125 ;
RECT 5.665 965.515 5.835 965.685 ;
RECT 1493.765 965.515 1493.935 965.685 ;
RECT 5.665 960.075 5.835 960.245 ;
RECT 1493.765 960.075 1493.935 960.245 ;
RECT 5.665 954.635 5.835 954.805 ;
RECT 1493.765 954.635 1493.935 954.805 ;
RECT 5.665 949.195 5.835 949.365 ;
RECT 1493.765 949.195 1493.935 949.365 ;
RECT 5.665 943.755 5.835 943.925 ;
RECT 1493.765 943.755 1493.935 943.925 ;
RECT 5.665 938.315 5.835 938.485 ;
RECT 1493.765 938.315 1493.935 938.485 ;
RECT 5.665 932.875 5.835 933.045 ;
RECT 1493.765 932.875 1493.935 933.045 ;
RECT 5.665 927.435 5.835 927.605 ;
RECT 1493.765 927.435 1493.935 927.605 ;
RECT 5.665 921.995 5.835 922.165 ;
RECT 1493.765 921.995 1493.935 922.165 ;
RECT 5.665 916.555 5.835 916.725 ;
RECT 1493.765 916.555 1493.935 916.725 ;
RECT 5.665 911.115 5.835 911.285 ;
RECT 1493.765 911.115 1493.935 911.285 ;
RECT 5.665 905.675 5.835 905.845 ;
RECT 1493.765 905.675 1493.935 905.845 ;
RECT 5.665 900.235 5.835 900.405 ;
RECT 1493.765 900.235 1493.935 900.405 ;
RECT 5.665 894.795 5.835 894.965 ;
RECT 1493.765 894.795 1493.935 894.965 ;
RECT 5.665 889.355 5.835 889.525 ;
RECT 1493.765 889.355 1493.935 889.525 ;
RECT 5.665 883.915 5.835 884.085 ;
RECT 1493.765 883.915 1493.935 884.085 ;
RECT 5.665 878.475 5.835 878.645 ;
RECT 1493.765 878.475 1493.935 878.645 ;
RECT 5.665 873.035 5.835 873.205 ;
RECT 1493.765 873.035 1493.935 873.205 ;
RECT 5.665 867.595 5.835 867.765 ;
RECT 1493.765 867.595 1493.935 867.765 ;
RECT 5.665 862.155 5.835 862.325 ;
RECT 1493.765 862.155 1493.935 862.325 ;
RECT 5.665 856.715 5.835 856.885 ;
RECT 1493.765 856.715 1493.935 856.885 ;
RECT 5.665 851.275 5.835 851.445 ;
RECT 1493.765 851.275 1493.935 851.445 ;
RECT 5.665 845.835 5.835 846.005 ;
RECT 1493.765 845.835 1493.935 846.005 ;
RECT 5.665 840.395 5.835 840.565 ;
RECT 1493.765 840.395 1493.935 840.565 ;
RECT 5.665 834.955 5.835 835.125 ;
RECT 1493.765 834.955 1493.935 835.125 ;
RECT 5.665 829.515 5.835 829.685 ;
RECT 1493.765 829.515 1493.935 829.685 ;
RECT 5.665 824.075 5.835 824.245 ;
RECT 1493.765 824.075 1493.935 824.245 ;
RECT 5.665 818.635 5.835 818.805 ;
RECT 1493.765 818.635 1493.935 818.805 ;
RECT 5.665 813.195 5.835 813.365 ;
RECT 1493.765 813.195 1493.935 813.365 ;
RECT 5.665 807.755 5.835 807.925 ;
RECT 1493.765 807.755 1493.935 807.925 ;
RECT 5.665 802.315 5.835 802.485 ;
RECT 1493.765 802.315 1493.935 802.485 ;
RECT 5.665 796.875 5.835 797.045 ;
RECT 1493.765 796.875 1493.935 797.045 ;
RECT 5.665 791.435 5.835 791.605 ;
RECT 1493.765 791.435 1493.935 791.605 ;
RECT 5.665 785.995 5.835 786.165 ;
RECT 1493.765 785.995 1493.935 786.165 ;
RECT 5.665 780.555 5.835 780.725 ;
RECT 1493.765 780.555 1493.935 780.725 ;
RECT 5.665 775.115 5.835 775.285 ;
RECT 1493.765 775.115 1493.935 775.285 ;
RECT 5.665 769.675 5.835 769.845 ;
RECT 1493.765 769.675 1493.935 769.845 ;
RECT 5.665 764.235 5.835 764.405 ;
RECT 1493.765 764.235 1493.935 764.405 ;
RECT 5.665 758.795 5.835 758.965 ;
RECT 1493.765 758.795 1493.935 758.965 ;
RECT 5.665 753.355 5.835 753.525 ;
RECT 1493.765 753.355 1493.935 753.525 ;
RECT 5.665 747.915 5.835 748.085 ;
RECT 1493.765 747.915 1493.935 748.085 ;
RECT 5.665 742.475 5.835 742.645 ;
RECT 1493.765 742.475 1493.935 742.645 ;
RECT 5.665 737.035 5.835 737.205 ;
RECT 1493.765 737.035 1493.935 737.205 ;
RECT 5.665 731.595 5.835 731.765 ;
RECT 1493.765 731.595 1493.935 731.765 ;
RECT 5.665 726.155 5.835 726.325 ;
RECT 1493.765 726.155 1493.935 726.325 ;
RECT 5.665 720.715 5.835 720.885 ;
RECT 1493.765 720.715 1493.935 720.885 ;
RECT 5.665 715.275 5.835 715.445 ;
RECT 1493.765 715.275 1493.935 715.445 ;
RECT 5.665 709.835 5.835 710.005 ;
RECT 1493.765 709.835 1493.935 710.005 ;
RECT 5.665 704.395 5.835 704.565 ;
RECT 1493.765 704.395 1493.935 704.565 ;
RECT 5.665 698.955 5.835 699.125 ;
RECT 1493.765 698.955 1493.935 699.125 ;
RECT 5.665 693.515 5.835 693.685 ;
RECT 1493.765 693.515 1493.935 693.685 ;
RECT 5.665 688.075 5.835 688.245 ;
RECT 1493.765 688.075 1493.935 688.245 ;
RECT 5.665 682.635 5.835 682.805 ;
RECT 1493.765 682.635 1493.935 682.805 ;
RECT 5.665 677.195 5.835 677.365 ;
RECT 1493.765 677.195 1493.935 677.365 ;
RECT 5.665 671.755 5.835 671.925 ;
RECT 1493.765 671.755 1493.935 671.925 ;
RECT 5.665 666.315 5.835 666.485 ;
RECT 1493.765 666.315 1493.935 666.485 ;
RECT 5.665 660.875 5.835 661.045 ;
RECT 1493.765 660.875 1493.935 661.045 ;
RECT 5.665 655.435 5.835 655.605 ;
RECT 1493.765 655.435 1493.935 655.605 ;
RECT 5.665 649.995 5.835 650.165 ;
RECT 1493.765 649.995 1493.935 650.165 ;
RECT 5.665 644.555 5.835 644.725 ;
RECT 1493.765 644.555 1493.935 644.725 ;
RECT 5.665 639.115 5.835 639.285 ;
RECT 1493.765 639.115 1493.935 639.285 ;
RECT 5.665 633.675 5.835 633.845 ;
RECT 1493.765 633.675 1493.935 633.845 ;
RECT 5.665 628.235 5.835 628.405 ;
RECT 1493.765 628.235 1493.935 628.405 ;
RECT 5.665 622.795 5.835 622.965 ;
RECT 1493.765 622.795 1493.935 622.965 ;
RECT 5.665 617.355 5.835 617.525 ;
RECT 1493.765 617.355 1493.935 617.525 ;
RECT 5.665 611.915 5.835 612.085 ;
RECT 1493.765 611.915 1493.935 612.085 ;
RECT 5.665 606.475 5.835 606.645 ;
RECT 1493.765 606.475 1493.935 606.645 ;
RECT 5.665 601.035 5.835 601.205 ;
RECT 1493.765 601.035 1493.935 601.205 ;
RECT 5.665 595.595 5.835 595.765 ;
RECT 1493.765 595.595 1493.935 595.765 ;
RECT 5.665 590.155 5.835 590.325 ;
RECT 1493.765 590.155 1493.935 590.325 ;
RECT 5.665 584.715 5.835 584.885 ;
RECT 1493.765 584.715 1493.935 584.885 ;
RECT 5.665 579.275 5.835 579.445 ;
RECT 1493.765 579.275 1493.935 579.445 ;
RECT 5.665 573.835 5.835 574.005 ;
RECT 1493.765 573.835 1493.935 574.005 ;
RECT 5.665 568.395 5.835 568.565 ;
RECT 1493.765 568.395 1493.935 568.565 ;
RECT 5.665 562.955 5.835 563.125 ;
RECT 1493.765 562.955 1493.935 563.125 ;
RECT 5.665 557.515 5.835 557.685 ;
RECT 1493.765 557.515 1493.935 557.685 ;
RECT 5.665 552.075 5.835 552.245 ;
RECT 1493.765 552.075 1493.935 552.245 ;
RECT 5.665 546.635 5.835 546.805 ;
RECT 1493.765 546.635 1493.935 546.805 ;
RECT 5.665 541.195 5.835 541.365 ;
RECT 1493.765 541.195 1493.935 541.365 ;
RECT 5.665 535.755 5.835 535.925 ;
RECT 1493.765 535.755 1493.935 535.925 ;
RECT 5.665 530.315 5.835 530.485 ;
RECT 1493.765 530.315 1493.935 530.485 ;
RECT 5.665 524.875 5.835 525.045 ;
RECT 1493.765 524.875 1493.935 525.045 ;
RECT 5.665 519.435 5.835 519.605 ;
RECT 1493.765 519.435 1493.935 519.605 ;
RECT 5.665 513.995 5.835 514.165 ;
RECT 1493.765 513.995 1493.935 514.165 ;
RECT 5.665 508.555 5.835 508.725 ;
RECT 1493.765 508.555 1493.935 508.725 ;
RECT 5.665 503.115 5.835 503.285 ;
RECT 1493.765 503.115 1493.935 503.285 ;
RECT 5.665 497.675 5.835 497.845 ;
RECT 1493.765 497.675 1493.935 497.845 ;
RECT 5.665 492.235 5.835 492.405 ;
RECT 1493.765 492.235 1493.935 492.405 ;
RECT 5.665 486.795 5.835 486.965 ;
RECT 1493.765 486.795 1493.935 486.965 ;
RECT 5.665 481.355 5.835 481.525 ;
RECT 1493.765 481.355 1493.935 481.525 ;
RECT 5.665 475.915 5.835 476.085 ;
RECT 1493.765 475.915 1493.935 476.085 ;
RECT 5.665 470.475 5.835 470.645 ;
RECT 1493.765 470.475 1493.935 470.645 ;
RECT 5.665 465.035 5.835 465.205 ;
RECT 1493.765 465.035 1493.935 465.205 ;
RECT 5.665 459.595 5.835 459.765 ;
RECT 1493.765 459.595 1493.935 459.765 ;
RECT 5.665 454.155 5.835 454.325 ;
RECT 1493.765 454.155 1493.935 454.325 ;
RECT 5.665 448.715 5.835 448.885 ;
RECT 1493.765 448.715 1493.935 448.885 ;
RECT 5.665 443.275 5.835 443.445 ;
RECT 1493.765 443.275 1493.935 443.445 ;
RECT 5.665 437.835 5.835 438.005 ;
RECT 1493.765 437.835 1493.935 438.005 ;
RECT 5.665 432.395 5.835 432.565 ;
RECT 1493.765 432.395 1493.935 432.565 ;
RECT 5.665 426.955 5.835 427.125 ;
RECT 1493.765 426.955 1493.935 427.125 ;
RECT 5.665 421.515 5.835 421.685 ;
RECT 1493.765 421.515 1493.935 421.685 ;
RECT 5.665 416.075 5.835 416.245 ;
RECT 1493.765 416.075 1493.935 416.245 ;
RECT 5.665 410.635 5.835 410.805 ;
RECT 1493.765 410.635 1493.935 410.805 ;
RECT 5.665 405.195 5.835 405.365 ;
RECT 1493.765 405.195 1493.935 405.365 ;
RECT 5.665 399.755 5.835 399.925 ;
RECT 1493.765 399.755 1493.935 399.925 ;
RECT 5.665 394.315 5.835 394.485 ;
RECT 1493.765 394.315 1493.935 394.485 ;
RECT 5.665 388.875 5.835 389.045 ;
RECT 1493.765 388.875 1493.935 389.045 ;
RECT 5.665 383.435 5.835 383.605 ;
RECT 1493.765 383.435 1493.935 383.605 ;
RECT 5.665 377.995 5.835 378.165 ;
RECT 1493.765 377.995 1493.935 378.165 ;
RECT 5.665 372.555 5.835 372.725 ;
RECT 1493.765 372.555 1493.935 372.725 ;
RECT 5.665 367.115 5.835 367.285 ;
RECT 1493.765 367.115 1493.935 367.285 ;
RECT 5.665 361.675 5.835 361.845 ;
RECT 1493.765 361.675 1493.935 361.845 ;
RECT 5.665 356.235 5.835 356.405 ;
RECT 1493.765 356.235 1493.935 356.405 ;
RECT 5.665 350.795 5.835 350.965 ;
RECT 1493.765 350.795 1493.935 350.965 ;
RECT 5.665 345.355 5.835 345.525 ;
RECT 1493.765 345.355 1493.935 345.525 ;
RECT 5.665 339.915 5.835 340.085 ;
RECT 1493.765 339.915 1493.935 340.085 ;
RECT 5.665 334.475 5.835 334.645 ;
RECT 1493.765 334.475 1493.935 334.645 ;
RECT 5.665 329.035 5.835 329.205 ;
RECT 1493.765 329.035 1493.935 329.205 ;
RECT 5.665 323.595 5.835 323.765 ;
RECT 1493.765 323.595 1493.935 323.765 ;
RECT 5.665 318.155 5.835 318.325 ;
RECT 1493.765 318.155 1493.935 318.325 ;
RECT 5.665 312.715 5.835 312.885 ;
RECT 1493.765 312.715 1493.935 312.885 ;
RECT 5.665 307.275 5.835 307.445 ;
RECT 1493.765 307.275 1493.935 307.445 ;
RECT 5.665 301.835 5.835 302.005 ;
RECT 1493.765 301.835 1493.935 302.005 ;
RECT 5.665 296.395 5.835 296.565 ;
RECT 1493.765 296.395 1493.935 296.565 ;
RECT 5.665 290.955 5.835 291.125 ;
RECT 1493.765 290.955 1493.935 291.125 ;
RECT 5.665 285.515 5.835 285.685 ;
RECT 1493.765 285.515 1493.935 285.685 ;
RECT 5.665 280.075 5.835 280.245 ;
RECT 1493.765 280.075 1493.935 280.245 ;
RECT 5.665 274.635 5.835 274.805 ;
RECT 1493.765 274.635 1493.935 274.805 ;
RECT 5.665 269.195 5.835 269.365 ;
RECT 1493.765 269.195 1493.935 269.365 ;
RECT 5.665 263.755 5.835 263.925 ;
RECT 1493.765 263.755 1493.935 263.925 ;
RECT 5.665 258.315 5.835 258.485 ;
RECT 1493.765 258.315 1493.935 258.485 ;
RECT 5.665 252.875 5.835 253.045 ;
RECT 1493.765 252.875 1493.935 253.045 ;
RECT 5.665 247.435 5.835 247.605 ;
RECT 1493.765 247.435 1493.935 247.605 ;
RECT 5.665 241.995 5.835 242.165 ;
RECT 1493.765 241.995 1493.935 242.165 ;
RECT 5.665 236.555 5.835 236.725 ;
RECT 1493.765 236.555 1493.935 236.725 ;
RECT 5.665 231.115 5.835 231.285 ;
RECT 1493.765 231.115 1493.935 231.285 ;
RECT 5.665 225.675 5.835 225.845 ;
RECT 1493.765 225.675 1493.935 225.845 ;
RECT 5.665 220.235 5.835 220.405 ;
RECT 1493.765 220.235 1493.935 220.405 ;
RECT 5.665 214.795 5.835 214.965 ;
RECT 1493.765 214.795 1493.935 214.965 ;
RECT 5.665 209.355 5.835 209.525 ;
RECT 1493.765 209.355 1493.935 209.525 ;
RECT 5.665 203.915 5.835 204.085 ;
RECT 1493.765 203.915 1493.935 204.085 ;
RECT 5.665 198.475 5.835 198.645 ;
RECT 1493.765 198.475 1493.935 198.645 ;
RECT 5.665 193.035 5.835 193.205 ;
RECT 1493.765 193.035 1493.935 193.205 ;
RECT 5.665 187.595 5.835 187.765 ;
RECT 1493.765 187.595 1493.935 187.765 ;
RECT 5.665 182.155 5.835 182.325 ;
RECT 1493.765 182.155 1493.935 182.325 ;
RECT 5.665 176.715 5.835 176.885 ;
RECT 1493.765 176.715 1493.935 176.885 ;
RECT 5.665 171.275 5.835 171.445 ;
RECT 1493.765 171.275 1493.935 171.445 ;
RECT 5.665 165.835 5.835 166.005 ;
RECT 1493.765 165.835 1493.935 166.005 ;
RECT 5.665 160.395 5.835 160.565 ;
RECT 1493.765 160.395 1493.935 160.565 ;
RECT 5.665 154.955 5.835 155.125 ;
RECT 1493.765 154.955 1493.935 155.125 ;
RECT 5.665 149.515 5.835 149.685 ;
RECT 1493.765 149.515 1493.935 149.685 ;
RECT 5.665 144.075 5.835 144.245 ;
RECT 1493.765 144.075 1493.935 144.245 ;
RECT 5.665 138.635 5.835 138.805 ;
RECT 1493.765 138.635 1493.935 138.805 ;
RECT 5.665 133.195 5.835 133.365 ;
RECT 1493.765 133.195 1493.935 133.365 ;
RECT 5.665 127.755 5.835 127.925 ;
RECT 1493.765 127.755 1493.935 127.925 ;
RECT 5.665 122.315 5.835 122.485 ;
RECT 1493.765 122.315 1493.935 122.485 ;
RECT 5.665 116.875 5.835 117.045 ;
RECT 1493.765 116.875 1493.935 117.045 ;
RECT 5.665 111.435 5.835 111.605 ;
RECT 1493.765 111.435 1493.935 111.605 ;
RECT 5.665 105.995 5.835 106.165 ;
RECT 1493.765 105.995 1493.935 106.165 ;
RECT 5.665 100.555 5.835 100.725 ;
RECT 1493.765 100.555 1493.935 100.725 ;
RECT 5.665 95.115 5.835 95.285 ;
RECT 1493.765 95.115 1493.935 95.285 ;
RECT 5.665 89.675 5.835 89.845 ;
RECT 1493.765 89.675 1493.935 89.845 ;
RECT 5.665 84.235 5.835 84.405 ;
RECT 1493.765 84.235 1493.935 84.405 ;
RECT 5.665 78.795 5.835 78.965 ;
RECT 1493.765 78.795 1493.935 78.965 ;
RECT 5.665 73.355 5.835 73.525 ;
RECT 1493.765 73.355 1493.935 73.525 ;
RECT 5.665 67.915 5.835 68.085 ;
RECT 1493.765 67.915 1493.935 68.085 ;
RECT 5.665 62.475 5.835 62.645 ;
RECT 1493.765 62.475 1493.935 62.645 ;
RECT 5.665 57.035 5.835 57.205 ;
RECT 1493.765 57.035 1493.935 57.205 ;
RECT 5.665 51.595 5.835 51.765 ;
RECT 1493.765 51.595 1493.935 51.765 ;
RECT 5.665 46.155 5.835 46.325 ;
RECT 1493.765 46.155 1493.935 46.325 ;
RECT 5.665 40.715 5.835 40.885 ;
RECT 1493.765 40.715 1493.935 40.885 ;
RECT 5.665 35.275 5.835 35.445 ;
RECT 1493.765 35.275 1493.935 35.445 ;
RECT 5.665 29.835 5.835 30.005 ;
RECT 1493.765 29.835 1493.935 30.005 ;
RECT 5.665 24.395 5.835 24.565 ;
RECT 1493.765 24.395 1493.935 24.565 ;
RECT 5.665 18.955 5.835 19.125 ;
RECT 1493.765 18.955 1493.935 19.125 ;
RECT 5.665 13.515 5.835 13.685 ;
RECT 1493.765 13.515 1493.935 13.685 ;
LAYER li1 ;
RECT 6.700 10.795 1493.300 1487.925 ;
LAYER met1 ;
RECT 6.700 10.640 1493.300 1488.080 ;
LAYER met2 ;
RECT 7.460 6.700 1489.380 1493.300 ;
LAYER met3 ;
RECT 24.240 10.715 1485.040 1488.005 ;
LAYER met4 ;
RECT 6.700 6.700 1493.300 1493.300 ;
LAYER met5 ;
RECT 6.700 6.700 1493.300 1493.300 ;
END
END user_proj_example
END LIBRARY