blob: a7b0c549aa80f31f0c6c39e2eb26277e0e6a6a99 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN caravel ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 3588000 5188000 ) ;
ROW ROW_0 unithv 5760 16280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1 unithv 5760 20350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_2 unithv 5760 24420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_3 unithv 5760 28490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_4 unithv 5760 32560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_5 unithv 5760 36630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_6 unithv 5760 40700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_7 unithv 5760 44770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_8 unithv 5760 48840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_9 unithv 5760 52910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_10 unithv 5760 56980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_11 unithv 5760 61050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_12 unithv 5760 65120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_13 unithv 5760 69190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_14 unithv 5760 73260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_15 unithv 5760 77330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_16 unithv 5760 81400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_17 unithv 5760 85470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_18 unithv 5760 89540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_19 unithv 5760 93610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_20 unithv 5760 97680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_21 unithv 5760 101750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_22 unithv 5760 105820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_23 unithv 5760 109890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_24 unithv 5760 113960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_25 unithv 5760 118030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_26 unithv 5760 122100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_27 unithv 5760 126170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_28 unithv 5760 130240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_29 unithv 5760 134310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_30 unithv 5760 138380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_31 unithv 5760 142450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_32 unithv 5760 146520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_33 unithv 5760 150590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_34 unithv 5760 154660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_35 unithv 5760 158730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_36 unithv 5760 162800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_37 unithv 5760 166870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_38 unithv 5760 170940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_39 unithv 5760 175010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_40 unithv 5760 179080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_41 unithv 5760 183150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_42 unithv 5760 187220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_43 unithv 5760 191290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_44 unithv 5760 195360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_45 unithv 5760 199430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_46 unithv 5760 203500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_47 unithv 5760 207570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_48 unithv 5760 211640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_49 unithv 5760 215710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_50 unithv 5760 219780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_51 unithv 5760 223850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_52 unithv 5760 227920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_53 unithv 5760 231990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_54 unithv 5760 236060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_55 unithv 5760 240130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_56 unithv 5760 244200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_57 unithv 5760 248270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_58 unithv 5760 252340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_59 unithv 5760 256410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_60 unithv 5760 260480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_61 unithv 5760 264550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_62 unithv 5760 268620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_63 unithv 5760 272690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_64 unithv 5760 276760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_65 unithv 5760 280830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_66 unithv 5760 284900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_67 unithv 5760 288970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_68 unithv 5760 293040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_69 unithv 5760 297110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_70 unithv 5760 301180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_71 unithv 5760 305250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_72 unithv 5760 309320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_73 unithv 5760 313390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_74 unithv 5760 317460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_75 unithv 5760 321530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_76 unithv 5760 325600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_77 unithv 5760 329670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_78 unithv 5760 333740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_79 unithv 5760 337810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_80 unithv 5760 341880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_81 unithv 5760 345950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_82 unithv 5760 350020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_83 unithv 5760 354090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_84 unithv 5760 358160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_85 unithv 5760 362230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_86 unithv 5760 366300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_87 unithv 5760 370370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_88 unithv 5760 374440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_89 unithv 5760 378510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_90 unithv 5760 382580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_91 unithv 5760 386650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_92 unithv 5760 390720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_93 unithv 5760 394790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_94 unithv 5760 398860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_95 unithv 5760 402930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_96 unithv 5760 407000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_97 unithv 5760 411070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_98 unithv 5760 415140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_99 unithv 5760 419210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_100 unithv 5760 423280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_101 unithv 5760 427350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_102 unithv 5760 431420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_103 unithv 5760 435490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_104 unithv 5760 439560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_105 unithv 5760 443630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_106 unithv 5760 447700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_107 unithv 5760 451770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_108 unithv 5760 455840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_109 unithv 5760 459910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_110 unithv 5760 463980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_111 unithv 5760 468050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_112 unithv 5760 472120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_113 unithv 5760 476190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_114 unithv 5760 480260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_115 unithv 5760 484330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_116 unithv 5760 488400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_117 unithv 5760 492470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_118 unithv 5760 496540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_119 unithv 5760 500610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_120 unithv 5760 504680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_121 unithv 5760 508750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_122 unithv 5760 512820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_123 unithv 5760 516890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_124 unithv 5760 520960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_125 unithv 5760 525030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_126 unithv 5760 529100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_127 unithv 5760 533170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_128 unithv 5760 537240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_129 unithv 5760 541310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_130 unithv 5760 545380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_131 unithv 5760 549450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_132 unithv 5760 553520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_133 unithv 5760 557590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_134 unithv 5760 561660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_135 unithv 5760 565730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_136 unithv 5760 569800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_137 unithv 5760 573870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_138 unithv 5760 577940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_139 unithv 5760 582010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_140 unithv 5760 586080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_141 unithv 5760 590150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_142 unithv 5760 594220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_143 unithv 5760 598290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_144 unithv 5760 602360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_145 unithv 5760 606430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_146 unithv 5760 610500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_147 unithv 5760 614570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_148 unithv 5760 618640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_149 unithv 5760 622710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_150 unithv 5760 626780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_151 unithv 5760 630850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_152 unithv 5760 634920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_153 unithv 5760 638990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_154 unithv 5760 643060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_155 unithv 5760 647130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_156 unithv 5760 651200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_157 unithv 5760 655270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_158 unithv 5760 659340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_159 unithv 5760 663410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_160 unithv 5760 667480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_161 unithv 5760 671550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_162 unithv 5760 675620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_163 unithv 5760 679690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_164 unithv 5760 683760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_165 unithv 5760 687830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_166 unithv 5760 691900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_167 unithv 5760 695970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_168 unithv 5760 700040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_169 unithv 5760 704110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_170 unithv 5760 708180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_171 unithv 5760 712250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_172 unithv 5760 716320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_173 unithv 5760 720390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_174 unithv 5760 724460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_175 unithv 5760 728530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_176 unithv 5760 732600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_177 unithv 5760 736670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_178 unithv 5760 740740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_179 unithv 5760 744810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_180 unithv 5760 748880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_181 unithv 5760 752950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_182 unithv 5760 757020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_183 unithv 5760 761090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_184 unithv 5760 765160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_185 unithv 5760 769230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_186 unithv 5760 773300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_187 unithv 5760 777370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_188 unithv 5760 781440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_189 unithv 5760 785510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_190 unithv 5760 789580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_191 unithv 5760 793650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_192 unithv 5760 797720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_193 unithv 5760 801790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_194 unithv 5760 805860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_195 unithv 5760 809930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_196 unithv 5760 814000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_197 unithv 5760 818070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_198 unithv 5760 822140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_199 unithv 5760 826210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_200 unithv 5760 830280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_201 unithv 5760 834350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_202 unithv 5760 838420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_203 unithv 5760 842490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_204 unithv 5760 846560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_205 unithv 5760 850630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_206 unithv 5760 854700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_207 unithv 5760 858770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_208 unithv 5760 862840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_209 unithv 5760 866910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_210 unithv 5760 870980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_211 unithv 5760 875050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_212 unithv 5760 879120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_213 unithv 5760 883190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_214 unithv 5760 887260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_215 unithv 5760 891330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_216 unithv 5760 895400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_217 unithv 5760 899470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_218 unithv 5760 903540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_219 unithv 5760 907610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_220 unithv 5760 911680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_221 unithv 5760 915750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_222 unithv 5760 919820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_223 unithv 5760 923890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_224 unithv 5760 927960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_225 unithv 5760 932030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_226 unithv 5760 936100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_227 unithv 5760 940170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_228 unithv 5760 944240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_229 unithv 5760 948310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_230 unithv 5760 952380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_231 unithv 5760 956450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_232 unithv 5760 960520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_233 unithv 5760 964590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_234 unithv 5760 968660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_235 unithv 5760 972730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_236 unithv 5760 976800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_237 unithv 5760 980870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_238 unithv 5760 984940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_239 unithv 5760 989010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_240 unithv 5760 993080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_241 unithv 5760 997150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_242 unithv 5760 1001220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_243 unithv 5760 1005290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_244 unithv 5760 1009360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_245 unithv 5760 1013430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_246 unithv 5760 1017500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_247 unithv 5760 1021570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_248 unithv 5760 1025640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_249 unithv 5760 1029710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_250 unithv 5760 1033780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_251 unithv 5760 1037850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_252 unithv 5760 1041920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_253 unithv 5760 1045990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_254 unithv 5760 1050060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_255 unithv 5760 1054130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_256 unithv 5760 1058200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_257 unithv 5760 1062270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_258 unithv 5760 1066340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_259 unithv 5760 1070410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_260 unithv 5760 1074480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_261 unithv 5760 1078550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_262 unithv 5760 1082620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_263 unithv 5760 1086690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_264 unithv 5760 1090760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_265 unithv 5760 1094830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_266 unithv 5760 1098900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_267 unithv 5760 1102970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_268 unithv 5760 1107040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_269 unithv 5760 1111110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_270 unithv 5760 1115180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_271 unithv 5760 1119250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_272 unithv 5760 1123320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_273 unithv 5760 1127390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_274 unithv 5760 1131460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_275 unithv 5760 1135530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_276 unithv 5760 1139600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_277 unithv 5760 1143670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_278 unithv 5760 1147740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_279 unithv 5760 1151810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_280 unithv 5760 1155880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_281 unithv 5760 1159950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_282 unithv 5760 1164020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_283 unithv 5760 1168090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_284 unithv 5760 1172160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_285 unithv 5760 1176230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_286 unithv 5760 1180300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_287 unithv 5760 1184370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_288 unithv 5760 1188440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_289 unithv 5760 1192510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_290 unithv 5760 1196580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_291 unithv 5760 1200650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_292 unithv 5760 1204720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_293 unithv 5760 1208790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_294 unithv 5760 1212860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_295 unithv 5760 1216930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_296 unithv 5760 1221000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_297 unithv 5760 1225070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_298 unithv 5760 1229140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_299 unithv 5760 1233210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_300 unithv 5760 1237280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_301 unithv 5760 1241350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_302 unithv 5760 1245420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_303 unithv 5760 1249490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_304 unithv 5760 1253560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_305 unithv 5760 1257630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_306 unithv 5760 1261700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_307 unithv 5760 1265770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_308 unithv 5760 1269840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_309 unithv 5760 1273910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_310 unithv 5760 1277980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_311 unithv 5760 1282050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_312 unithv 5760 1286120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_313 unithv 5760 1290190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_314 unithv 5760 1294260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_315 unithv 5760 1298330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_316 unithv 5760 1302400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_317 unithv 5760 1306470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_318 unithv 5760 1310540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_319 unithv 5760 1314610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_320 unithv 5760 1318680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_321 unithv 5760 1322750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_322 unithv 5760 1326820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_323 unithv 5760 1330890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_324 unithv 5760 1334960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_325 unithv 5760 1339030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_326 unithv 5760 1343100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_327 unithv 5760 1347170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_328 unithv 5760 1351240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_329 unithv 5760 1355310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_330 unithv 5760 1359380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_331 unithv 5760 1363450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_332 unithv 5760 1367520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_333 unithv 5760 1371590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_334 unithv 5760 1375660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_335 unithv 5760 1379730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_336 unithv 5760 1383800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_337 unithv 5760 1387870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_338 unithv 5760 1391940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_339 unithv 5760 1396010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_340 unithv 5760 1400080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_341 unithv 5760 1404150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_342 unithv 5760 1408220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_343 unithv 5760 1412290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_344 unithv 5760 1416360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_345 unithv 5760 1420430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_346 unithv 5760 1424500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_347 unithv 5760 1428570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_348 unithv 5760 1432640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_349 unithv 5760 1436710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_350 unithv 5760 1440780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_351 unithv 5760 1444850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_352 unithv 5760 1448920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_353 unithv 5760 1452990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_354 unithv 5760 1457060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_355 unithv 5760 1461130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_356 unithv 5760 1465200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_357 unithv 5760 1469270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_358 unithv 5760 1473340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_359 unithv 5760 1477410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_360 unithv 5760 1481480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_361 unithv 5760 1485550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_362 unithv 5760 1489620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_363 unithv 5760 1493690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_364 unithv 5760 1497760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_365 unithv 5760 1501830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_366 unithv 5760 1505900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_367 unithv 5760 1509970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_368 unithv 5760 1514040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_369 unithv 5760 1518110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_370 unithv 5760 1522180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_371 unithv 5760 1526250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_372 unithv 5760 1530320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_373 unithv 5760 1534390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_374 unithv 5760 1538460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_375 unithv 5760 1542530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_376 unithv 5760 1546600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_377 unithv 5760 1550670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_378 unithv 5760 1554740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_379 unithv 5760 1558810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_380 unithv 5760 1562880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_381 unithv 5760 1566950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_382 unithv 5760 1571020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_383 unithv 5760 1575090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_384 unithv 5760 1579160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_385 unithv 5760 1583230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_386 unithv 5760 1587300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_387 unithv 5760 1591370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_388 unithv 5760 1595440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_389 unithv 5760 1599510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_390 unithv 5760 1603580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_391 unithv 5760 1607650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_392 unithv 5760 1611720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_393 unithv 5760 1615790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_394 unithv 5760 1619860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_395 unithv 5760 1623930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_396 unithv 5760 1628000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_397 unithv 5760 1632070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_398 unithv 5760 1636140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_399 unithv 5760 1640210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_400 unithv 5760 1644280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_401 unithv 5760 1648350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_402 unithv 5760 1652420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_403 unithv 5760 1656490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_404 unithv 5760 1660560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_405 unithv 5760 1664630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_406 unithv 5760 1668700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_407 unithv 5760 1672770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_408 unithv 5760 1676840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_409 unithv 5760 1680910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_410 unithv 5760 1684980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_411 unithv 5760 1689050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_412 unithv 5760 1693120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_413 unithv 5760 1697190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_414 unithv 5760 1701260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_415 unithv 5760 1705330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_416 unithv 5760 1709400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_417 unithv 5760 1713470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_418 unithv 5760 1717540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_419 unithv 5760 1721610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_420 unithv 5760 1725680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_421 unithv 5760 1729750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_422 unithv 5760 1733820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_423 unithv 5760 1737890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_424 unithv 5760 1741960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_425 unithv 5760 1746030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_426 unithv 5760 1750100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_427 unithv 5760 1754170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_428 unithv 5760 1758240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_429 unithv 5760 1762310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_430 unithv 5760 1766380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_431 unithv 5760 1770450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_432 unithv 5760 1774520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_433 unithv 5760 1778590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_434 unithv 5760 1782660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_435 unithv 5760 1786730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_436 unithv 5760 1790800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_437 unithv 5760 1794870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_438 unithv 5760 1798940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_439 unithv 5760 1803010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_440 unithv 5760 1807080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_441 unithv 5760 1811150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_442 unithv 5760 1815220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_443 unithv 5760 1819290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_444 unithv 5760 1823360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_445 unithv 5760 1827430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_446 unithv 5760 1831500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_447 unithv 5760 1835570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_448 unithv 5760 1839640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_449 unithv 5760 1843710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_450 unithv 5760 1847780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_451 unithv 5760 1851850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_452 unithv 5760 1855920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_453 unithv 5760 1859990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_454 unithv 5760 1864060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_455 unithv 5760 1868130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_456 unithv 5760 1872200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_457 unithv 5760 1876270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_458 unithv 5760 1880340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_459 unithv 5760 1884410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_460 unithv 5760 1888480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_461 unithv 5760 1892550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_462 unithv 5760 1896620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_463 unithv 5760 1900690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_464 unithv 5760 1904760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_465 unithv 5760 1908830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_466 unithv 5760 1912900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_467 unithv 5760 1916970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_468 unithv 5760 1921040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_469 unithv 5760 1925110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_470 unithv 5760 1929180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_471 unithv 5760 1933250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_472 unithv 5760 1937320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_473 unithv 5760 1941390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_474 unithv 5760 1945460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_475 unithv 5760 1949530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_476 unithv 5760 1953600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_477 unithv 5760 1957670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_478 unithv 5760 1961740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_479 unithv 5760 1965810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_480 unithv 5760 1969880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_481 unithv 5760 1973950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_482 unithv 5760 1978020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_483 unithv 5760 1982090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_484 unithv 5760 1986160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_485 unithv 5760 1990230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_486 unithv 5760 1994300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_487 unithv 5760 1998370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_488 unithv 5760 2002440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_489 unithv 5760 2006510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_490 unithv 5760 2010580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_491 unithv 5760 2014650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_492 unithv 5760 2018720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_493 unithv 5760 2022790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_494 unithv 5760 2026860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_495 unithv 5760 2030930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_496 unithv 5760 2035000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_497 unithv 5760 2039070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_498 unithv 5760 2043140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_499 unithv 5760 2047210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_500 unithv 5760 2051280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_501 unithv 5760 2055350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_502 unithv 5760 2059420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_503 unithv 5760 2063490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_504 unithv 5760 2067560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_505 unithv 5760 2071630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_506 unithv 5760 2075700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_507 unithv 5760 2079770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_508 unithv 5760 2083840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_509 unithv 5760 2087910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_510 unithv 5760 2091980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_511 unithv 5760 2096050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_512 unithv 5760 2100120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_513 unithv 5760 2104190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_514 unithv 5760 2108260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_515 unithv 5760 2112330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_516 unithv 5760 2116400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_517 unithv 5760 2120470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_518 unithv 5760 2124540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_519 unithv 5760 2128610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_520 unithv 5760 2132680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_521 unithv 5760 2136750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_522 unithv 5760 2140820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_523 unithv 5760 2144890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_524 unithv 5760 2148960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_525 unithv 5760 2153030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_526 unithv 5760 2157100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_527 unithv 5760 2161170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_528 unithv 5760 2165240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_529 unithv 5760 2169310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_530 unithv 5760 2173380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_531 unithv 5760 2177450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_532 unithv 5760 2181520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_533 unithv 5760 2185590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_534 unithv 5760 2189660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_535 unithv 5760 2193730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_536 unithv 5760 2197800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_537 unithv 5760 2201870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_538 unithv 5760 2205940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_539 unithv 5760 2210010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_540 unithv 5760 2214080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_541 unithv 5760 2218150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_542 unithv 5760 2222220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_543 unithv 5760 2226290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_544 unithv 5760 2230360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_545 unithv 5760 2234430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_546 unithv 5760 2238500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_547 unithv 5760 2242570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_548 unithv 5760 2246640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_549 unithv 5760 2250710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_550 unithv 5760 2254780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_551 unithv 5760 2258850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_552 unithv 5760 2262920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_553 unithv 5760 2266990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_554 unithv 5760 2271060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_555 unithv 5760 2275130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_556 unithv 5760 2279200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_557 unithv 5760 2283270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_558 unithv 5760 2287340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_559 unithv 5760 2291410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_560 unithv 5760 2295480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_561 unithv 5760 2299550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_562 unithv 5760 2303620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_563 unithv 5760 2307690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_564 unithv 5760 2311760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_565 unithv 5760 2315830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_566 unithv 5760 2319900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_567 unithv 5760 2323970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_568 unithv 5760 2328040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_569 unithv 5760 2332110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_570 unithv 5760 2336180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_571 unithv 5760 2340250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_572 unithv 5760 2344320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_573 unithv 5760 2348390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_574 unithv 5760 2352460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_575 unithv 5760 2356530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_576 unithv 5760 2360600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_577 unithv 5760 2364670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_578 unithv 5760 2368740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_579 unithv 5760 2372810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_580 unithv 5760 2376880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_581 unithv 5760 2380950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_582 unithv 5760 2385020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_583 unithv 5760 2389090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_584 unithv 5760 2393160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_585 unithv 5760 2397230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_586 unithv 5760 2401300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_587 unithv 5760 2405370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_588 unithv 5760 2409440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_589 unithv 5760 2413510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_590 unithv 5760 2417580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_591 unithv 5760 2421650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_592 unithv 5760 2425720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_593 unithv 5760 2429790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_594 unithv 5760 2433860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_595 unithv 5760 2437930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_596 unithv 5760 2442000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_597 unithv 5760 2446070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_598 unithv 5760 2450140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_599 unithv 5760 2454210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_600 unithv 5760 2458280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_601 unithv 5760 2462350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_602 unithv 5760 2466420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_603 unithv 5760 2470490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_604 unithv 5760 2474560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_605 unithv 5760 2478630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_606 unithv 5760 2482700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_607 unithv 5760 2486770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_608 unithv 5760 2490840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_609 unithv 5760 2494910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_610 unithv 5760 2498980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_611 unithv 5760 2503050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_612 unithv 5760 2507120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_613 unithv 5760 2511190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_614 unithv 5760 2515260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_615 unithv 5760 2519330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_616 unithv 5760 2523400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_617 unithv 5760 2527470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_618 unithv 5760 2531540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_619 unithv 5760 2535610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_620 unithv 5760 2539680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_621 unithv 5760 2543750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_622 unithv 5760 2547820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_623 unithv 5760 2551890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_624 unithv 5760 2555960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_625 unithv 5760 2560030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_626 unithv 5760 2564100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_627 unithv 5760 2568170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_628 unithv 5760 2572240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_629 unithv 5760 2576310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_630 unithv 5760 2580380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_631 unithv 5760 2584450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_632 unithv 5760 2588520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_633 unithv 5760 2592590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_634 unithv 5760 2596660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_635 unithv 5760 2600730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_636 unithv 5760 2604800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_637 unithv 5760 2608870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_638 unithv 5760 2612940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_639 unithv 5760 2617010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_640 unithv 5760 2621080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_641 unithv 5760 2625150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_642 unithv 5760 2629220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_643 unithv 5760 2633290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_644 unithv 5760 2637360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_645 unithv 5760 2641430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_646 unithv 5760 2645500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_647 unithv 5760 2649570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_648 unithv 5760 2653640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_649 unithv 5760 2657710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_650 unithv 5760 2661780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_651 unithv 5760 2665850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_652 unithv 5760 2669920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_653 unithv 5760 2673990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_654 unithv 5760 2678060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_655 unithv 5760 2682130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_656 unithv 5760 2686200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_657 unithv 5760 2690270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_658 unithv 5760 2694340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_659 unithv 5760 2698410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_660 unithv 5760 2702480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_661 unithv 5760 2706550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_662 unithv 5760 2710620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_663 unithv 5760 2714690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_664 unithv 5760 2718760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_665 unithv 5760 2722830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_666 unithv 5760 2726900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_667 unithv 5760 2730970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_668 unithv 5760 2735040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_669 unithv 5760 2739110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_670 unithv 5760 2743180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_671 unithv 5760 2747250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_672 unithv 5760 2751320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_673 unithv 5760 2755390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_674 unithv 5760 2759460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_675 unithv 5760 2763530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_676 unithv 5760 2767600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_677 unithv 5760 2771670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_678 unithv 5760 2775740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_679 unithv 5760 2779810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_680 unithv 5760 2783880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_681 unithv 5760 2787950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_682 unithv 5760 2792020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_683 unithv 5760 2796090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_684 unithv 5760 2800160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_685 unithv 5760 2804230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_686 unithv 5760 2808300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_687 unithv 5760 2812370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_688 unithv 5760 2816440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_689 unithv 5760 2820510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_690 unithv 5760 2824580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_691 unithv 5760 2828650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_692 unithv 5760 2832720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_693 unithv 5760 2836790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_694 unithv 5760 2840860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_695 unithv 5760 2844930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_696 unithv 5760 2849000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_697 unithv 5760 2853070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_698 unithv 5760 2857140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_699 unithv 5760 2861210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_700 unithv 5760 2865280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_701 unithv 5760 2869350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_702 unithv 5760 2873420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_703 unithv 5760 2877490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_704 unithv 5760 2881560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_705 unithv 5760 2885630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_706 unithv 5760 2889700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_707 unithv 5760 2893770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_708 unithv 5760 2897840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_709 unithv 5760 2901910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_710 unithv 5760 2905980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_711 unithv 5760 2910050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_712 unithv 5760 2914120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_713 unithv 5760 2918190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_714 unithv 5760 2922260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_715 unithv 5760 2926330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_716 unithv 5760 2930400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_717 unithv 5760 2934470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_718 unithv 5760 2938540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_719 unithv 5760 2942610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_720 unithv 5760 2946680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_721 unithv 5760 2950750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_722 unithv 5760 2954820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_723 unithv 5760 2958890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_724 unithv 5760 2962960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_725 unithv 5760 2967030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_726 unithv 5760 2971100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_727 unithv 5760 2975170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_728 unithv 5760 2979240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_729 unithv 5760 2983310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_730 unithv 5760 2987380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_731 unithv 5760 2991450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_732 unithv 5760 2995520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_733 unithv 5760 2999590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_734 unithv 5760 3003660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_735 unithv 5760 3007730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_736 unithv 5760 3011800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_737 unithv 5760 3015870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_738 unithv 5760 3019940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_739 unithv 5760 3024010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_740 unithv 5760 3028080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_741 unithv 5760 3032150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_742 unithv 5760 3036220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_743 unithv 5760 3040290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_744 unithv 5760 3044360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_745 unithv 5760 3048430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_746 unithv 5760 3052500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_747 unithv 5760 3056570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_748 unithv 5760 3060640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_749 unithv 5760 3064710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_750 unithv 5760 3068780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_751 unithv 5760 3072850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_752 unithv 5760 3076920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_753 unithv 5760 3080990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_754 unithv 5760 3085060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_755 unithv 5760 3089130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_756 unithv 5760 3093200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_757 unithv 5760 3097270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_758 unithv 5760 3101340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_759 unithv 5760 3105410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_760 unithv 5760 3109480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_761 unithv 5760 3113550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_762 unithv 5760 3117620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_763 unithv 5760 3121690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_764 unithv 5760 3125760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_765 unithv 5760 3129830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_766 unithv 5760 3133900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_767 unithv 5760 3137970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_768 unithv 5760 3142040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_769 unithv 5760 3146110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_770 unithv 5760 3150180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_771 unithv 5760 3154250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_772 unithv 5760 3158320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_773 unithv 5760 3162390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_774 unithv 5760 3166460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_775 unithv 5760 3170530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_776 unithv 5760 3174600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_777 unithv 5760 3178670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_778 unithv 5760 3182740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_779 unithv 5760 3186810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_780 unithv 5760 3190880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_781 unithv 5760 3194950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_782 unithv 5760 3199020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_783 unithv 5760 3203090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_784 unithv 5760 3207160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_785 unithv 5760 3211230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_786 unithv 5760 3215300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_787 unithv 5760 3219370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_788 unithv 5760 3223440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_789 unithv 5760 3227510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_790 unithv 5760 3231580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_791 unithv 5760 3235650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_792 unithv 5760 3239720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_793 unithv 5760 3243790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_794 unithv 5760 3247860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_795 unithv 5760 3251930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_796 unithv 5760 3256000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_797 unithv 5760 3260070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_798 unithv 5760 3264140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_799 unithv 5760 3268210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_800 unithv 5760 3272280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_801 unithv 5760 3276350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_802 unithv 5760 3280420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_803 unithv 5760 3284490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_804 unithv 5760 3288560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_805 unithv 5760 3292630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_806 unithv 5760 3296700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_807 unithv 5760 3300770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_808 unithv 5760 3304840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_809 unithv 5760 3308910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_810 unithv 5760 3312980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_811 unithv 5760 3317050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_812 unithv 5760 3321120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_813 unithv 5760 3325190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_814 unithv 5760 3329260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_815 unithv 5760 3333330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_816 unithv 5760 3337400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_817 unithv 5760 3341470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_818 unithv 5760 3345540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_819 unithv 5760 3349610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_820 unithv 5760 3353680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_821 unithv 5760 3357750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_822 unithv 5760 3361820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_823 unithv 5760 3365890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_824 unithv 5760 3369960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_825 unithv 5760 3374030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_826 unithv 5760 3378100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_827 unithv 5760 3382170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_828 unithv 5760 3386240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_829 unithv 5760 3390310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_830 unithv 5760 3394380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_831 unithv 5760 3398450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_832 unithv 5760 3402520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_833 unithv 5760 3406590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_834 unithv 5760 3410660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_835 unithv 5760 3414730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_836 unithv 5760 3418800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_837 unithv 5760 3422870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_838 unithv 5760 3426940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_839 unithv 5760 3431010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_840 unithv 5760 3435080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_841 unithv 5760 3439150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_842 unithv 5760 3443220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_843 unithv 5760 3447290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_844 unithv 5760 3451360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_845 unithv 5760 3455430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_846 unithv 5760 3459500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_847 unithv 5760 3463570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_848 unithv 5760 3467640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_849 unithv 5760 3471710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_850 unithv 5760 3475780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_851 unithv 5760 3479850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_852 unithv 5760 3483920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_853 unithv 5760 3487990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_854 unithv 5760 3492060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_855 unithv 5760 3496130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_856 unithv 5760 3500200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_857 unithv 5760 3504270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_858 unithv 5760 3508340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_859 unithv 5760 3512410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_860 unithv 5760 3516480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_861 unithv 5760 3520550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_862 unithv 5760 3524620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_863 unithv 5760 3528690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_864 unithv 5760 3532760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_865 unithv 5760 3536830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_866 unithv 5760 3540900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_867 unithv 5760 3544970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_868 unithv 5760 3549040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_869 unithv 5760 3553110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_870 unithv 5760 3557180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_871 unithv 5760 3561250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_872 unithv 5760 3565320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_873 unithv 5760 3569390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_874 unithv 5760 3573460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_875 unithv 5760 3577530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_876 unithv 5760 3581600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_877 unithv 5760 3585670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_878 unithv 5760 3589740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_879 unithv 5760 3593810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_880 unithv 5760 3597880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_881 unithv 5760 3601950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_882 unithv 5760 3606020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_883 unithv 5760 3610090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_884 unithv 5760 3614160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_885 unithv 5760 3618230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_886 unithv 5760 3622300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_887 unithv 5760 3626370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_888 unithv 5760 3630440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_889 unithv 5760 3634510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_890 unithv 5760 3638580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_891 unithv 5760 3642650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_892 unithv 5760 3646720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_893 unithv 5760 3650790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_894 unithv 5760 3654860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_895 unithv 5760 3658930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_896 unithv 5760 3663000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_897 unithv 5760 3667070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_898 unithv 5760 3671140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_899 unithv 5760 3675210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_900 unithv 5760 3679280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_901 unithv 5760 3683350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_902 unithv 5760 3687420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_903 unithv 5760 3691490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_904 unithv 5760 3695560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_905 unithv 5760 3699630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_906 unithv 5760 3703700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_907 unithv 5760 3707770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_908 unithv 5760 3711840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_909 unithv 5760 3715910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_910 unithv 5760 3719980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_911 unithv 5760 3724050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_912 unithv 5760 3728120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_913 unithv 5760 3732190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_914 unithv 5760 3736260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_915 unithv 5760 3740330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_916 unithv 5760 3744400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_917 unithv 5760 3748470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_918 unithv 5760 3752540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_919 unithv 5760 3756610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_920 unithv 5760 3760680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_921 unithv 5760 3764750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_922 unithv 5760 3768820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_923 unithv 5760 3772890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_924 unithv 5760 3776960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_925 unithv 5760 3781030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_926 unithv 5760 3785100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_927 unithv 5760 3789170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_928 unithv 5760 3793240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_929 unithv 5760 3797310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_930 unithv 5760 3801380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_931 unithv 5760 3805450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_932 unithv 5760 3809520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_933 unithv 5760 3813590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_934 unithv 5760 3817660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_935 unithv 5760 3821730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_936 unithv 5760 3825800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_937 unithv 5760 3829870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_938 unithv 5760 3833940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_939 unithv 5760 3838010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_940 unithv 5760 3842080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_941 unithv 5760 3846150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_942 unithv 5760 3850220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_943 unithv 5760 3854290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_944 unithv 5760 3858360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_945 unithv 5760 3862430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_946 unithv 5760 3866500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_947 unithv 5760 3870570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_948 unithv 5760 3874640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_949 unithv 5760 3878710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_950 unithv 5760 3882780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_951 unithv 5760 3886850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_952 unithv 5760 3890920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_953 unithv 5760 3894990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_954 unithv 5760 3899060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_955 unithv 5760 3903130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_956 unithv 5760 3907200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_957 unithv 5760 3911270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_958 unithv 5760 3915340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_959 unithv 5760 3919410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_960 unithv 5760 3923480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_961 unithv 5760 3927550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_962 unithv 5760 3931620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_963 unithv 5760 3935690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_964 unithv 5760 3939760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_965 unithv 5760 3943830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_966 unithv 5760 3947900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_967 unithv 5760 3951970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_968 unithv 5760 3956040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_969 unithv 5760 3960110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_970 unithv 5760 3964180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_971 unithv 5760 3968250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_972 unithv 5760 3972320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_973 unithv 5760 3976390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_974 unithv 5760 3980460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_975 unithv 5760 3984530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_976 unithv 5760 3988600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_977 unithv 5760 3992670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_978 unithv 5760 3996740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_979 unithv 5760 4000810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_980 unithv 5760 4004880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_981 unithv 5760 4008950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_982 unithv 5760 4013020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_983 unithv 5760 4017090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_984 unithv 5760 4021160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_985 unithv 5760 4025230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_986 unithv 5760 4029300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_987 unithv 5760 4033370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_988 unithv 5760 4037440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_989 unithv 5760 4041510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_990 unithv 5760 4045580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_991 unithv 5760 4049650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_992 unithv 5760 4053720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_993 unithv 5760 4057790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_994 unithv 5760 4061860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_995 unithv 5760 4065930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_996 unithv 5760 4070000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_997 unithv 5760 4074070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_998 unithv 5760 4078140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_999 unithv 5760 4082210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1000 unithv 5760 4086280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1001 unithv 5760 4090350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1002 unithv 5760 4094420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1003 unithv 5760 4098490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1004 unithv 5760 4102560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1005 unithv 5760 4106630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1006 unithv 5760 4110700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1007 unithv 5760 4114770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1008 unithv 5760 4118840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1009 unithv 5760 4122910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1010 unithv 5760 4126980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1011 unithv 5760 4131050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1012 unithv 5760 4135120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1013 unithv 5760 4139190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1014 unithv 5760 4143260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1015 unithv 5760 4147330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1016 unithv 5760 4151400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1017 unithv 5760 4155470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1018 unithv 5760 4159540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1019 unithv 5760 4163610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1020 unithv 5760 4167680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1021 unithv 5760 4171750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1022 unithv 5760 4175820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1023 unithv 5760 4179890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1024 unithv 5760 4183960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1025 unithv 5760 4188030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1026 unithv 5760 4192100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1027 unithv 5760 4196170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1028 unithv 5760 4200240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1029 unithv 5760 4204310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1030 unithv 5760 4208380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1031 unithv 5760 4212450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1032 unithv 5760 4216520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1033 unithv 5760 4220590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1034 unithv 5760 4224660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1035 unithv 5760 4228730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1036 unithv 5760 4232800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1037 unithv 5760 4236870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1038 unithv 5760 4240940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1039 unithv 5760 4245010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1040 unithv 5760 4249080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1041 unithv 5760 4253150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1042 unithv 5760 4257220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1043 unithv 5760 4261290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1044 unithv 5760 4265360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1045 unithv 5760 4269430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1046 unithv 5760 4273500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1047 unithv 5760 4277570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1048 unithv 5760 4281640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1049 unithv 5760 4285710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1050 unithv 5760 4289780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1051 unithv 5760 4293850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1052 unithv 5760 4297920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1053 unithv 5760 4301990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1054 unithv 5760 4306060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1055 unithv 5760 4310130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1056 unithv 5760 4314200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1057 unithv 5760 4318270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1058 unithv 5760 4322340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1059 unithv 5760 4326410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1060 unithv 5760 4330480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1061 unithv 5760 4334550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1062 unithv 5760 4338620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1063 unithv 5760 4342690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1064 unithv 5760 4346760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1065 unithv 5760 4350830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1066 unithv 5760 4354900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1067 unithv 5760 4358970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1068 unithv 5760 4363040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1069 unithv 5760 4367110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1070 unithv 5760 4371180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1071 unithv 5760 4375250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1072 unithv 5760 4379320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1073 unithv 5760 4383390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1074 unithv 5760 4387460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1075 unithv 5760 4391530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1076 unithv 5760 4395600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1077 unithv 5760 4399670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1078 unithv 5760 4403740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1079 unithv 5760 4407810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1080 unithv 5760 4411880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1081 unithv 5760 4415950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1082 unithv 5760 4420020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1083 unithv 5760 4424090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1084 unithv 5760 4428160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1085 unithv 5760 4432230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1086 unithv 5760 4436300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1087 unithv 5760 4440370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1088 unithv 5760 4444440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1089 unithv 5760 4448510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1090 unithv 5760 4452580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1091 unithv 5760 4456650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1092 unithv 5760 4460720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1093 unithv 5760 4464790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1094 unithv 5760 4468860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1095 unithv 5760 4472930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1096 unithv 5760 4477000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1097 unithv 5760 4481070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1098 unithv 5760 4485140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1099 unithv 5760 4489210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1100 unithv 5760 4493280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1101 unithv 5760 4497350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1102 unithv 5760 4501420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1103 unithv 5760 4505490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1104 unithv 5760 4509560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1105 unithv 5760 4513630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1106 unithv 5760 4517700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1107 unithv 5760 4521770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1108 unithv 5760 4525840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1109 unithv 5760 4529910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1110 unithv 5760 4533980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1111 unithv 5760 4538050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1112 unithv 5760 4542120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1113 unithv 5760 4546190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1114 unithv 5760 4550260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1115 unithv 5760 4554330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1116 unithv 5760 4558400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1117 unithv 5760 4562470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1118 unithv 5760 4566540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1119 unithv 5760 4570610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1120 unithv 5760 4574680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1121 unithv 5760 4578750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1122 unithv 5760 4582820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1123 unithv 5760 4586890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1124 unithv 5760 4590960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1125 unithv 5760 4595030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1126 unithv 5760 4599100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1127 unithv 5760 4603170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1128 unithv 5760 4607240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1129 unithv 5760 4611310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1130 unithv 5760 4615380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1131 unithv 5760 4619450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1132 unithv 5760 4623520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1133 unithv 5760 4627590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1134 unithv 5760 4631660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1135 unithv 5760 4635730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1136 unithv 5760 4639800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1137 unithv 5760 4643870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1138 unithv 5760 4647940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1139 unithv 5760 4652010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1140 unithv 5760 4656080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1141 unithv 5760 4660150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1142 unithv 5760 4664220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1143 unithv 5760 4668290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1144 unithv 5760 4672360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1145 unithv 5760 4676430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1146 unithv 5760 4680500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1147 unithv 5760 4684570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1148 unithv 5760 4688640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1149 unithv 5760 4692710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1150 unithv 5760 4696780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1151 unithv 5760 4700850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1152 unithv 5760 4704920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1153 unithv 5760 4708990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1154 unithv 5760 4713060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1155 unithv 5760 4717130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1156 unithv 5760 4721200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1157 unithv 5760 4725270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1158 unithv 5760 4729340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1159 unithv 5760 4733410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1160 unithv 5760 4737480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1161 unithv 5760 4741550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1162 unithv 5760 4745620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1163 unithv 5760 4749690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1164 unithv 5760 4753760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1165 unithv 5760 4757830 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1166 unithv 5760 4761900 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1167 unithv 5760 4765970 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1168 unithv 5760 4770040 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1169 unithv 5760 4774110 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1170 unithv 5760 4778180 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1171 unithv 5760 4782250 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1172 unithv 5760 4786320 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1173 unithv 5760 4790390 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1174 unithv 5760 4794460 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1175 unithv 5760 4798530 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1176 unithv 5760 4802600 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1177 unithv 5760 4806670 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1178 unithv 5760 4810740 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1179 unithv 5760 4814810 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1180 unithv 5760 4818880 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1181 unithv 5760 4822950 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1182 unithv 5760 4827020 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1183 unithv 5760 4831090 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1184 unithv 5760 4835160 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1185 unithv 5760 4839230 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1186 unithv 5760 4843300 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1187 unithv 5760 4847370 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1188 unithv 5760 4851440 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1189 unithv 5760 4855510 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1190 unithv 5760 4859580 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1191 unithv 5760 4863650 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1192 unithv 5760 4867720 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1193 unithv 5760 4871790 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1194 unithv 5760 4875860 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1195 unithv 5760 4879930 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1196 unithv 5760 4884000 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1197 unithv 5760 4888070 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1198 unithv 5760 4892140 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1199 unithv 5760 4896210 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1200 unithv 5760 4900280 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1201 unithv 5760 4904350 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1202 unithv 5760 4908420 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1203 unithv 5760 4912490 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1204 unithv 5760 4916560 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1205 unithv 5760 4920630 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1206 unithv 5760 4924700 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1207 unithv 5760 4928770 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1208 unithv 5760 4932840 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1209 unithv 5760 4936910 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1210 unithv 5760 4940980 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1211 unithv 5760 4945050 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1212 unithv 5760 4949120 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1213 unithv 5760 4953190 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1214 unithv 5760 4957260 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1215 unithv 5760 4961330 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1216 unithv 5760 4965400 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1217 unithv 5760 4969470 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1218 unithv 5760 4973540 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1219 unithv 5760 4977610 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1220 unithv 5760 4981680 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1221 unithv 5760 4985750 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1222 unithv 5760 4989820 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1223 unithv 5760 4993890 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1224 unithv 5760 4997960 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1225 unithv 5760 5002030 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1226 unithv 5760 5006100 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1227 unithv 5760 5010170 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1228 unithv 5760 5014240 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1229 unithv 5760 5018310 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1230 unithv 5760 5022380 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1231 unithv 5760 5026450 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1232 unithv 5760 5030520 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1233 unithv 5760 5034590 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1234 unithv 5760 5038660 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1235 unithv 5760 5042730 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1236 unithv 5760 5046800 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1237 unithv 5760 5050870 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1238 unithv 5760 5054940 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1239 unithv 5760 5059010 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1240 unithv 5760 5063080 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1241 unithv 5760 5067150 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1242 unithv 5760 5071220 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1243 unithv 5760 5075290 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1244 unithv 5760 5079360 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1245 unithv 5760 5083430 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1246 unithv 5760 5087500 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1247 unithv 5760 5091570 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1248 unithv 5760 5095640 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1249 unithv 5760 5099710 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1250 unithv 5760 5103780 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1251 unithv 5760 5107850 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1252 unithv 5760 5111920 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1253 unithv 5760 5115990 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1254 unithv 5760 5120060 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1255 unithv 5760 5124130 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1256 unithv 5760 5128200 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1257 unithv 5760 5132270 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1258 unithv 5760 5136340 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1259 unithv 5760 5140410 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1260 unithv 5760 5144480 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1261 unithv 5760 5148550 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1262 unithv 5760 5152620 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1263 unithv 5760 5156690 N DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1264 unithv 5760 5160760 FS DO 7451 BY 1 STEP 480 0 ;
ROW ROW_1265 unithv 5760 5164830 N DO 7451 BY 1 STEP 480 0 ;
TRACKS X 240 DO 7475 STEP 480 LAYER li1 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER li1 ;
TRACKS X 185 DO 9697 STEP 370 LAYER met1 ;
TRACKS Y 185 DO 14022 STEP 370 LAYER met1 ;
TRACKS X 240 DO 7475 STEP 480 LAYER met2 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER met2 ;
TRACKS X 370 DO 4849 STEP 740 LAYER met3 ;
TRACKS Y 370 DO 7011 STEP 740 LAYER met3 ;
TRACKS X 480 DO 3738 STEP 960 LAYER met4 ;
TRACKS Y 480 DO 5404 STEP 960 LAYER met4 ;
TRACKS X 1665 DO 1077 STEP 3330 LAYER met5 ;
TRACKS Y 1665 DO 1558 STEP 3330 LAYER met5 ;
VIAS 2 ;
- via2_FR + RECT met2 ( -140 -185 ) ( 140 185 ) + RECT via2 ( -100 -100 ) ( 100 100 ) + RECT met3 ( -165 -165 ) ( 165 165 ) ;
- via4_FR + RECT met4 ( -590 -590 ) ( 590 590 ) + RECT via4 ( -400 -400 ) ( 400 400 ) + RECT met5 ( -710 -710 ) ( 710 710 ) ;
END VIAS
COMPONENTS 46 ;
- gpio_control_bidir\[0\] gpio_control_block + FIXED ( 3373015 605000 ) FN ;
- gpio_control_bidir\[1\] gpio_control_block + FIXED ( 3373015 831000 ) FN ;
- gpio_control_in\[10\] gpio_control_block + FIXED ( 3373015 3070000 ) FN ;
- gpio_control_in\[11\] gpio_control_block + FIXED ( 3373015 3295000 ) FN ;
- gpio_control_in\[12\] gpio_control_block + FIXED ( 3373015 3521000 ) FN ;
- gpio_control_in\[13\] gpio_control_block + FIXED ( 3373015 3746000 ) FN ;
- gpio_control_in\[14\] gpio_control_block + FIXED ( 3373015 4638000 ) FN ;
- gpio_control_in\[15\] gpio_control_block + FIXED ( 2746000 4979065 ) E ;
- gpio_control_in\[16\] gpio_control_block + FIXED ( 2489000 4979065 ) E ;
- gpio_control_in\[17\] gpio_control_block + FIXED ( 2104000 4979065 ) E ;
- gpio_control_in\[18\] gpio_control_block + FIXED ( 1767000 4979065 ) E ;
- gpio_control_in\[19\] gpio_control_block + FIXED ( 1515000 4979065 ) E ;
- gpio_control_in\[20\] gpio_control_block + FIXED ( 1257000 4979065 ) E ;
- gpio_control_in\[21\] gpio_control_block + FIXED ( 1000000 4979065 ) E ;
- gpio_control_in\[22\] gpio_control_block + FIXED ( 743000 4979065 ) E ;
- gpio_control_in\[23\] gpio_control_block + FIXED ( 486000 4979065 ) E ;
- gpio_control_in\[24\] gpio_control_block + FIXED ( 42835 4656120 ) N ;
- gpio_control_in\[25\] gpio_control_block + FIXED ( 42835 4027000 ) N ;
- gpio_control_in\[26\] gpio_control_block + FIXED ( 42835 3811000 ) N ;
- gpio_control_in\[27\] gpio_control_block + FIXED ( 42835 3595000 ) N ;
- gpio_control_in\[28\] gpio_control_block + FIXED ( 42835 3379000 ) N ;
- gpio_control_in\[29\] gpio_control_block + FIXED ( 42835 3163000 ) N ;
- gpio_control_in\[2\] gpio_control_block + FIXED ( 3373015 1056000 ) FN ;
- gpio_control_in\[30\] gpio_control_block + FIXED ( 42835 2947000 ) N ;
- gpio_control_in\[31\] gpio_control_block + FIXED ( 42835 2731000 ) N ;
- gpio_control_in\[32\] gpio_control_block + FIXED ( 42835 2093000 ) N ;
- gpio_control_in\[33\] gpio_control_block + FIXED ( 42835 1877000 ) N ;
- gpio_control_in\[34\] gpio_control_block + FIXED ( 42835 1661000 ) N ;
- gpio_control_in\[35\] gpio_control_block + FIXED ( 42835 1445000 ) N ;
- gpio_control_in\[36\] gpio_control_block + FIXED ( 42835 1229000 ) N ;
- gpio_control_in\[37\] gpio_control_block + FIXED ( 42835 1013000 ) N ;
- gpio_control_in\[3\] gpio_control_block + FIXED ( 3373015 1282000 ) FN ;
- gpio_control_in\[4\] gpio_control_block + FIXED ( 3373015 1507000 ) FN ;
- gpio_control_in\[5\] gpio_control_block + FIXED ( 3373015 1732000 ) FN ;
- gpio_control_in\[6\] gpio_control_block + FIXED ( 3373015 1958000 ) FN ;
- gpio_control_in\[7\] gpio_control_block + FIXED ( 3373015 2399000 ) FN ;
- gpio_control_in\[8\] gpio_control_block + FIXED ( 3373015 2619000 ) FN ;
- gpio_control_in\[9\] gpio_control_block + FIXED ( 3373015 2844000 ) FN ;
- mgmt_buffers mgmt_protect + FIXED ( 1060900 1234180 ) N ;
- mprj user_project_wrapper + FIXED ( 326540 1393590 ) N ;
- padframe chip_io + FIXED ( 0 0 ) N ;
- por simple_por + FIXED ( 3270730 522720 ) FS ;
- rstb_level sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped + FIXED ( 708550 235440 ) S ;
- soc mgmt_core + FIXED ( 1052170 268010 ) N ;
- storage storage + FIXED ( 260160 265780 ) N ;
- user_id_value user_id_programming + FIXED ( 3283120 404630 ) N ;
END COMPONENTS
PINS 63 ;
- clock + NET clock + DIRECTION INPUT + USE SIGNAL + PLACED ( 969500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_clk + NET flash_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1786500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_csb + NET flash_csb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1512500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_io0 + NET flash_io0 + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2060500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_io1 + NET flash_io1 + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- gpio + NET gpio + DIRECTION INOUT + USE SIGNAL + PLACED ( 2608500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[0] + NET mprj_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 537500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[10] + NET mprj_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3453500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[11] + NET mprj_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3678500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[12] + NET mprj_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3903500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[13] + NET mprj_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 4349500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[14] + NET mprj_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 4795500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[15] + NET mprj_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3172500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[16] + NET mprj_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2663500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[17] + NET mprj_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2406500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[18] + NET mprj_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1961500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[19] + NET mprj_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1452500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[1] + NET mprj_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 763500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[20] + NET mprj_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1194500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[21] + NET mprj_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 937500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[22] + NET mprj_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 680500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[23] + NET mprj_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 423500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[24] + NET mprj_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 4813500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[25] + NET mprj_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3964500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[26] + NET mprj_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3748500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[27] + NET mprj_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3532500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[28] + NET mprj_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3316500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[29] + NET mprj_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3100500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[2] + NET mprj_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 988500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[30] + NET mprj_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2884500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[31] + NET mprj_io[31] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2668500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[32] + NET mprj_io[32] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2030500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[33] + NET mprj_io[33] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1814500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[34] + NET mprj_io[34] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1598500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[35] + NET mprj_io[35] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1382500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[36] + NET mprj_io[36] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1166500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[37] + NET mprj_io[37] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 950500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[3] + NET mprj_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1214500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[4] + NET mprj_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1439500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[5] + NET mprj_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1664500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[6] + NET mprj_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1890500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[7] + NET mprj_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 2776500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[8] + NET mprj_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3002500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[9] + NET mprj_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3227500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- resetb + NET resetb + DIRECTION INPUT + USE SIGNAL + PLACED ( 702157 63630 ) N + LAYER met5 ( -18592 -27915 ) ( 18592 27915 ) ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523855 4575365 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 4597635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vdda + NET vdda + DIRECTION INOUT + USE SIGNAL + PLACED ( 3151550 64682 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523317 4129550 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 2452450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2915450 5123317 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 4175450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523855 2336365 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 2241635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vddio + NET vddio + DIRECTION INOUT + USE SIGNAL + PLACED ( 198982 613340 ) N + LAYER met3 ( -1017 -11950 ) ( 1017 11950 ) ;
- vssio + NET vssio + DIRECTION INOUT + USE SIGNAL + PLACED ( 1704450 5123317 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vssa + NET vssa + DIRECTION INOUT + USE SIGNAL + PLACED ( 431550 64682 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vccd + NET vccd + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 377635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vssd + NET vssd + DIRECTION INOUT + USE SIGNAL + PLACED ( 1243365 64145 ) N + LAYER met5 ( -28320 -33715 ) ( 28320 33715 ) ;
- pwr_ctrl_out[0] + NET pwr_ctrl_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1126000 270010 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[1] + NET pwr_ctrl_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1127840 270010 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[2] + NET pwr_ctrl_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1129680 270010 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[3] + NET pwr_ctrl_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1131520 270010 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
END PINS
NETS 2026 ;
- vddio ( PIN vddio ) ( padframe vddio ) ( padframe mprj_io_hldh_n[9] ) ( padframe mprj_io_hldh_n[8] ) ( padframe mprj_io_hldh_n[7] ) ( padframe mprj_io_hldh_n[6] ) ( padframe mprj_io_hldh_n[5] )
( padframe mprj_io_hldh_n[4] ) ( padframe mprj_io_hldh_n[3] ) ( padframe mprj_io_hldh_n[37] ) ( padframe mprj_io_hldh_n[36] ) ( padframe mprj_io_hldh_n[35] ) ( padframe mprj_io_hldh_n[34] ) ( padframe mprj_io_hldh_n[33] ) ( padframe mprj_io_hldh_n[32] )
( padframe mprj_io_hldh_n[31] ) ( padframe mprj_io_hldh_n[30] ) ( padframe mprj_io_hldh_n[2] ) ( padframe mprj_io_hldh_n[29] ) ( padframe mprj_io_hldh_n[28] ) ( padframe mprj_io_hldh_n[27] ) ( padframe mprj_io_hldh_n[26] ) ( padframe mprj_io_hldh_n[25] )
( padframe mprj_io_hldh_n[24] ) ( padframe mprj_io_hldh_n[23] ) ( padframe mprj_io_hldh_n[22] ) ( padframe mprj_io_hldh_n[21] ) ( padframe mprj_io_hldh_n[20] ) ( padframe mprj_io_hldh_n[1] ) ( padframe mprj_io_hldh_n[19] ) ( padframe mprj_io_hldh_n[18] )
( padframe mprj_io_hldh_n[17] ) ( padframe mprj_io_hldh_n[16] ) ( padframe mprj_io_hldh_n[15] ) ( padframe mprj_io_hldh_n[14] ) ( padframe mprj_io_hldh_n[13] ) ( padframe mprj_io_hldh_n[12] ) ( padframe mprj_io_hldh_n[11] ) ( padframe mprj_io_hldh_n[10] )
( padframe mprj_io_hldh_n[0] ) + USE SIGNAL
+ ROUTED met3 ( 199200 624930 0 ) ( * 626410 )
NEW met3 ( 199200 626410 ) ( 199440 * )
NEW met2 ( 199440 626410 ) ( * 626595 )
NEW met1 ( 199440 626595 ) ( 214800 * )
NEW met4 ( 209760 1590075 ) ( 210720 * )
NEW met2 ( 210000 1588040 0 ) ( * 1589150 )
NEW met3 ( 209760 1589150 ) ( 210000 * )
NEW met2 ( 209040 2019985 0 ) ( * 2022050 )
NEW met3 ( 208800 2022050 ) ( 209040 * )
NEW met2 ( 210960 3953985 0 ) ( * 3955670 )
NEW met3 ( 210960 3955670 ) ( 213600 * )
NEW met3 ( 208800 3955670 ) ( 210960 * )
NEW met2 ( 413040 4967805 ) ( * 4977610 0 )
NEW met2 ( 669840 4977610 ) ( 670080 * 0 )
NEW met2 ( 669840 4967805 ) ( * 4977610 )
NEW met2 ( 1183920 4968175 ) ( * 4977610 0 )
NEW met1 ( 1410480 4967065 ) ( 1440720 * )
NEW met1 ( 1410480 4967065 ) ( * 4968175 )
NEW met2 ( 2599440 4975575 ) ( * 5011465 )
NEW met1 ( 2447760 5011465 ) ( 2599440 * )
NEW met2 ( 3377040 999000 0 ) ( * 1000110 )
NEW met3 ( 3376800 1000110 ) ( 3377040 * )
NEW met2 ( 3375600 999000 ) ( 3377040 * 0 )
NEW met2 ( 3377040 1223590 ) ( * 1225070 0 )
NEW met3 ( 3372000 1223590 ) ( 3377040 * )
NEW met4 ( 3372000 1219150 ) ( * 1223590 )
NEW met2 ( 3375600 1450030 ) ( 3377040 * 0 )
NEW met2 ( 3375600 1424130 ) ( * 1450030 )
NEW met3 ( 3372000 1424130 ) ( 3375600 * )
NEW met3 ( 3376560 1450030 ) ( 3376800 * )
NEW met2 ( 3376080 1674990 ) ( 3377040 * 0 )
NEW met2 ( 3376080 1647610 ) ( * 1674990 )
NEW met3 ( 3376080 1647610 ) ( 3376800 * )
NEW met3 ( 3376560 1674990 ) ( 3376800 * )
NEW met3 ( 3372720 4837010 ) ( 3378720 * )
NEW met2 ( 309360 4809630 ) ( * 4967805 )
NEW met1 ( 309360 4967805 ) ( 413040 * )
NEW met1 ( 413040 4967805 ) ( 719760 * )
NEW met2 ( 719760 4967805 ) ( * 5011465 )
NEW met2 ( 926160 4978350 ) ( 927120 * 0 )
NEW met2 ( 926160 4978350 ) ( * 4978535 )
NEW met1 ( 878640 4978535 ) ( 926160 * )
NEW met2 ( 927120 4968175 ) ( * 4978350 0 )
NEW met2 ( 878640 4978535 ) ( * 5011465 )
NEW met2 ( 3162000 4918595 ) ( * 4977610 0 )
NEW met3 ( 3376800 550190 ) ( 3377040 * )
NEW met2 ( 3377040 547970 0 ) ( * 550190 )
NEW met3 ( 3375600 965330 ) ( 3376800 * )
NEW met2 ( 3375600 965330 ) ( * 999000 )
NEW met4 ( 3376800 1000110 ) ( * 1223590 )
NEW met4 ( 3372000 1223590 ) ( * 1424130 )
NEW met4 ( 3376800 1450030 ) ( * 1647610 )
NEW met2 ( 3377520 3914015 0 ) ( * 3915525 )
NEW met1 ( 3375600 3915525 ) ( 3377520 * )
NEW met1 ( 3365040 3915525 ) ( 3375600 * )
NEW met2 ( 3376560 4360080 ) ( 3377040 * 0 )
NEW met2 ( 3376560 4356010 ) ( * 4360080 )
NEW met2 ( 3375600 4356010 ) ( 3376560 * )
NEW met2 ( 3375600 4347130 ) ( * 4356010 )
NEW met2 ( 3375120 4347130 ) ( 3375600 * )
NEW met2 ( 3375120 4324190 ) ( * 4347130 )
NEW met2 ( 3375120 4324190 ) ( 3375600 * )
NEW met3 ( 3376560 4379690 ) ( 3378720 * )
NEW met2 ( 3376560 4360080 ) ( * 4379690 )
NEW met2 ( 3378480 4805190 ) ( * 4805930 0 )
NEW met3 ( 3378480 4805190 ) ( 3378720 * )
NEW met4 ( 3378720 4379690 ) ( * 4837010 )
NEW met1 ( 3162000 4918595 ) ( 3372720 * )
NEW met2 ( 3372720 4837010 ) ( * 4918595 )
NEW met2 ( 210000 939985 0 ) ( * 941650 )
NEW met3 ( 209760 941650 ) ( 210000 * )
NEW met3 ( 210000 941650 ) ( 214800 * )
NEW met2 ( 214800 626595 ) ( * 941650 )
NEW met2 ( 210000 1155510 ) ( * 1155880 0 )
NEW met3 ( 209760 1155510 ) ( 210000 * )
NEW met4 ( 209760 1155510 ) ( * 1219150 )
NEW met2 ( 210960 1371960 0 ) ( * 1373810 )
NEW met3 ( 210960 1373810 ) ( 212640 * )
NEW met4 ( 212640 1373810 ) ( * 1427830 )
NEW met3 ( 209760 1427830 ) ( 212640 * )
NEW met3 ( 212640 1373810 ) ( 215760 * )
NEW met2 ( 215760 1219150 ) ( * 1373810 )
NEW met2 ( 210000 1803010 ) ( * 1804120 0 )
NEW met3 ( 210000 1803010 ) ( 213600 * )
NEW met3 ( 208800 1803010 ) ( 210000 * )
NEW met2 ( 210960 4802230 ) ( * 4802970 0 )
NEW met3 ( 210960 4802230 ) ( 213600 * )
NEW met4 ( 213600 4802230 ) ( * 4809630 )
NEW met3 ( 213600 4809630 ) ( 309360 * )
NEW met1 ( 719760 5011465 ) ( 878640 * )
NEW met2 ( 3376560 774040 ) ( 3377040 * 0 )
NEW met2 ( 3376560 774040 ) ( * 775890 )
NEW met3 ( 3376560 775890 ) ( 3376800 * )
NEW met4 ( 3376800 550190 ) ( * 775890 )
NEW met1 ( 3367440 2819585 ) ( 3376080 * )
NEW met2 ( 3376080 2789245 ) ( * 2819585 )
NEW met1 ( 3376080 2789245 ) ( 3377040 * )
NEW met2 ( 3377040 2787015 0 ) ( * 2789245 )
NEW met2 ( 3377520 3010505 ) ( * 3012910 0 )
NEW met1 ( 3367440 3010505 ) ( 3377520 * )
NEW met2 ( 3377040 3235465 ) ( * 3238015 0 )
NEW met1 ( 3372720 3235465 ) ( 3377040 * )
NEW met2 ( 3375600 3915525 ) ( * 4324190 )
NEW met4 ( 209760 941650 ) ( * 1155510 )
NEW met4 ( 209760 1427830 ) ( * 1590075 )
NEW met4 ( 210720 1693305 ) ( 213600 * )
NEW met4 ( 210720 1590075 ) ( * 1693305 )
NEW met4 ( 213600 1693305 ) ( * 1803010 )
NEW met4 ( 208800 1803010 ) ( * 2022050 )
NEW met2 ( 209520 3305210 ) ( * 3305950 0 )
NEW met3 ( 209520 3305210 ) ( 209760 * )
NEW met2 ( 209040 3520550 ) ( * 3522030 0 )
NEW met3 ( 208800 3520550 ) ( 209040 * )
NEW met2 ( 209040 3735890 ) ( * 3738110 0 )
NEW met3 ( 207840 3735890 ) ( 209040 * )
NEW met4 ( 207840 3737925 ) ( 208800 * )
NEW met4 ( 207840 3735890 ) ( * 3737925 )
NEW met4 ( 208800 3737925 ) ( * 3955670 )
NEW met4 ( 213600 3955670 ) ( * 4802230 )
NEW met1 ( 1541040 4967065 ) ( * 4967435 )
NEW met1 ( 1541040 4967435 ) ( 1541520 * )
NEW met1 ( 1541520 4967435 ) ( * 4967805 )
NEW met1 ( 1440720 4967065 ) ( 1541040 * )
NEW met2 ( 2447760 4967065 ) ( * 5011465 )
NEW met4 ( 3376800 775890 ) ( * 965330 )
NEW met3 ( 3375600 1872570 ) ( 3376800 * )
NEW met2 ( 3375600 1872570 ) ( * 1901060 )
NEW met2 ( 3375600 1901060 ) ( 3377040 * 0 )
NEW met4 ( 3376800 1674990 ) ( * 1872570 )
NEW met2 ( 3367440 2819585 ) ( * 3010505 )
NEW met2 ( 3372720 3010505 ) ( * 3235465 )
NEW met1 ( 3366000 3268765 ) ( 3376080 * )
NEW met2 ( 3376080 3235465 ) ( * 3268765 )
NEW met1 ( 3364080 3499275 ) ( 3366000 * )
NEW met2 ( 3377520 3463940 0 ) ( * 3464865 )
NEW met1 ( 3366000 3464865 ) ( 3377520 * )
NEW met2 ( 3366000 3268765 ) ( * 3499275 )
NEW met2 ( 3377520 3688900 0 ) ( * 3690565 )
NEW met1 ( 3375600 3690565 ) ( 3377520 * )
NEW met2 ( 3375600 3690565 ) ( * 3729785 )
NEW met1 ( 3365040 3729785 ) ( 3375600 * )
NEW met1 ( 3364080 3690565 ) ( 3375600 * )
NEW met2 ( 3364080 3499275 ) ( * 3690565 )
NEW met2 ( 3365040 3729785 ) ( * 3915525 )
NEW met1 ( 927120 4968175 ) ( 1410480 * )
NEW met2 ( 1440720 4977610 ) ( 1441920 * 0 )
NEW met2 ( 1440720 4967065 ) ( * 4977610 )
NEW met2 ( 2663760 4968545 ) ( * 4975575 )
NEW met2 ( 2652960 4979090 0 ) ( 2653680 * )
NEW met2 ( 2653680 4975575 ) ( * 4979090 )
NEW met1 ( 2599440 4975575 ) ( 2663760 * )
NEW met1 ( 2663760 4968545 ) ( 3162000 * )
NEW met2 ( 209040 2658080 0 ) ( * 2658450 )
NEW met3 ( 208800 2658450 ) ( 209040 * )
NEW met4 ( 208800 2022050 ) ( * 2658450 )
NEW met2 ( 210000 3088390 ) ( * 3089870 0 )
NEW met3 ( 210000 3088390 ) ( 212640 * )
NEW met4 ( 212640 3025490 ) ( * 3088390 )
NEW met3 ( 212640 3024750 ) ( * 3025490 )
NEW met3 ( 209760 3088390 ) ( 210000 * )
NEW met4 ( 209760 3088390 ) ( * 3305210 )
NEW met3 ( 208560 3377730 ) ( 208800 * )
NEW met2 ( 208560 3354790 ) ( * 3377730 )
NEW met3 ( 208560 3352570 ) ( * 3354790 )
NEW met3 ( 208560 3352570 ) ( 209760 * )
NEW met4 ( 208800 3377730 ) ( * 3520550 )
NEW met4 ( 209760 3305210 ) ( * 3352570 )
NEW met3 ( 207840 3578270 ) ( 212640 * )
NEW met4 ( 212640 3555330 ) ( * 3578270 )
NEW met3 ( 208800 3555330 ) ( 212640 * )
NEW met4 ( 207840 3578270 ) ( * 3735890 )
NEW met4 ( 208800 3520550 ) ( * 3555330 )
NEW met2 ( 1641360 4967250 ) ( * 4967805 )
NEW met2 ( 1641360 4967250 ) ( 1642320 * )
NEW met2 ( 1642320 4967065 ) ( * 4967250 )
NEW met1 ( 1541520 4967805 ) ( 1641360 * )
NEW met1 ( 1814640 4966695 ) ( * 4967435 )
NEW met2 ( 1814640 4966510 ) ( * 4966695 )
NEW met3 ( 1814640 4966510 ) ( 1914960 * )
NEW met2 ( 1914960 4966510 ) ( * 4966695 )
NEW met2 ( 2044560 4967065 ) ( * 4967250 )
NEW met2 ( 2044560 4967250 ) ( 2045040 * )
NEW met2 ( 2045040 4967250 ) ( * 4967435 )
NEW met1 ( 2045040 4967435 ) ( 2061840 * )
NEW met1 ( 2061840 4967435 ) ( * 4967805 )
NEW met2 ( 2246160 4967250 ) ( * 4967435 )
NEW met2 ( 2246160 4967250 ) ( 2247120 * )
NEW met2 ( 2247120 4967065 ) ( * 4967250 )
NEW met1 ( 1713840 4967065 ) ( * 4967435 )
NEW met1 ( 1713840 4967435 ) ( 1742160 * )
NEW met2 ( 1742160 4967250 ) ( * 4967435 )
NEW met2 ( 1742160 4967250 ) ( 1742640 * )
NEW met2 ( 1742640 4967065 ) ( * 4967250 )
NEW met1 ( 1742640 4967065 ) ( 1814160 * )
NEW met1 ( 1814160 4967065 ) ( * 4967435 )
NEW met1 ( 1642320 4967065 ) ( 1713840 * )
NEW met1 ( 1814160 4967435 ) ( 1814640 * )
NEW met2 ( 1950960 4978350 0 ) ( 1952400 * )
NEW met2 ( 1952400 4977055 ) ( * 4978350 )
NEW met1 ( 1952400 4977055 ) ( 2015760 * )
NEW met2 ( 2015760 4967065 ) ( * 4977055 )
NEW met1 ( 1915440 4966325 ) ( * 4966695 )
NEW met2 ( 1915440 4966325 ) ( * 4966510 )
NEW met2 ( 1915440 4966510 ) ( 1915920 * )
NEW met2 ( 1915920 4966510 ) ( * 4977055 )
NEW met1 ( 1915920 4977055 ) ( 1952400 * )
NEW met1 ( 1914960 4966695 ) ( 1915440 * )
NEW met1 ( 2015760 4967065 ) ( 2044560 * )
NEW met2 ( 2117040 4967805 ) ( * 4967990 )
NEW met3 ( 2117040 4967990 ) ( 2217360 * )
NEW met2 ( 2217360 4967435 ) ( * 4967990 )
NEW met1 ( 2061840 4967805 ) ( 2117040 * )
NEW met1 ( 2217360 4967435 ) ( 2246160 * )
NEW met2 ( 2395920 4970765 ) ( * 4977610 0 )
NEW met1 ( 2318640 4970765 ) ( 2395920 * )
NEW met2 ( 2318640 4967065 ) ( * 4970765 )
NEW met2 ( 2395920 4967065 ) ( * 4970765 )
NEW met1 ( 2247120 4967065 ) ( 2318640 * )
NEW met1 ( 2395920 4967065 ) ( 2447760 * )
NEW met2 ( 209040 2872310 ) ( * 2873985 0 )
NEW met3 ( 208800 2872310 ) ( 209040 * )
NEW met4 ( 208800 2658450 ) ( * 2872310 )
NEW met4 ( 212640 3008655 ) ( 213600 * )
NEW met4 ( 212640 3008655 ) ( * 3024750 )
NEW met3 ( 208800 2895990 ) ( 209760 * )
NEW met4 ( 209760 2895990 ) ( * 2944830 )
NEW met3 ( 209760 2944830 ) ( 213600 * )
NEW met4 ( 208800 2872310 ) ( * 2895990 )
NEW met4 ( 213600 2944830 ) ( * 3008655 )
NEW met3 ( 1378080 1219150 ) ( * 1219335 )
NEW met3 ( 1378080 1219335 ) ( 1380000 * )
NEW met3 ( 1380000 1219150 ) ( * 1219335 )
NEW met3 ( 209760 1219150 ) ( 1378080 * )
NEW met3 ( 1485600 1219150 ) ( * 1219335 )
NEW met3 ( 1485600 1219335 ) ( 1487520 * )
NEW met3 ( 1487520 1219150 ) ( * 1219335 )
NEW met3 ( 1380000 1219150 ) ( 1485600 * )
NEW met3 ( 1487520 1219150 ) ( 3372000 * )
NEW met2 ( 199440 626410 ) via2_FR
NEW met1 ( 199440 626595 ) M1M2_PR
NEW met1 ( 214800 626595 ) M1M2_PR
NEW met2 ( 210000 1589150 ) via2_FR
NEW met3 ( 209760 1589150 ) M3M4_PR_M
NEW met2 ( 209040 2022050 ) via2_FR
NEW met3 ( 208800 2022050 ) M3M4_PR_M
NEW met1 ( 2447760 5011465 ) M1M2_PR
NEW met1 ( 2599440 5011465 ) M1M2_PR
NEW met2 ( 210960 3955670 ) via2_FR
NEW met3 ( 213600 3955670 ) M3M4_PR_M
NEW met3 ( 208800 3955670 ) M3M4_PR_M
NEW met1 ( 413040 4967805 ) M1M2_PR
NEW met1 ( 669840 4967805 ) M1M2_PR
NEW met1 ( 1183920 4968175 ) M1M2_PR
NEW met1 ( 1440720 4967065 ) M1M2_PR
NEW met1 ( 2599440 4975575 ) M1M2_PR
NEW met2 ( 309360 4809630 ) via2_FR
NEW met1 ( 719760 5011465 ) M1M2_PR
NEW met1 ( 878640 5011465 ) M1M2_PR
NEW met2 ( 3377040 1000110 ) via2_FR
NEW met3 ( 3376800 1000110 ) M3M4_PR_M
NEW met2 ( 3377040 1223590 ) via2_FR
NEW met3 ( 3372000 1223590 ) M3M4_PR_M
NEW met3 ( 3376800 1223590 ) M3M4_PR_M
NEW met3 ( 3372000 1219150 ) M3M4_PR_M
NEW met2 ( 3375600 1424130 ) via2_FR
NEW met3 ( 3372000 1424130 ) M3M4_PR_M
NEW met3 ( 3376800 1450030 ) M3M4_PR_M
NEW met2 ( 3376560 1450030 ) via2_FR
NEW met2 ( 3376080 1647610 ) via2_FR
NEW met3 ( 3376800 1647610 ) M3M4_PR_M
NEW met3 ( 3376800 1674990 ) M3M4_PR_M
NEW met2 ( 3376560 1674990 ) via2_FR
NEW met2 ( 3372720 4837010 ) via2_FR
NEW met3 ( 3378720 4837010 ) M3M4_PR_M
NEW met1 ( 309360 4967805 ) M1M2_PR
NEW met1 ( 719760 4967805 ) M1M2_PR
NEW met1 ( 926160 4978535 ) M1M2_PR
NEW met1 ( 878640 4978535 ) M1M2_PR
NEW met1 ( 927120 4968175 ) M1M2_PR
NEW met1 ( 3162000 4918595 ) M1M2_PR
NEW met1 ( 3162000 4968545 ) M1M2_PR
NEW met3 ( 3376800 550190 ) M3M4_PR_M
NEW met2 ( 3377040 550190 ) via2_FR
NEW met2 ( 3375600 965330 ) via2_FR
NEW met3 ( 3376800 965330 ) M3M4_PR_M
NEW met1 ( 3377520 3915525 ) M1M2_PR
NEW met1 ( 3375600 3915525 ) M1M2_PR
NEW met1 ( 3365040 3915525 ) M1M2_PR
NEW met3 ( 3378720 4379690 ) M3M4_PR_M
NEW met2 ( 3376560 4379690 ) via2_FR
NEW met2 ( 3378480 4805190 ) via2_FR
NEW met3 ( 3378720 4805190 ) M3M4_PR_M
NEW met1 ( 3372720 4918595 ) M1M2_PR
NEW met2 ( 210000 941650 ) via2_FR
NEW met3 ( 209760 941650 ) M3M4_PR_M
NEW met2 ( 214800 941650 ) via2_FR
NEW met2 ( 210000 1155510 ) via2_FR
NEW met3 ( 209760 1155510 ) M3M4_PR_M
NEW met3 ( 209760 1219150 ) M3M4_PR_M
NEW met2 ( 215760 1219150 ) via2_FR
NEW met2 ( 210960 1373810 ) via2_FR
NEW met3 ( 212640 1373810 ) M3M4_PR_M
NEW met3 ( 212640 1427830 ) M3M4_PR_M
NEW met3 ( 209760 1427830 ) M3M4_PR_M
NEW met2 ( 215760 1373810 ) via2_FR
NEW met2 ( 210000 1803010 ) via2_FR
NEW met3 ( 213600 1803010 ) M3M4_PR_M
NEW met3 ( 208800 1803010 ) M3M4_PR_M
NEW met2 ( 210960 4802230 ) via2_FR
NEW met3 ( 213600 4802230 ) M3M4_PR_M
NEW met3 ( 213600 4809630 ) M3M4_PR_M
NEW met2 ( 3376560 775890 ) via2_FR
NEW met3 ( 3376800 775890 ) M3M4_PR_M
NEW met1 ( 3367440 2819585 ) M1M2_PR
NEW met1 ( 3376080 2819585 ) M1M2_PR
NEW met1 ( 3376080 2789245 ) M1M2_PR
NEW met1 ( 3377040 2789245 ) M1M2_PR
NEW met1 ( 3377520 3010505 ) M1M2_PR
NEW met1 ( 3367440 3010505 ) M1M2_PR
NEW met1 ( 3372720 3010505 ) M1M2_PR
NEW met1 ( 3377040 3235465 ) M1M2_PR
NEW met1 ( 3372720 3235465 ) M1M2_PR
NEW met1 ( 3376080 3235465 ) M1M2_PR
NEW met2 ( 209520 3305210 ) via2_FR
NEW met3 ( 209760 3305210 ) M3M4_PR_M
NEW met2 ( 209040 3520550 ) via2_FR
NEW met3 ( 208800 3520550 ) M3M4_PR_M
NEW met2 ( 209040 3735890 ) via2_FR
NEW met3 ( 207840 3735890 ) M3M4_PR_M
NEW met1 ( 2447760 4967065 ) M1M2_PR
NEW met3 ( 3376800 1872570 ) M3M4_PR_M
NEW met2 ( 3375600 1872570 ) via2_FR
NEW met1 ( 3366000 3268765 ) M1M2_PR
NEW met1 ( 3376080 3268765 ) M1M2_PR
NEW met1 ( 3366000 3499275 ) M1M2_PR
NEW met1 ( 3364080 3499275 ) M1M2_PR
NEW met1 ( 3377520 3464865 ) M1M2_PR
NEW met1 ( 3366000 3464865 ) M1M2_PR
NEW met1 ( 3377520 3690565 ) M1M2_PR
NEW met1 ( 3375600 3690565 ) M1M2_PR
NEW met1 ( 3375600 3729785 ) M1M2_PR
NEW met1 ( 3365040 3729785 ) M1M2_PR
NEW met1 ( 3364080 3690565 ) M1M2_PR
NEW met1 ( 2663760 4975575 ) M1M2_PR
NEW met1 ( 2663760 4968545 ) M1M2_PR
NEW met1 ( 2653680 4975575 ) M1M2_PR
NEW met2 ( 209040 2658450 ) via2_FR
NEW met3 ( 208800 2658450 ) M3M4_PR_M
NEW met2 ( 210000 3088390 ) via2_FR
NEW met3 ( 212640 3088390 ) M3M4_PR_M
NEW met3 ( 212640 3025490 ) M3M4_PR_M
NEW met3 ( 212640 3024750 ) M3M4_PR_M
NEW met3 ( 209760 3088390 ) M3M4_PR_M
NEW met3 ( 208800 3377730 ) M3M4_PR_M
NEW met2 ( 208560 3377730 ) via2_FR
NEW met2 ( 208560 3354790 ) via2_FR
NEW met3 ( 209760 3352570 ) M3M4_PR_M
NEW met3 ( 207840 3578270 ) M3M4_PR_M
NEW met3 ( 212640 3578270 ) M3M4_PR_M
NEW met3 ( 212640 3555330 ) M3M4_PR_M
NEW met3 ( 208800 3555330 ) M3M4_PR_M
NEW met1 ( 1641360 4967805 ) M1M2_PR
NEW met1 ( 1642320 4967065 ) M1M2_PR
NEW met1 ( 1814640 4966695 ) M1M2_PR
NEW met2 ( 1814640 4966510 ) via2_FR
NEW met2 ( 1914960 4966510 ) via2_FR
NEW met1 ( 1914960 4966695 ) M1M2_PR
NEW met1 ( 2044560 4967065 ) M1M2_PR
NEW met1 ( 2045040 4967435 ) M1M2_PR
NEW met1 ( 2246160 4967435 ) M1M2_PR
NEW met1 ( 2247120 4967065 ) M1M2_PR
NEW met1 ( 1742160 4967435 ) M1M2_PR
NEW met1 ( 1742640 4967065 ) M1M2_PR
NEW met1 ( 1952400 4977055 ) M1M2_PR
NEW met1 ( 2015760 4977055 ) M1M2_PR
NEW met1 ( 2015760 4967065 ) M1M2_PR
NEW met1 ( 1915440 4966325 ) M1M2_PR
NEW met1 ( 1915920 4977055 ) M1M2_PR
NEW met1 ( 2117040 4967805 ) M1M2_PR
NEW met2 ( 2117040 4967990 ) via2_FR
NEW met2 ( 2217360 4967990 ) via2_FR
NEW met1 ( 2217360 4967435 ) M1M2_PR
NEW met1 ( 2395920 4970765 ) M1M2_PR
NEW met1 ( 2318640 4970765 ) M1M2_PR
NEW met1 ( 2318640 4967065 ) M1M2_PR
NEW met1 ( 2395920 4967065 ) M1M2_PR
NEW met2 ( 209040 2872310 ) via2_FR
NEW met3 ( 208800 2872310 ) M3M4_PR_M
NEW met3 ( 208800 2895990 ) M3M4_PR_M
NEW met3 ( 209760 2895990 ) M3M4_PR_M
NEW met3 ( 209760 2944830 ) M3M4_PR_M
NEW met3 ( 213600 2944830 ) M3M4_PR_M
NEW met3 ( 210000 1589150 ) RECT ( 0 -150 380 150 )
NEW met4 ( 209760 1589150 ) RECT ( -150 -800 150 0 )
NEW met3 ( 209040 2022050 ) RECT ( 0 -150 380 150 )
NEW met1 ( 669840 4967805 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1183920 4968175 ) RECT ( -595 -70 0 70 )
NEW met3 ( 3377040 1000110 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3376800 1223590 ) RECT ( -800 -150 0 150 )
NEW met3 ( 3376800 1450030 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3376560 1450030 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3376800 1674990 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3376560 1674990 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3162000 4968545 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3376800 550190 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3378480 4805190 ) RECT ( -380 -150 0 150 )
NEW met4 ( 3378720 4805190 ) RECT ( -150 -800 150 0 )
NEW met3 ( 210000 941650 ) RECT ( 0 -150 380 150 )
NEW met3 ( 210000 1155510 ) RECT ( 0 -150 380 150 )
NEW met3 ( 215760 1219150 ) RECT ( -800 -150 0 150 )
NEW met3 ( 3376560 775890 ) RECT ( -380 -150 0 150 )
NEW met1 ( 3372720 3010505 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3376080 3235465 ) RECT ( -595 -70 0 70 )
NEW met3 ( 209520 3305210 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209040 3520550 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3366000 3464865 ) RECT ( -70 -485 70 0 )
NEW met1 ( 2653680 4975575 ) RECT ( -595 -70 0 70 )
NEW met3 ( 209040 2658450 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 3088390 ) RECT ( -560 -150 0 150 )
NEW met3 ( 208800 3377730 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 2872310 ) RECT ( 0 -150 380 150 ) ;
- caravel_clk ( storage mgmt_clk ) ( soc core_clk ) ( mgmt_buffers caravel_clk ) + USE SIGNAL
+ ROUTED met1 ( 722160 372405 ) ( 741840 * )
NEW met2 ( 722160 363710 ) ( * 372405 )
NEW met3 ( 704160 363710 0 ) ( 722160 * )
NEW met2 ( 741840 372405 ) ( * 1187885 )
NEW met2 ( 1035120 1218410 ) ( 1035600 * )
NEW met2 ( 1035600 1188995 ) ( * 1218410 )
NEW met2 ( 1035120 1218410 ) ( * 1246530 )
NEW met3 ( 1059360 1246530 ) ( * 1249480 0 )
NEW met3 ( 1035120 1246530 ) ( 1059360 * )
NEW met2 ( 806640 1187145 ) ( * 1187885 )
NEW met1 ( 806640 1187145 ) ( 856560 * )
NEW met2 ( 856560 1187145 ) ( * 1188995 )
NEW met1 ( 741840 1187885 ) ( 806640 * )
NEW met2 ( 907440 1188995 ) ( * 1189735 )
NEW met1 ( 907440 1189735 ) ( 1007760 * )
NEW met2 ( 1007760 1188995 ) ( * 1189735 )
NEW met1 ( 856560 1188995 ) ( 907440 * )
NEW met2 ( 1110000 1167350 ) ( 1111200 * 0 )
NEW met2 ( 1110000 1167350 ) ( * 1188995 )
NEW met1 ( 1007760 1188995 ) ( 1110000 * )
NEW met1 ( 741840 372405 ) M1M2_PR
NEW met1 ( 722160 372405 ) M1M2_PR
NEW met2 ( 722160 363710 ) via2_FR
NEW met1 ( 741840 1187885 ) M1M2_PR
NEW met1 ( 1035600 1188995 ) M1M2_PR
NEW met2 ( 1035120 1246530 ) via2_FR
NEW met1 ( 806640 1187885 ) M1M2_PR
NEW met1 ( 806640 1187145 ) M1M2_PR
NEW met1 ( 856560 1187145 ) M1M2_PR
NEW met1 ( 856560 1188995 ) M1M2_PR
NEW met1 ( 907440 1188995 ) M1M2_PR
NEW met1 ( 907440 1189735 ) M1M2_PR
NEW met1 ( 1007760 1189735 ) M1M2_PR
NEW met1 ( 1007760 1188995 ) M1M2_PR
NEW met1 ( 1110000 1188995 ) M1M2_PR
NEW met1 ( 1035600 1188995 ) RECT ( -595 -70 0 70 ) ;
- caravel_clk2 ( soc user_clk ) ( mgmt_buffers caravel_clk2 ) + USE SIGNAL
+ ROUTED met2 ( 1438320 1197690 ) ( * 1231175 )
NEW met2 ( 1529520 1187515 ) ( * 1191585 )
NEW met1 ( 1469040 1187515 ) ( 1529520 * )
NEW met2 ( 1469040 1187515 ) ( * 1197690 )
NEW met3 ( 1438320 1197690 ) ( 1469040 * )
NEW met2 ( 1926480 1167350 0 ) ( * 1191585 )
NEW met2 ( 1338960 1230805 ) ( * 1231545 )
NEW met1 ( 1338960 1231545 ) ( 1389360 * )
NEW met1 ( 1389360 1231175 ) ( * 1231545 )
NEW met1 ( 1389360 1231175 ) ( 1438320 * )
NEW met2 ( 1662480 1191585 ) ( 1663920 * )
NEW met1 ( 1529520 1191585 ) ( 1662480 * )
NEW met1 ( 1663920 1191585 ) ( 1926480 * )
NEW met3 ( 1060320 1276870 ) ( * 1279400 0 )
NEW met3 ( 1060080 1276870 ) ( 1060320 * )
NEW met2 ( 1060080 1230805 ) ( * 1276870 )
NEW met1 ( 1060080 1230805 ) ( 1338960 * )
NEW met2 ( 1438320 1197690 ) via2_FR
NEW met1 ( 1438320 1231175 ) M1M2_PR
NEW met1 ( 1529520 1191585 ) M1M2_PR
NEW met1 ( 1529520 1187515 ) M1M2_PR
NEW met1 ( 1469040 1187515 ) M1M2_PR
NEW met2 ( 1469040 1197690 ) via2_FR
NEW met1 ( 1926480 1191585 ) M1M2_PR
NEW met1 ( 1338960 1230805 ) M1M2_PR
NEW met1 ( 1338960 1231545 ) M1M2_PR
NEW met1 ( 1662480 1191585 ) M1M2_PR
NEW met1 ( 1663920 1191585 ) M1M2_PR
NEW met2 ( 1060080 1276870 ) via2_FR
NEW met1 ( 1060080 1230805 ) M1M2_PR ;
- caravel_rstn ( soc core_rstn ) ( mgmt_buffers caravel_rstn ) + USE SIGNAL
+ ROUTED met2 ( 1437840 1167350 ) ( 1438800 * 0 )
NEW met2 ( 1437840 1167350 ) ( * 1214525 )
NEW met1 ( 1340880 1214525 ) ( 1437840 * )
NEW met2 ( 1340880 1214525 ) ( * 1219335 )
NEW met2 ( 1036080 1219335 ) ( * 1306470 )
NEW met1 ( 1036080 1219335 ) ( 1340880 * )
NEW met3 ( 1059360 1306470 ) ( * 1309060 0 )
NEW met3 ( 1036080 1306470 ) ( 1059360 * )
NEW met1 ( 1036080 1219335 ) M1M2_PR
NEW met1 ( 1437840 1214525 ) M1M2_PR
NEW met1 ( 1340880 1214525 ) M1M2_PR
NEW met1 ( 1340880 1219335 ) M1M2_PR
NEW met2 ( 1036080 1306470 ) via2_FR ;
- clock_core ( soc clock ) ( padframe clock_core ) + USE SIGNAL
+ ROUTED met4 ( 949920 203130 ) ( * 209050 )
NEW met3 ( 938160 209050 ) ( 949920 * )
NEW met2 ( 936720 209050 0 ) ( 938160 * )
NEW met3 ( 949920 203130 ) ( 1055280 * )
NEW met2 ( 1055280 203130 ) ( * 268250 0 )
NEW met3 ( 949920 203130 ) M3M4_PR_M
NEW met3 ( 949920 209050 ) M3M4_PR_M
NEW met2 ( 938160 209050 ) via2_FR
NEW met2 ( 1055280 203130 ) via2_FR ;
- flash_clk_core ( soc flash_clk ) ( padframe flash_clk_core ) + USE SIGNAL
+ ROUTED met2 ( 1808880 210530 0 ) ( * 224775 )
NEW met1 ( 1057200 224775 ) ( 1808880 * )
NEW met2 ( 1056960 268250 0 ) ( 1057200 * )
NEW met2 ( 1057200 224775 ) ( * 268250 )
NEW met1 ( 1808880 224775 ) M1M2_PR
NEW met1 ( 1057200 224775 ) M1M2_PR ;
- flash_clk_ieb_core ( soc flash_clk_ieb ) ( padframe flash_clk_ieb_core ) + USE SIGNAL
+ ROUTED met2 ( 1756560 245310 ) ( 1757040 * )
NEW met2 ( 1756560 245310 ) ( * 267695 )
NEW met2 ( 1785840 210530 ) ( 1787280 * 0 )
NEW met2 ( 1785840 210530 ) ( * 215710 )
NEW met3 ( 1757040 215710 ) ( 1785840 * )
NEW met2 ( 1757040 215710 ) ( * 245310 )
NEW met1 ( 1095120 266955 ) ( * 267695 )
NEW met1 ( 1095120 267695 ) ( 1756560 * )
NEW met3 ( 1053600 271210 ) ( * 273800 0 )
NEW met3 ( 1053360 271210 ) ( 1053600 * )
NEW met2 ( 1053360 266955 ) ( * 271210 )
NEW met1 ( 1053360 266955 ) ( 1095120 * )
NEW met1 ( 1756560 267695 ) M1M2_PR
NEW met2 ( 1785840 215710 ) via2_FR
NEW met2 ( 1757040 215710 ) via2_FR
NEW met2 ( 1053360 271210 ) via2_FR
NEW met1 ( 1053360 266955 ) M1M2_PR ;
- flash_clk_oeb_core ( soc flash_clk_oeb ) ( padframe flash_clk_oeb_core ) + USE SIGNAL
+ ROUTED met2 ( 1823280 210530 ) ( 1824720 * 0 )
NEW met3 ( 1814160 210530 ) ( 1823280 * )
NEW met2 ( 1814160 210530 ) ( * 224035 )
NEW met1 ( 1058640 224035 ) ( 1814160 * )
NEW met2 ( 1058640 268250 ) ( 1058880 * 0 )
NEW met2 ( 1058640 224035 ) ( * 268250 )
NEW met2 ( 1823280 210530 ) via2_FR
NEW met2 ( 1814160 210530 ) via2_FR
NEW met1 ( 1814160 224035 ) M1M2_PR
NEW met1 ( 1058640 224035 ) M1M2_PR ;
- flash_csb_core ( soc flash_csb ) ( padframe flash_csb_core ) + USE SIGNAL
+ ROUTED met2 ( 1533840 210530 ) ( 1535040 * 0 )
NEW met2 ( 1309680 269545 ) ( * 269730 )
NEW met2 ( 1309680 269730 ) ( 1310160 * )
NEW met2 ( 1310160 269175 ) ( * 269730 )
NEW met2 ( 1411920 210530 ) ( * 268435 )
NEW met3 ( 1411920 210530 ) ( 1533840 * )
NEW met1 ( 1109040 268065 ) ( * 268435 )
NEW met1 ( 1109040 268065 ) ( 1158960 * )
NEW met2 ( 1158960 268065 ) ( * 269175 )
NEW met1 ( 1158960 269175 ) ( 1209360 * )
NEW met1 ( 1209360 269175 ) ( * 269545 )
NEW met1 ( 1209360 269545 ) ( 1309680 * )
NEW met1 ( 1310640 268805 ) ( * 269175 )
NEW met1 ( 1310640 268805 ) ( 1311120 * )
NEW met1 ( 1311120 268805 ) ( * 269545 )
NEW met1 ( 1311120 269545 ) ( 1410960 * )
NEW met2 ( 1410960 268435 ) ( * 269545 )
NEW met1 ( 1310160 269175 ) ( 1310640 * )
NEW met2 ( 1410960 268435 ) ( 1411920 * )
NEW met3 ( 1050960 276390 ) ( 1052640 * 0 )
NEW met2 ( 1050960 268435 ) ( * 276390 )
NEW met1 ( 1050960 268435 ) ( 1109040 * )
NEW met2 ( 1533840 210530 ) via2_FR
NEW met1 ( 1309680 269545 ) M1M2_PR
NEW met1 ( 1310160 269175 ) M1M2_PR
NEW met2 ( 1411920 210530 ) via2_FR
NEW met1 ( 1158960 268065 ) M1M2_PR
NEW met1 ( 1158960 269175 ) M1M2_PR
NEW met1 ( 1410960 269545 ) M1M2_PR
NEW met2 ( 1050960 276390 ) via2_FR
NEW met1 ( 1050960 268435 ) M1M2_PR ;
- flash_csb_ieb_core ( soc flash_csb_ieb ) ( padframe flash_csb_ieb_core ) + USE SIGNAL
+ ROUTED met2 ( 1512240 210530 ) ( 1513440 * 0 )
NEW met2 ( 1512240 210530 ) ( * 215710 )
NEW met3 ( 1486320 215710 ) ( 1512240 * )
NEW met2 ( 1486320 215710 ) ( * 225145 )
NEW met1 ( 1060560 225145 ) ( 1486320 * )
NEW met2 ( 1060560 225145 ) ( * 268250 0 )
NEW met1 ( 1060560 225145 ) M1M2_PR
NEW met2 ( 1512240 215710 ) via2_FR
NEW met2 ( 1486320 215710 ) via2_FR
NEW met1 ( 1486320 225145 ) M1M2_PR ;
- flash_csb_oeb_core ( soc flash_csb_oeb ) ( padframe flash_csb_oeb_core ) + USE SIGNAL
+ ROUTED met2 ( 1550640 210530 0 ) ( * 223295 )
NEW met1 ( 1062480 223295 ) ( 1550640 * )
NEW met2 ( 1062480 223295 ) ( * 268250 0 )
NEW met1 ( 1550640 223295 ) M1M2_PR
NEW met1 ( 1062480 223295 ) M1M2_PR ;
- flash_io0_di_core ( soc flash_io0_di ) ( padframe flash_io0_di_core ) + USE SIGNAL
+ ROUTED met2 ( 2027760 210530 0 ) ( * 211455 )
NEW met1 ( 1034640 211455 ) ( 2027760 * )
NEW met3 ( 1034640 279350 ) ( 1052640 * 0 )
NEW met2 ( 1034640 211455 ) ( * 279350 )
NEW met1 ( 2027760 211455 ) M1M2_PR
NEW met1 ( 1034640 211455 ) M1M2_PR
NEW met2 ( 1034640 279350 ) via2_FR ;
- flash_io0_do_core ( soc flash_io0_do ) ( padframe flash_io0_do_core ) + USE SIGNAL
+ ROUTED met2 ( 2082960 210530 0 ) ( * 223850 )
NEW met3 ( 1064400 223850 ) ( 2082960 * )
NEW met2 ( 1064400 223850 ) ( * 268250 0 )
NEW met2 ( 1064400 223850 ) via2_FR
NEW met2 ( 2082960 223850 ) via2_FR ;
- flash_io0_ieb_core ( soc flash_io0_ieb ) ( padframe flash_io0_ieb_core ) + USE SIGNAL
+ ROUTED met2 ( 2022000 187035 ) ( * 207755 )
NEW met2 ( 1944240 201465 ) ( * 226995 )
NEW met1 ( 1944240 201465 ) ( 1973040 * )
NEW met2 ( 1973040 187035 ) ( * 201465 )
NEW met1 ( 1973040 187035 ) ( 2022000 * )
NEW met1 ( 1922160 226995 ) ( 1944240 * )
NEW met1 ( 2046960 207755 ) ( * 208930 0 )
NEW met1 ( 2022000 207755 ) ( 2046960 * )
NEW met2 ( 1096080 267325 ) ( * 268805 )
NEW met2 ( 1922160 226995 ) ( * 267325 )
NEW met1 ( 1096080 267325 ) ( 1922160 * )
NEW met3 ( 1050000 281940 ) ( 1052640 * 0 )
NEW met2 ( 1050000 268805 ) ( * 281940 )
NEW met1 ( 1050000 268805 ) ( 1096080 * )
NEW met1 ( 2022000 187035 ) M1M2_PR
NEW met1 ( 2022000 207755 ) M1M2_PR
NEW met1 ( 1944240 226995 ) M1M2_PR
NEW met1 ( 1944240 201465 ) M1M2_PR
NEW met1 ( 1973040 201465 ) M1M2_PR
NEW met1 ( 1973040 187035 ) M1M2_PR
NEW met1 ( 1922160 226995 ) M1M2_PR
NEW met1 ( 1096080 268805 ) M1M2_PR
NEW met1 ( 1096080 267325 ) M1M2_PR
NEW met1 ( 1922160 267325 ) M1M2_PR
NEW met2 ( 1050000 281940 ) via2_FR
NEW met1 ( 1050000 268805 ) M1M2_PR ;
- flash_io0_oeb_core ( soc flash_io0_oeb ) ( padframe flash_io0_oeb_core ) + USE SIGNAL
+ ROUTED met2 ( 2055600 222370 ) ( * 226070 )
NEW met2 ( 2055510 222370 ) ( 2055600 * )
NEW met2 ( 2055510 220890 0 ) ( * 222370 )
NEW met3 ( 1066320 226070 ) ( 2055600 * )
NEW met2 ( 1066320 226070 ) ( * 268250 0 )
NEW met2 ( 1066320 226070 ) via2_FR
NEW met2 ( 2055600 226070 ) via2_FR ;
- flash_io1_di_core ( soc flash_io1_di ) ( padframe flash_io1_di_core ) + USE SIGNAL
+ ROUTED met2 ( 2300400 210530 ) ( 2301840 * 0 )
NEW met2 ( 2300400 210530 ) ( * 211085 )
NEW met1 ( 1068240 211085 ) ( 2300400 * )
NEW met2 ( 1068000 268250 0 ) ( 1068240 * )
NEW met2 ( 1068240 211085 ) ( * 268250 )
NEW met1 ( 1068240 211085 ) M1M2_PR
NEW met1 ( 2300400 211085 ) M1M2_PR ;
- flash_io1_do_core ( soc flash_io1_do ) ( padframe flash_io1_do_core ) + USE SIGNAL
+ ROUTED met2 ( 2355600 210530 ) ( 2357040 * 0 )
NEW met3 ( 2355360 210530 ) ( 2355600 * )
NEW met4 ( 2355360 210530 ) ( * 245310 )
NEW met1 ( 1097040 271395 ) ( * 271765 )
NEW met2 ( 1097040 266955 ) ( * 271395 )
NEW met2 ( 2337360 245310 ) ( * 266955 )
NEW met3 ( 2337360 245310 ) ( 2355360 * )
NEW met1 ( 1097040 266955 ) ( 2337360 * )
NEW met3 ( 1051440 284530 ) ( 1052640 * 0 )
NEW met2 ( 1051440 271765 ) ( * 284530 )
NEW met1 ( 1051440 271765 ) ( 1097040 * )
NEW met3 ( 2355360 245310 ) M3M4_PR_M
NEW met2 ( 2355600 210530 ) via2_FR
NEW met3 ( 2355360 210530 ) M3M4_PR_M
NEW met1 ( 1097040 271395 ) M1M2_PR
NEW met1 ( 1097040 266955 ) M1M2_PR
NEW met2 ( 2337360 245310 ) via2_FR
NEW met1 ( 2337360 266955 ) M1M2_PR
NEW met2 ( 1051440 284530 ) via2_FR
NEW met1 ( 1051440 271765 ) M1M2_PR
NEW met3 ( 2355600 210530 ) RECT ( 0 -150 380 150 ) ;
- flash_io1_ieb_core ( soc flash_io1_ieb ) ( padframe flash_io1_ieb_core ) + USE SIGNAL
+ ROUTED met2 ( 2278800 215710 ) ( * 224405 )
NEW met3 ( 2278800 215710 ) ( 2320080 * )
NEW met2 ( 2320080 210530 0 ) ( * 215710 )
NEW met2 ( 1069680 224405 ) ( * 268250 )
NEW met2 ( 1069680 268250 ) ( 1069920 * 0 )
NEW met1 ( 1069680 224405 ) ( 2278800 * )
NEW met1 ( 2278800 224405 ) M1M2_PR
NEW met2 ( 2278800 215710 ) via2_FR
NEW met2 ( 2320080 215710 ) via2_FR
NEW met1 ( 1069680 224405 ) M1M2_PR ;
- flash_io1_oeb_core ( soc flash_io1_oeb ) ( padframe flash_io1_oeb_core ) + USE SIGNAL
+ ROUTED met2 ( 2329200 220890 ) ( * 230325 )
NEW met2 ( 2329200 220890 ) ( 2329210 * 0 )
NEW met1 ( 1035120 230325 ) ( 2329200 * )
NEW met3 ( 1035120 287490 ) ( 1052640 * 0 )
NEW met2 ( 1035120 230325 ) ( * 287490 )
NEW met1 ( 1035120 230325 ) M1M2_PR
NEW met1 ( 2329200 230325 ) M1M2_PR
NEW met2 ( 1035120 287490 ) via2_FR ;
- gpio_in_core ( soc gpio_in_pad ) ( padframe gpio_in_core ) + USE SIGNAL
+ ROUTED met2 ( 2574480 210530 ) ( 2575680 * 0 )
NEW met2 ( 2574480 210530 ) ( * 210715 )
NEW met1 ( 1071600 210715 ) ( 2574480 * )
NEW met2 ( 1071600 210715 ) ( * 268250 0 )
NEW met1 ( 2574480 210715 ) M1M2_PR
NEW met1 ( 1071600 210715 ) M1M2_PR ;
- gpio_inenb_core ( soc gpio_inenb_pad ) ( padframe gpio_inenb_core ) + USE SIGNAL
+ ROUTED met4 ( 2606880 216450 ) ( * 225330 )
NEW met3 ( 2606880 216450 ) ( 2608080 * )
NEW met2 ( 2608080 210530 ) ( * 216450 )
NEW met2 ( 2608080 210530 ) ( 2609280 * 0 )
NEW met3 ( 1073520 225330 ) ( 2606880 * )
NEW met2 ( 1073520 225330 ) ( * 268250 0 )
NEW met3 ( 2606880 225330 ) M3M4_PR_M
NEW met3 ( 2606880 216450 ) M3M4_PR_M
NEW met2 ( 2608080 216450 ) via2_FR
NEW met2 ( 1073520 225330 ) via2_FR ;
- gpio_mode0_core ( soc gpio_mode0_pad ) ( padframe gpio_mode0_core ) + USE SIGNAL
+ ROUTED met2 ( 2601840 210530 ) ( 2603280 * 0 )
NEW met2 ( 2601840 210530 ) ( * 215895 )
NEW met1 ( 2601840 215895 ) ( * 216635 )
NEW met1 ( 2535600 216635 ) ( 2601840 * )
NEW met2 ( 1107120 266585 ) ( * 269175 )
NEW met2 ( 2505840 244385 ) ( * 266585 )
NEW met1 ( 2505840 244385 ) ( 2535600 * )
NEW met2 ( 2535600 216635 ) ( * 244385 )
NEW met1 ( 1107120 266585 ) ( 2505840 * )
NEW met3 ( 1051440 290080 ) ( 1052640 * 0 )
NEW met2 ( 1051440 290080 ) ( 1051920 * )
NEW met2 ( 1051920 269175 ) ( * 290080 )
NEW met1 ( 1051920 269175 ) ( 1107120 * )
NEW met1 ( 2601840 215895 ) M1M2_PR
NEW met1 ( 2535600 216635 ) M1M2_PR
NEW met1 ( 1107120 269175 ) M1M2_PR
NEW met1 ( 1107120 266585 ) M1M2_PR
NEW met1 ( 2505840 266585 ) M1M2_PR
NEW met1 ( 2505840 244385 ) M1M2_PR
NEW met1 ( 2535600 244385 ) M1M2_PR
NEW met2 ( 1051440 290080 ) via2_FR
NEW met1 ( 1051920 269175 ) M1M2_PR ;
- gpio_mode1_core ( soc gpio_mode1_pad ) ( padframe gpio_mode1_core ) + USE SIGNAL
+ ROUTED met2 ( 2593680 216265 ) ( * 216450 )
NEW met2 ( 2593680 216450 ) ( 2594170 * 0 )
NEW met1 ( 1075440 216265 ) ( 2593680 * )
NEW met2 ( 1075440 216265 ) ( * 268250 0 )
NEW met1 ( 2593680 216265 ) M1M2_PR
NEW met1 ( 1075440 216265 ) M1M2_PR ;
- gpio_out_core ( soc gpio_out_pad ) ( padframe gpio_out_core ) + USE SIGNAL
+ ROUTED met1 ( 1338960 265475 ) ( * 265845 )
NEW met2 ( 1085040 265105 ) ( * 269730 )
NEW met2 ( 1259760 264735 ) ( * 265845 )
NEW met1 ( 1259760 265845 ) ( 1310160 * )
NEW met1 ( 1310160 265475 ) ( * 265845 )
NEW met1 ( 1310160 265475 ) ( 1338960 * )
NEW met1 ( 1461360 265845 ) ( * 266215 )
NEW met1 ( 1461360 266215 ) ( 1462320 * )
NEW met1 ( 1462320 265845 ) ( * 266215 )
NEW met1 ( 1462320 265845 ) ( 1511760 * )
NEW met1 ( 1511760 265475 ) ( * 265845 )
NEW met1 ( 1338960 265845 ) ( 1461360 * )
NEW met1 ( 2246160 265845 ) ( * 266215 )
NEW met1 ( 2246160 265845 ) ( 2246640 * )
NEW met1 ( 2246640 265845 ) ( * 266215 )
NEW met1 ( 2246640 266215 ) ( 2318160 * )
NEW met1 ( 2318160 265845 ) ( * 266215 )
NEW met2 ( 2629680 210530 ) ( 2630880 * 0 )
NEW met2 ( 2629680 210530 ) ( * 245125 )
NEW met1 ( 1209360 264735 ) ( * 265105 )
NEW met1 ( 1085040 265105 ) ( 1209360 * )
NEW met1 ( 1209360 264735 ) ( 1259760 * )
NEW met1 ( 1512240 265105 ) ( * 265475 )
NEW met1 ( 1511760 265475 ) ( 1512240 * )
NEW met1 ( 2145840 265105 ) ( * 266215 )
NEW met1 ( 2145840 266215 ) ( 2246160 * )
NEW met2 ( 2346960 265845 ) ( * 266955 )
NEW met1 ( 2318160 265845 ) ( 2346960 * )
NEW met2 ( 2548560 245125 ) ( * 265845 )
NEW met1 ( 2548560 245125 ) ( 2629680 * )
NEW met2 ( 2505360 265845 ) ( * 266955 )
NEW met1 ( 2346960 266955 ) ( 2505360 * )
NEW met1 ( 2505360 265845 ) ( 2548560 * )
NEW met2 ( 1578480 265105 ) ( * 266215 )
NEW met1 ( 1512240 265105 ) ( 1578480 * )
NEW met2 ( 1842960 266030 ) ( * 266215 )
NEW met1 ( 1578480 266215 ) ( 1842960 * )
NEW met2 ( 1944240 266030 ) ( * 266215 )
NEW met2 ( 1843440 265845 ) ( * 266030 )
NEW met1 ( 1843440 265845 ) ( 1943760 * )
NEW met2 ( 1943760 265845 ) ( * 266030 )
NEW met2 ( 1842960 266030 ) ( 1843440 * )
NEW met2 ( 1943760 266030 ) ( 1944240 * )
NEW met2 ( 2045040 266215 ) ( * 266770 )
NEW met2 ( 2045040 266770 ) ( 2045520 * )
NEW met2 ( 2045520 265105 ) ( * 266770 )
NEW met1 ( 1944240 266215 ) ( 2045040 * )
NEW met1 ( 2045520 265105 ) ( 2145840 * )
NEW met3 ( 1055520 291190 ) ( * 292670 0 )
NEW met4 ( 1055520 269730 ) ( * 291190 )
NEW met3 ( 1055520 269730 ) ( 1085040 * )
NEW met2 ( 1085040 269730 ) via2_FR
NEW met1 ( 1085040 265105 ) M1M2_PR
NEW met1 ( 1259760 264735 ) M1M2_PR
NEW met1 ( 1259760 265845 ) M1M2_PR
NEW met1 ( 2629680 245125 ) M1M2_PR
NEW met1 ( 2346960 265845 ) M1M2_PR
NEW met1 ( 2346960 266955 ) M1M2_PR
NEW met1 ( 2548560 265845 ) M1M2_PR
NEW met1 ( 2548560 245125 ) M1M2_PR
NEW met1 ( 2505360 266955 ) M1M2_PR
NEW met1 ( 2505360 265845 ) M1M2_PR
NEW met1 ( 1578480 265105 ) M1M2_PR
NEW met1 ( 1578480 266215 ) M1M2_PR
NEW met1 ( 1842960 266215 ) M1M2_PR
NEW met1 ( 1944240 266215 ) M1M2_PR
NEW met1 ( 1843440 265845 ) M1M2_PR
NEW met1 ( 1943760 265845 ) M1M2_PR
NEW met1 ( 2045040 266215 ) M1M2_PR
NEW met1 ( 2045520 265105 ) M1M2_PR
NEW met3 ( 1055520 291190 ) M3M4_PR_M
NEW met3 ( 1055520 269730 ) M3M4_PR_M ;
- gpio_outenb_core ( soc gpio_outenb_pad ) ( padframe gpio_outenb_core ) + USE SIGNAL
+ ROUTED met4 ( 2635680 216450 ) ( * 224590 )
NEW met3 ( 2635680 216450 ) ( 2646480 * )
NEW met2 ( 2646480 210530 0 ) ( * 216450 )
NEW met3 ( 1077360 224590 ) ( 2635680 * )
NEW met2 ( 1077360 224590 ) ( * 268250 0 )
NEW met3 ( 2635680 224590 ) M3M4_PR_M
NEW met3 ( 2635680 216450 ) M3M4_PR_M
NEW met2 ( 2646480 216450 ) via2_FR
NEW met2 ( 1077360 224590 ) via2_FR ;
- gpio_serial_link\[0\] ( gpio_control_bidir\[1\] serial_data_in ) ( gpio_control_bidir\[0\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3387360 664890 0 ) ( * 667110 )
NEW met3 ( 3387360 886890 ) ( * 888370 0 )
NEW met4 ( 3387360 667110 ) ( * 886890 )
NEW met3 ( 3387360 667110 ) M3M4_PR_M
NEW met3 ( 3387360 886890 ) M3M4_PR_M ;
- gpio_serial_link\[10\] ( gpio_control_in\[11\] serial_data_in ) ( gpio_control_in\[10\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3386400 3130200 0 ) ( * 3132050 )
NEW met3 ( 3386400 3351090 ) ( * 3352570 0 )
NEW met4 ( 3386400 3132050 ) ( * 3351090 )
NEW met3 ( 3386400 3132050 ) M3M4_PR_M
NEW met3 ( 3386400 3351090 ) M3M4_PR_M ;
- gpio_serial_link\[11\] ( gpio_control_in\[12\] serial_data_in ) ( gpio_control_in\[11\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3368880 3355530 ) ( 3373920 * )
NEW met3 ( 3368880 3578270 ) ( 3373920 * 0 )
NEW met3 ( 3373920 3355160 0 ) ( * 3355530 )
NEW met2 ( 3368880 3355530 ) ( * 3578270 )
NEW met2 ( 3368880 3355530 ) via2_FR
NEW met2 ( 3368880 3578270 ) via2_FR ;
- gpio_serial_link\[12\] ( gpio_control_in\[13\] serial_data_in ) ( gpio_control_in\[12\] serial_data_out ) + USE SIGNAL
+ ROUTED met1 ( 3361680 3802305 ) ( 3369360 * )
NEW met2 ( 3369360 3802305 ) ( * 3803230 )
NEW met3 ( 3369360 3803230 ) ( 3373920 * 0 )
NEW met1 ( 3361680 3581415 ) ( 3372240 * )
NEW met2 ( 3372240 3581230 ) ( * 3581415 )
NEW met3 ( 3372240 3581230 ) ( 3373920 * 0 )
NEW met2 ( 3361680 3581415 ) ( * 3802305 )
NEW met1 ( 3361680 3802305 ) M1M2_PR
NEW met1 ( 3369360 3802305 ) M1M2_PR
NEW met2 ( 3369360 3803230 ) via2_FR
NEW met1 ( 3361680 3581415 ) M1M2_PR
NEW met1 ( 3372240 3581415 ) M1M2_PR
NEW met2 ( 3372240 3581230 ) via2_FR ;
- gpio_serial_link\[13\] ( gpio_control_in\[14\] serial_data_in ) ( gpio_control_in\[13\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3369360 3806190 ) ( 3373920 * 0 )
NEW met3 ( 3369360 4695670 ) ( 3373920 * 0 )
NEW met2 ( 3369360 3806190 ) ( * 4695670 )
NEW met2 ( 3369360 3806190 ) via2_FR
NEW met2 ( 3369360 4695670 ) via2_FR ;
- gpio_serial_link\[14\] ( gpio_control_in\[15\] serial_data_in ) ( gpio_control_in\[14\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 3290640 4697705 ) ( * 4932285 )
NEW met2 ( 3372240 4697705 ) ( * 4697890 )
NEW met3 ( 3372240 4697890 ) ( 3373920 * 0 )
NEW met1 ( 3290640 4697705 ) ( 3372240 * )
NEW met3 ( 2803680 4977610 ) ( 2829360 * )
NEW met3 ( 2803680 4977610 ) ( * 4978350 )
NEW met3 ( 2802720 4978350 ) ( 2803680 * )
NEW met3 ( 2802720 4978350 ) ( * 4979090 )
NEW met3 ( 2802720 4979090 ) ( 2803680 * 0 )
NEW met2 ( 2829360 4932285 ) ( * 4977610 )
NEW met1 ( 2829360 4932285 ) ( 3290640 * )
NEW met1 ( 3290640 4697705 ) M1M2_PR
NEW met1 ( 2829360 4932285 ) M1M2_PR
NEW met1 ( 3290640 4932285 ) M1M2_PR
NEW met1 ( 3372240 4697705 ) M1M2_PR
NEW met2 ( 3372240 4697890 ) via2_FR
NEW met2 ( 2829360 4977610 ) via2_FR ;
- gpio_serial_link\[15\] ( gpio_control_in\[16\] serial_data_in ) ( gpio_control_in\[15\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 2592240 4978350 ) ( * 4980755 )
NEW met3 ( 2546400 4978350 ) ( * 4979090 0 )
NEW met3 ( 2546400 4978350 ) ( 2592240 * )
NEW met2 ( 2805360 4980570 ) ( * 4980755 )
NEW met3 ( 2805360 4980570 ) ( 2806080 * 0 )
NEW met1 ( 2592240 4980755 ) ( 2805360 * )
NEW met2 ( 2592240 4978350 ) via2_FR
NEW met1 ( 2592240 4980755 ) M1M2_PR
NEW met1 ( 2805360 4980755 ) M1M2_PR
NEW met2 ( 2805360 4980570 ) via2_FR ;
- gpio_serial_link\[16\] ( gpio_control_in\[17\] serial_data_in ) ( gpio_control_in\[16\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 2548080 4980570 ) ( * 4980755 )
NEW met3 ( 2548080 4980570 ) ( 2549280 * 0 )
NEW met2 ( 2162640 4980570 ) ( * 4980755 )
NEW met3 ( 2161440 4980570 0 ) ( 2162640 * )
NEW met1 ( 2162640 4980755 ) ( 2548080 * )
NEW met1 ( 2548080 4980755 ) M1M2_PR
NEW met2 ( 2548080 4980570 ) via2_FR
NEW met1 ( 2162640 4980755 ) M1M2_PR
NEW met2 ( 2162640 4980570 ) via2_FR ;
- gpio_serial_link\[17\] ( gpio_control_in\[18\] serial_data_in ) ( gpio_control_in\[17\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 1824480 4978350 ) ( * 4979090 0 )
NEW met1 ( 2154960 4980755 ) ( * 4981125 )
NEW met1 ( 2154960 4981125 ) ( 2163120 * )
NEW met2 ( 2163120 4981125 ) ( * 4981310 )
NEW met3 ( 2163120 4981310 ) ( 2164320 * 0 )
NEW met2 ( 1843440 4978350 ) ( * 4980755 )
NEW met3 ( 1824480 4978350 ) ( 1843440 * )
NEW met1 ( 1843440 4980755 ) ( 2154960 * )
NEW met1 ( 2163120 4981125 ) M1M2_PR
NEW met2 ( 2163120 4981310 ) via2_FR
NEW met2 ( 1843440 4978350 ) via2_FR
NEW met1 ( 1843440 4980755 ) M1M2_PR ;
- gpio_serial_link\[18\] ( gpio_control_in\[19\] serial_data_in ) ( gpio_control_in\[18\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 1574160 4988525 ) ( * 4988710 )
NEW met3 ( 1572480 4988710 0 ) ( 1574160 * )
NEW met2 ( 1826160 4988525 ) ( * 4988710 )
NEW met3 ( 1826160 4988710 ) ( 1827360 * 0 )
NEW met1 ( 1574160 4988525 ) ( 1826160 * )
NEW met1 ( 1574160 4988525 ) M1M2_PR
NEW met2 ( 1574160 4988710 ) via2_FR
NEW met1 ( 1826160 4988525 ) M1M2_PR
NEW met2 ( 1826160 4988710 ) via2_FR ;
- gpio_serial_link\[19\] ( gpio_control_in\[20\] serial_data_in ) ( gpio_control_in\[19\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 1574160 4980570 ) ( * 4980755 )
NEW met3 ( 1574160 4980570 ) ( 1574880 * 0 )
NEW met2 ( 1315440 4980570 ) ( * 4980755 )
NEW met3 ( 1314720 4980570 0 ) ( 1315440 * )
NEW met1 ( 1315440 4980755 ) ( 1574160 * )
NEW met1 ( 1574160 4980755 ) M1M2_PR
NEW met2 ( 1574160 4980570 ) via2_FR
NEW met1 ( 1315440 4980755 ) M1M2_PR
NEW met2 ( 1315440 4980570 ) via2_FR ;
- gpio_serial_link\[1\] ( gpio_control_in\[2\] serial_data_in ) ( gpio_control_bidir\[1\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3387360 1111850 ) ( * 1113330 0 )
NEW met3 ( 3387360 891330 0 ) ( * 892070 )
NEW met4 ( 3387360 892070 ) ( * 1111850 )
NEW met3 ( 3387360 1111850 ) M3M4_PR_M
NEW met3 ( 3387360 892070 ) M3M4_PR_M ;
- gpio_serial_link\[20\] ( gpio_control_in\[21\] serial_data_in ) ( gpio_control_in\[20\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 1069200 5015905 ) ( * 5025710 )
NEW met1 ( 1059120 5015905 ) ( 1069200 * )
NEW met2 ( 1059120 5015905 ) ( * 5016090 )
NEW met3 ( 1057440 5016090 0 ) ( 1059120 * )
NEW met2 ( 1094160 5025710 ) ( * 5025895 )
NEW met1 ( 1094160 5025895 ) ( 1113840 * )
NEW met3 ( 1069200 5025710 ) ( 1094160 * )
NEW met2 ( 1113840 4980015 ) ( * 5025895 )
NEW met2 ( 1316400 4979830 ) ( * 4980015 )
NEW met3 ( 1316400 4979830 ) ( 1317120 * 0 )
NEW met1 ( 1113840 4980015 ) ( 1316400 * )
NEW met2 ( 1069200 5025710 ) via2_FR
NEW met1 ( 1069200 5015905 ) M1M2_PR
NEW met1 ( 1059120 5015905 ) M1M2_PR
NEW met2 ( 1059120 5016090 ) via2_FR
NEW met2 ( 1094160 5025710 ) via2_FR
NEW met1 ( 1094160 5025895 ) M1M2_PR
NEW met1 ( 1113840 5025895 ) M1M2_PR
NEW met1 ( 1113840 4980015 ) M1M2_PR
NEW met1 ( 1316400 4980015 ) M1M2_PR
NEW met2 ( 1316400 4979830 ) via2_FR ;
- gpio_serial_link\[21\] ( gpio_control_in\[22\] serial_data_in ) ( gpio_control_in\[21\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 864240 4979645 ) ( * 5026265 )
NEW met2 ( 1059120 4979645 ) ( * 4979830 )
NEW met3 ( 1059120 4979830 ) ( 1060320 * 0 )
NEW met2 ( 821520 5015905 ) ( * 5026265 )
NEW met1 ( 802320 5015905 ) ( 821520 * )
NEW met2 ( 802320 5015905 ) ( * 5016090 )
NEW met3 ( 800160 5016090 0 ) ( 802320 * )
NEW met1 ( 821520 5026265 ) ( 864240 * )
NEW met1 ( 864240 4979645 ) ( 1059120 * )
NEW met1 ( 864240 5026265 ) M1M2_PR
NEW met1 ( 864240 4979645 ) M1M2_PR
NEW met1 ( 1059120 4979645 ) M1M2_PR
NEW met2 ( 1059120 4979830 ) via2_FR
NEW met1 ( 821520 5026265 ) M1M2_PR
NEW met1 ( 821520 5015905 ) M1M2_PR
NEW met1 ( 802320 5015905 ) M1M2_PR
NEW met2 ( 802320 5016090 ) via2_FR ;
- gpio_serial_link\[22\] ( gpio_control_in\[23\] serial_data_in ) ( gpio_control_in\[22\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 545040 4979830 ) ( * 4980015 )
NEW met3 ( 543360 4979830 0 ) ( 545040 * )
NEW met2 ( 802320 4979830 ) ( * 4980015 )
NEW met3 ( 802320 4979830 ) ( 803040 * 0 )
NEW met1 ( 545040 4980015 ) ( 802320 * )
NEW met1 ( 545040 4980015 ) M1M2_PR
NEW met2 ( 545040 4979830 ) via2_FR
NEW met1 ( 802320 4980015 ) M1M2_PR
NEW met2 ( 802320 4979830 ) via2_FR ;
- gpio_serial_link\[23\] ( gpio_control_in\[24\] serial_data_in ) ( gpio_control_in\[23\] serial_data_out ) + USE SIGNAL
+ ROUTED met2 ( 237840 4713615 ) ( * 4933395 )
NEW met2 ( 546000 4933395 ) ( * 4977610 )
NEW met3 ( 546000 4977610 ) ( 546240 * )
NEW met3 ( 546240 4977610 ) ( * 4979090 0 )
NEW met1 ( 237840 4933395 ) ( 546000 * )
NEW met3 ( 212640 4713430 0 ) ( 213360 * )
NEW met2 ( 213360 4713430 ) ( * 4713615 )
NEW met1 ( 213360 4713615 ) ( 237840 * )
NEW met1 ( 237840 4713615 ) M1M2_PR
NEW met1 ( 237840 4933395 ) M1M2_PR
NEW met1 ( 546000 4933395 ) M1M2_PR
NEW met2 ( 546000 4977610 ) via2_FR
NEW met2 ( 213360 4713430 ) via2_FR
NEW met1 ( 213360 4713615 ) M1M2_PR ;
- gpio_serial_link\[24\] ( gpio_control_in\[25\] serial_data_in ) ( gpio_control_in\[24\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 201840 4085910 ) ( 202080 * )
NEW met3 ( 202080 4084430 0 ) ( * 4085910 )
NEW met3 ( 201840 4714910 ) ( 202080 * )
NEW met3 ( 202080 4714910 ) ( * 4716390 0 )
NEW met2 ( 201840 4085910 ) ( * 4714910 )
NEW met2 ( 201840 4085910 ) via2_FR
NEW met2 ( 201840 4714910 ) via2_FR ;
- gpio_serial_link\[25\] ( gpio_control_in\[26\] serial_data_in ) ( gpio_control_in\[25\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 3868350 0 ) ( 216240 * )
NEW met3 ( 212640 4087390 0 ) ( 216240 * )
NEW met2 ( 216240 3868350 ) ( * 4087390 )
NEW met2 ( 216240 3868350 ) via2_FR
NEW met2 ( 216240 4087390 ) via2_FR ;
- gpio_serial_link\[26\] ( gpio_control_in\[27\] serial_data_in ) ( gpio_control_in\[26\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 3871310 0 ) ( 217200 * )
NEW met3 ( 212640 3652270 0 ) ( 217200 * )
NEW met2 ( 217200 3652270 ) ( * 3871310 )
NEW met2 ( 217200 3871310 ) via2_FR
NEW met2 ( 217200 3652270 ) via2_FR ;
- gpio_serial_link\[27\] ( gpio_control_in\[28\] serial_data_in ) ( gpio_control_in\[27\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 3436190 0 ) ( * 3438410 )
NEW met3 ( 212640 3438410 ) ( 217680 * )
NEW met3 ( 212640 3655230 0 ) ( 218640 * )
NEW met2 ( 217680 3506490 ) ( 218640 * )
NEW met2 ( 217680 3438410 ) ( * 3506490 )
NEW met2 ( 218640 3506490 ) ( * 3655230 )
NEW met2 ( 217680 3438410 ) via2_FR
NEW met2 ( 218640 3655230 ) via2_FR ;
- gpio_serial_link\[28\] ( gpio_control_in\[29\] serial_data_in ) ( gpio_control_in\[28\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 3220480 0 ) ( * 3222330 )
NEW met3 ( 212640 3222330 ) ( 216720 * )
NEW met2 ( 216720 3396970 ) ( 217200 * )
NEW met2 ( 217200 3396970 ) ( * 3439150 )
NEW met3 ( 212640 3439150 0 ) ( 217200 * )
NEW met2 ( 216720 3222330 ) ( * 3396970 )
NEW met2 ( 216720 3222330 ) via2_FR
NEW met2 ( 217200 3439150 ) via2_FR ;
- gpio_serial_link\[29\] ( gpio_control_in\[30\] serial_data_in ) ( gpio_control_in\[29\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 3004400 0 ) ( * 3006250 )
NEW met3 ( 212640 3006250 ) ( 220080 * )
NEW met3 ( 212640 3223070 0 ) ( 220080 * )
NEW met2 ( 220080 3006250 ) ( * 3223070 )
NEW met2 ( 220080 3006250 ) via2_FR
NEW met2 ( 220080 3223070 ) via2_FR ;
- gpio_serial_link\[2\] ( gpio_control_in\[3\] serial_data_in ) ( gpio_control_in\[2\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3387360 1116290 0 ) ( * 1117770 )
NEW met3 ( 3387360 1338290 ) ( * 1339400 0 )
NEW met4 ( 3387360 1117770 ) ( * 1338290 )
NEW met3 ( 3387360 1117770 ) M3M4_PR_M
NEW met3 ( 3387360 1338290 ) M3M4_PR_M ;
- gpio_serial_link\[30\] ( gpio_control_in\[31\] serial_data_in ) ( gpio_control_in\[30\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 2788690 0 ) ( * 2790170 )
NEW met3 ( 212640 2790170 ) ( 216240 * )
NEW met3 ( 212640 3006990 0 ) ( 216240 * )
NEW met2 ( 216240 2790170 ) ( * 3006990 )
NEW met2 ( 216240 2790170 ) via2_FR
NEW met2 ( 216240 3006990 ) via2_FR ;
- gpio_serial_link\[31\] ( gpio_control_in\[32\] serial_data_in ) ( gpio_control_in\[31\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 2150440 0 ) ( * 2150810 )
NEW met3 ( 212640 2150810 ) ( 217200 * )
NEW met3 ( 211680 2792390 ) ( 217200 * )
NEW met3 ( 211680 2790910 0 ) ( * 2792390 )
NEW met2 ( 217200 2150810 ) ( * 2792390 )
NEW met2 ( 217200 2150810 ) via2_FR
NEW met2 ( 217200 2792390 ) via2_FR ;
- gpio_serial_link\[32\] ( gpio_control_in\[33\] serial_data_in ) ( gpio_control_in\[32\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 2153030 0 ) ( 216240 * )
NEW met3 ( 212640 1934730 0 ) ( * 1935470 )
NEW met3 ( 212640 1935470 ) ( 216240 * )
NEW met2 ( 216240 1935470 ) ( * 2153030 )
NEW met2 ( 216240 2153030 ) via2_FR
NEW met2 ( 216240 1935470 ) via2_FR ;
- gpio_serial_link\[33\] ( gpio_control_in\[34\] serial_data_in ) ( gpio_control_in\[33\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 1718650 0 ) ( 216240 * )
NEW met2 ( 216240 1890330 ) ( 216720 * )
NEW met2 ( 216720 1890330 ) ( * 1936210 )
NEW met3 ( 212640 1936210 ) ( 216720 * )
NEW met3 ( 212640 1936210 ) ( * 1936950 0 )
NEW met2 ( 216240 1718650 ) ( * 1890330 )
NEW met2 ( 216240 1718650 ) via2_FR
NEW met2 ( 216720 1936210 ) via2_FR ;
- gpio_serial_link\[34\] ( gpio_control_in\[35\] serial_data_in ) ( gpio_control_in\[34\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 1502570 0 ) ( 216240 * )
NEW met2 ( 215760 1717910 ) ( 216240 * )
NEW met2 ( 215760 1717910 ) ( * 1720130 )
NEW met3 ( 212640 1720130 ) ( 215760 * )
NEW met3 ( 212640 1720130 ) ( * 1721240 0 )
NEW met2 ( 216240 1502570 ) ( * 1717910 )
NEW met2 ( 216240 1502570 ) via2_FR
NEW met2 ( 215760 1720130 ) via2_FR ;
- gpio_serial_link\[35\] ( gpio_control_in\[36\] serial_data_in ) ( gpio_control_in\[35\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 1286490 0 ) ( * 1287230 )
NEW met3 ( 212400 1287230 ) ( 212640 * )
NEW met2 ( 212400 1287230 ) ( * 1289265 )
NEW met1 ( 212400 1289265 ) ( 216240 * )
NEW met2 ( 216240 1389350 ) ( 216720 * )
NEW met2 ( 216240 1289265 ) ( * 1389350 )
NEW met3 ( 212640 1504790 ) ( 216720 * )
NEW met3 ( 212640 1504790 ) ( * 1505160 0 )
NEW met2 ( 216720 1389350 ) ( * 1504790 )
NEW met2 ( 212400 1287230 ) via2_FR
NEW met1 ( 212400 1289265 ) M1M2_PR
NEW met1 ( 216240 1289265 ) M1M2_PR
NEW met2 ( 216720 1504790 ) via2_FR ;
- gpio_serial_link\[36\] ( gpio_control_in\[37\] serial_data_in ) ( gpio_control_in\[36\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 211680 1287970 ) ( 216240 * )
NEW met3 ( 211680 1287970 ) ( * 1289450 0 )
NEW met3 ( 212640 1070410 0 ) ( 216240 * )
NEW met2 ( 216240 1070410 ) ( * 1287970 )
NEW met2 ( 216240 1287970 ) via2_FR
NEW met2 ( 216240 1070410 ) via2_FR ;
- gpio_serial_link\[3\] ( gpio_control_in\[4\] serial_data_in ) ( gpio_control_in\[3\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3386400 1341990 0 ) ( * 1343470 )
NEW met3 ( 3386400 1562510 ) ( * 1564730 0 )
NEW met4 ( 3386400 1343470 ) ( * 1562510 )
NEW met3 ( 3386400 1343470 ) M3M4_PR_M
NEW met3 ( 3386400 1562510 ) M3M4_PR_M ;
- gpio_serial_link\[4\] ( gpio_control_in\[5\] serial_data_in ) ( gpio_control_in\[4\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3384480 1788210 ) ( * 1789690 0 )
NEW met3 ( 3384480 1566950 0 ) ( * 1568430 )
NEW met4 ( 3384480 1568430 ) ( * 1788210 )
NEW met3 ( 3384480 1788210 ) M3M4_PR_M
NEW met3 ( 3384480 1568430 ) M3M4_PR_M ;
- gpio_serial_link\[5\] ( gpio_control_in\[6\] serial_data_in ) ( gpio_control_in\[5\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3369360 1791910 ) ( 3373920 * 0 )
NEW met1 ( 3368400 2001885 ) ( 3369360 * )
NEW met2 ( 3368400 2001885 ) ( * 2015390 )
NEW met3 ( 3368400 2015390 ) ( 3373920 * 0 )
NEW met2 ( 3369360 1791910 ) ( * 2001885 )
NEW met2 ( 3369360 1791910 ) via2_FR
NEW met1 ( 3369360 2001885 ) M1M2_PR
NEW met1 ( 3368400 2001885 ) M1M2_PR
NEW met2 ( 3368400 2015390 ) via2_FR ;
- gpio_serial_link\[6\] ( gpio_control_in\[7\] serial_data_in ) ( gpio_control_in\[6\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3389280 2018350 0 ) ( * 2019830 )
NEW met3 ( 3389280 2454950 ) ( * 2456430 0 )
NEW met4 ( 3389280 2019830 ) ( * 2454950 )
NEW met3 ( 3389280 2019830 ) M3M4_PR_M
NEW met3 ( 3389280 2454950 ) M3M4_PR_M ;
- gpio_serial_link\[7\] ( gpio_control_in\[8\] serial_data_in ) ( gpio_control_in\[7\] serial_data_out ) + USE SIGNAL
+ ROUTED met3 ( 3387120 2460870 ) ( 3387360 * )
NEW met3 ( 3387360 2459390 0 ) ( * 2460870 )
NEW met3 ( 3387120 2674730 ) ( 3387360 * )
NEW met3 ( 3387360 2674730 ) ( * 2676210 0 )
NEW met2 ( 3387120 2460870 ) ( * 2674730 )
NEW met2 ( 3387120 2460870 ) via2_FR
NEW met2 ( 3387120 2674730 ) via2_FR ;
- gpio_serial_link\[8\] ( gpio_control_in\[9\] serial_data_in ) ( gpio_control_in\[8\] serial_data_out ) + USE SIGNAL
+ ROUTED met1 ( 3359760 2683795 ) ( 3368880 * )
NEW met2 ( 3368880 2679170 ) ( * 2683795 )
NEW met3 ( 3368880 2679170 ) ( 3373920 * 0 )
NEW met1 ( 3359760 2900245 ) ( 3373200 * )
NEW met2 ( 3373200 2900245 ) ( * 2900430 )
NEW met3 ( 3373200 2900430 ) ( 3373920 * )
NEW met3 ( 3373920 2900430 ) ( * 2901170 0 )
NEW met2 ( 3359760 2683795 ) ( * 2900245 )
NEW met1 ( 3359760 2683795 ) M1M2_PR
NEW met1 ( 3368880 2683795 ) M1M2_PR
NEW met2 ( 3368880 2679170 ) via2_FR
NEW met1 ( 3359760 2900245 ) M1M2_PR
NEW met1 ( 3373200 2900245 ) M1M2_PR
NEW met2 ( 3373200 2900430 ) via2_FR ;
- gpio_serial_link\[9\] ( gpio_control_in\[9\] serial_data_out ) ( gpio_control_in\[10\] serial_data_in ) + USE SIGNAL
+ ROUTED met2 ( 3354960 2904315 ) ( * 3125945 )
NEW met2 ( 3372240 2904130 ) ( * 2904315 )
NEW met3 ( 3372240 2904130 ) ( 3373920 * 0 )
NEW met1 ( 3354960 2904315 ) ( 3372240 * )
NEW met2 ( 3373200 3125945 ) ( * 3126130 )
NEW met3 ( 3373200 3126130 ) ( 3373920 * )
NEW met3 ( 3373920 3126130 ) ( * 3127610 0 )
NEW met1 ( 3354960 3125945 ) ( 3373200 * )
NEW met1 ( 3354960 2904315 ) M1M2_PR
NEW met1 ( 3354960 3125945 ) M1M2_PR
NEW met1 ( 3372240 2904315 ) M1M2_PR
NEW met2 ( 3372240 2904130 ) via2_FR
NEW met1 ( 3373200 3125945 ) M1M2_PR
NEW met2 ( 3373200 3126130 ) via2_FR ;
- gpio_serial_link_shifted\[0\] ( soc mprj_io_loader_data ) ( gpio_control_bidir\[0\] serial_data_in ) + USE SIGNAL
+ ROUTED met2 ( 3372240 662485 ) ( * 662670 )
NEW met3 ( 3372240 662670 ) ( 3373920 * 0 )
NEW met3 ( 3202080 600140 0 ) ( * 601990 )
NEW met3 ( 3202080 601990 ) ( 3238320 * )
NEW met2 ( 3238320 601990 ) ( * 662485 )
NEW met1 ( 3238320 662485 ) ( 3372240 * )
NEW met1 ( 3372240 662485 ) M1M2_PR
NEW met2 ( 3372240 662670 ) via2_FR
NEW met2 ( 3238320 601990 ) via2_FR
NEW met1 ( 3238320 662485 ) M1M2_PR ;
- jtag_out ( soc jtag_out ) ( gpio_control_bidir\[0\] mgmt_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 3384240 606245 ) ( * 614570 )
NEW met3 ( 3384240 614570 ) ( 3384480 * )
NEW met3 ( 3384480 614570 ) ( * 616050 0 )
NEW met3 ( 3202080 605690 0 ) ( 3229200 * )
NEW met2 ( 3229200 605690 ) ( * 606245 )
NEW met1 ( 3229200 606245 ) ( 3384240 * )
NEW met1 ( 3384240 606245 ) M1M2_PR
NEW met2 ( 3384240 614570 ) via2_FR
NEW met2 ( 3229200 605690 ) via2_FR
NEW met1 ( 3229200 606245 ) M1M2_PR ;
- jtag_outenb ( soc jtag_outenb ) ( gpio_control_bidir\[0\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 3384720 605505 ) ( * 611610 )
NEW met3 ( 3384480 611610 ) ( 3384720 * )
NEW met3 ( 3384480 611610 ) ( * 613460 0 )
NEW met3 ( 3202080 602730 0 ) ( * 604210 )
NEW met3 ( 3202080 604210 ) ( 3230160 * )
NEW met2 ( 3230160 604210 ) ( * 605505 )
NEW met1 ( 3230160 605505 ) ( 3384720 * )
NEW met1 ( 3384720 605505 ) M1M2_PR
NEW met2 ( 3384720 611610 ) via2_FR
NEW met2 ( 3230160 604210 ) via2_FR
NEW met1 ( 3230160 605505 ) M1M2_PR ;
- la_data_in_mprj\[0\] ( soc la_input[0] ) ( mgmt_buffers la_data_in_mprj[0] ) + USE SIGNAL
+ ROUTED met1 ( 1408560 1181595 ) ( * 1181965 )
NEW met1 ( 1341840 1181595 ) ( 1408560 * )
NEW met2 ( 1440720 1167350 0 ) ( * 1181965 )
NEW met1 ( 1408560 1181965 ) ( 1440720 * )
NEW met2 ( 1340880 1232470 0 ) ( 1341840 * )
NEW met2 ( 1341840 1181595 ) ( * 1232470 )
NEW met1 ( 1341840 1181595 ) M1M2_PR
NEW met1 ( 1440720 1181965 ) M1M2_PR ;
- la_data_in_mprj\[100\] ( soc la_input[100] ) ( mgmt_buffers la_data_in_mprj[100] ) + USE SIGNAL
+ ROUTED met2 ( 1436880 1167350 0 ) ( * 1182705 )
NEW met2 ( 1557360 1183075 ) ( * 1232470 )
NEW met2 ( 1557360 1232470 ) ( 1558320 * 0 )
NEW met2 ( 1459440 1182705 ) ( 1460400 * )
NEW met2 ( 1460400 1182705 ) ( * 1183075 )
NEW met1 ( 1436880 1182705 ) ( 1459440 * )
NEW met1 ( 1460400 1183075 ) ( 1557360 * )
NEW met1 ( 1436880 1182705 ) M1M2_PR
NEW met1 ( 1557360 1183075 ) M1M2_PR
NEW met1 ( 1459440 1182705 ) M1M2_PR
NEW met1 ( 1460400 1183075 ) M1M2_PR ;
- la_data_in_mprj\[101\] ( soc la_input[101] ) ( mgmt_buffers la_data_in_mprj[101] ) + USE SIGNAL
+ ROUTED met2 ( 1442400 1167350 0 ) ( 1443600 * )
NEW met2 ( 1443600 1167350 ) ( * 1200095 )
NEW met1 ( 1443600 1200095 ) ( 1459440 * )
NEW met2 ( 1459440 1198985 ) ( * 1200095 )
NEW met1 ( 1459440 1198985 ) ( 1469040 * )
NEW met2 ( 1469040 1198985 ) ( * 1200835 )
NEW met1 ( 1469040 1200835 ) ( 1478640 * )
NEW met1 ( 1478640 1200835 ) ( * 1201205 )
NEW met2 ( 1560720 1201205 ) ( * 1232470 0 )
NEW met1 ( 1478640 1201205 ) ( 1560720 * )
NEW met1 ( 1443600 1200095 ) M1M2_PR
NEW met1 ( 1459440 1200095 ) M1M2_PR
NEW met1 ( 1459440 1198985 ) M1M2_PR
NEW met1 ( 1469040 1198985 ) M1M2_PR
NEW met1 ( 1469040 1200835 ) M1M2_PR
NEW met1 ( 1560720 1201205 ) M1M2_PR ;
- la_data_in_mprj\[102\] ( soc la_input[102] ) ( mgmt_buffers la_data_in_mprj[102] ) + USE SIGNAL
+ ROUTED met2 ( 1435200 1167350 0 ) ( 1436400 * )
NEW met2 ( 1436400 1167350 ) ( * 1201575 )
NEW met2 ( 1515600 1201575 ) ( * 1214525 )
NEW met1 ( 1515600 1214525 ) ( 1563120 * )
NEW met2 ( 1563120 1214525 ) ( * 1232470 0 )
NEW met1 ( 1436400 1201575 ) ( 1515600 * )
NEW met1 ( 1436400 1201575 ) M1M2_PR
NEW met1 ( 1515600 1201575 ) M1M2_PR
NEW met1 ( 1515600 1214525 ) M1M2_PR
NEW met1 ( 1563120 1214525 ) M1M2_PR ;
- la_data_in_mprj\[103\] ( soc la_input[103] ) ( mgmt_buffers la_data_in_mprj[103] ) + USE SIGNAL
+ ROUTED met1 ( 1547280 1198245 ) ( * 1198615 )
NEW met1 ( 1547280 1198615 ) ( 1564080 * )
NEW met2 ( 1564080 1198615 ) ( * 1232470 )
NEW met2 ( 1564080 1232470 ) ( 1565280 * 0 )
NEW met2 ( 1444320 1167350 0 ) ( 1445520 * )
NEW met2 ( 1445520 1167350 ) ( * 1181225 )
NEW met1 ( 1445520 1181225 ) ( 1453680 * )
NEW met2 ( 1453680 1181225 ) ( * 1197690 )
NEW met2 ( 1453680 1197690 ) ( 1455120 * )
NEW met2 ( 1455120 1197135 ) ( * 1197690 )
NEW met1 ( 1455120 1197135 ) ( 1539600 * )
NEW met2 ( 1539600 1197135 ) ( * 1198245 )
NEW met1 ( 1539600 1198245 ) ( 1547280 * )
NEW met1 ( 1564080 1198615 ) M1M2_PR
NEW met1 ( 1445520 1181225 ) M1M2_PR
NEW met1 ( 1453680 1181225 ) M1M2_PR
NEW met1 ( 1455120 1197135 ) M1M2_PR
NEW met1 ( 1539600 1197135 ) M1M2_PR
NEW met1 ( 1539600 1198245 ) M1M2_PR ;
- la_data_in_mprj\[104\] ( soc la_input[104] ) ( mgmt_buffers la_data_in_mprj[104] ) + USE SIGNAL
+ ROUTED met2 ( 1433280 1167350 0 ) ( 1434480 * )
NEW met2 ( 1434480 1167350 ) ( * 1209345 )
NEW met2 ( 1488720 1201945 ) ( * 1209345 )
NEW met1 ( 1488720 1201945 ) ( 1566000 * )
NEW met2 ( 1566000 1201945 ) ( * 1232470 )
NEW met2 ( 1566000 1232470 ) ( 1567200 * 0 )
NEW met1 ( 1434480 1209345 ) ( 1488720 * )
NEW met1 ( 1434480 1209345 ) M1M2_PR
NEW met1 ( 1488720 1209345 ) M1M2_PR
NEW met1 ( 1488720 1201945 ) M1M2_PR
NEW met1 ( 1566000 1201945 ) M1M2_PR ;
- la_data_in_mprj\[105\] ( soc la_input[105] ) ( mgmt_buffers la_data_in_mprj[105] ) + USE SIGNAL
+ ROUTED met2 ( 1446240 1167350 0 ) ( 1447440 * )
NEW met2 ( 1447440 1167350 ) ( * 1198985 )
NEW met1 ( 1447440 1198985 ) ( 1458960 * )
NEW met1 ( 1458960 1198985 ) ( * 1199355 )
NEW met2 ( 1569360 1199355 ) ( * 1232470 0 )
NEW met1 ( 1458960 1199355 ) ( 1569360 * )
NEW met1 ( 1447440 1198985 ) M1M2_PR
NEW met1 ( 1569360 1199355 ) M1M2_PR ;
- la_data_in_mprj\[106\] ( soc la_input[106] ) ( mgmt_buffers la_data_in_mprj[106] ) + USE SIGNAL
+ ROUTED met2 ( 1431360 1167350 0 ) ( 1432560 * )
NEW met2 ( 1432560 1167350 ) ( * 1212305 )
NEW met2 ( 1571760 1212675 ) ( * 1232470 0 )
NEW met1 ( 1459440 1212305 ) ( * 1212675 )
NEW met1 ( 1432560 1212305 ) ( 1459440 * )
NEW met1 ( 1459440 1212675 ) ( 1571760 * )
NEW met1 ( 1432560 1212305 ) M1M2_PR
NEW met1 ( 1571760 1212675 ) M1M2_PR ;
- la_data_in_mprj\[107\] ( soc la_input[107] ) ( mgmt_buffers la_data_in_mprj[107] ) + USE SIGNAL
+ ROUTED met2 ( 1447920 1167350 0 ) ( * 1200465 )
NEW met2 ( 1574160 1199725 ) ( * 1232470 0 )
NEW met1 ( 1476720 1199725 ) ( * 1200465 )
NEW met1 ( 1447920 1200465 ) ( 1476720 * )
NEW met1 ( 1476720 1199725 ) ( 1574160 * )
NEW met1 ( 1447920 1200465 ) M1M2_PR
NEW met1 ( 1574160 1199725 ) M1M2_PR ;
- la_data_in_mprj\[108\] ( soc la_input[108] ) ( mgmt_buffers la_data_in_mprj[108] ) + USE SIGNAL
+ ROUTED met2 ( 1574640 1213415 ) ( * 1232470 )
NEW met2 ( 1574640 1232470 ) ( 1575840 * 0 )
NEW met1 ( 1429680 1214155 ) ( 1473840 * )
NEW met1 ( 1473840 1213415 ) ( * 1214155 )
NEW met2 ( 1429680 1167350 0 ) ( * 1214155 )
NEW met1 ( 1473840 1213415 ) ( 1574640 * )
NEW met1 ( 1574640 1213415 ) M1M2_PR
NEW met1 ( 1429680 1214155 ) M1M2_PR ;
- la_data_in_mprj\[109\] ( soc la_input[109] ) ( mgmt_buffers la_data_in_mprj[109] ) + USE SIGNAL
+ ROUTED met2 ( 1577040 1232470 ) ( 1578240 * 0 )
NEW met2 ( 1449840 1167350 0 ) ( * 1181965 )
NEW met1 ( 1537200 1181225 ) ( * 1181965 )
NEW met1 ( 1537200 1181225 ) ( 1577040 * )
NEW met1 ( 1449840 1181965 ) ( 1537200 * )
NEW met2 ( 1577040 1181225 ) ( * 1232470 )
NEW met1 ( 1449840 1181965 ) M1M2_PR
NEW met1 ( 1577040 1181225 ) M1M2_PR ;
- la_data_in_mprj\[10\] ( soc la_input[10] ) ( mgmt_buffers la_data_in_mprj[10] ) + USE SIGNAL
+ ROUTED met2 ( 1427760 1167350 0 ) ( * 1200465 )
NEW met1 ( 1362480 1200465 ) ( 1427760 * )
NEW met2 ( 1362480 1200465 ) ( * 1232470 0 )
NEW met1 ( 1427760 1200465 ) M1M2_PR
NEW met1 ( 1362480 1200465 ) M1M2_PR ;
- la_data_in_mprj\[110\] ( soc la_input[110] ) ( mgmt_buffers la_data_in_mprj[110] ) + USE SIGNAL
+ ROUTED met2 ( 1580400 1196395 ) ( * 1232470 0 )
NEW met2 ( 1451760 1167350 0 ) ( 1452720 * )
NEW met2 ( 1452720 1167350 ) ( * 1196395 )
NEW met1 ( 1452720 1196395 ) ( 1580400 * )
NEW met1 ( 1580400 1196395 ) M1M2_PR
NEW met1 ( 1452720 1196395 ) M1M2_PR ;
- la_data_in_mprj\[111\] ( soc la_input[111] ) ( mgmt_buffers la_data_in_mprj[111] ) + USE SIGNAL
+ ROUTED met2 ( 1425840 1167350 0 ) ( * 1169755 )
NEW met2 ( 1580880 1169755 ) ( * 1232470 )
NEW met2 ( 1580880 1232470 ) ( 1582320 * 0 )
NEW met1 ( 1425840 1169755 ) ( 1580880 * )
NEW met1 ( 1425840 1169755 ) M1M2_PR
NEW met1 ( 1580880 1169755 ) M1M2_PR ;
- la_data_in_mprj\[112\] ( soc la_input[112] ) ( mgmt_buffers la_data_in_mprj[112] ) + USE SIGNAL
+ ROUTED met2 ( 1453440 1167350 0 ) ( 1454640 * )
NEW met3 ( 1454640 1167350 ) ( 1491120 * )
NEW met2 ( 1491120 1167350 ) ( * 1167905 )
NEW met1 ( 1491120 1167905 ) ( 1497360 * )
NEW met1 ( 1497360 1167535 ) ( * 1167905 )
NEW met1 ( 1539600 1167535 ) ( * 1168275 )
NEW met1 ( 1539600 1168275 ) ( 1584720 * )
NEW met1 ( 1497360 1167535 ) ( 1539600 * )
NEW met2 ( 1584720 1168275 ) ( * 1232470 0 )
NEW met2 ( 1454640 1167350 ) via2_FR
NEW met2 ( 1491120 1167350 ) via2_FR
NEW met1 ( 1491120 1167905 ) M1M2_PR
NEW met1 ( 1584720 1168275 ) M1M2_PR ;
- la_data_in_mprj\[113\] ( soc la_input[113] ) ( mgmt_buffers la_data_in_mprj[113] ) + USE SIGNAL
+ ROUTED met2 ( 1424160 1167350 0 ) ( 1424880 * )
NEW met2 ( 1424880 1167350 ) ( * 1215635 )
NEW met2 ( 1585680 1214155 ) ( * 1232470 )
NEW met2 ( 1585680 1232470 ) ( 1586880 * 0 )
NEW met2 ( 1490160 1214155 ) ( * 1215635 )
NEW met1 ( 1424880 1215635 ) ( 1490160 * )
NEW met1 ( 1490160 1214155 ) ( 1585680 * )
NEW met1 ( 1424880 1215635 ) M1M2_PR
NEW met1 ( 1585680 1214155 ) M1M2_PR
NEW met1 ( 1490160 1215635 ) M1M2_PR
NEW met1 ( 1490160 1214155 ) M1M2_PR ;
- la_data_in_mprj\[114\] ( soc la_input[114] ) ( mgmt_buffers la_data_in_mprj[114] ) + USE SIGNAL
+ ROUTED met2 ( 1588080 1187515 ) ( * 1232470 )
NEW met2 ( 1588080 1232470 ) ( 1589280 * 0 )
NEW met2 ( 1530000 1183815 ) ( * 1187515 )
NEW met1 ( 1530000 1187515 ) ( 1588080 * )
NEW met2 ( 1455360 1167350 0 ) ( 1456560 * )
NEW met2 ( 1456560 1167350 ) ( * 1183815 )
NEW met1 ( 1456560 1183815 ) ( 1530000 * )
NEW met1 ( 1588080 1187515 ) M1M2_PR
NEW met1 ( 1530000 1183815 ) M1M2_PR
NEW met1 ( 1530000 1187515 ) M1M2_PR
NEW met1 ( 1456560 1183815 ) M1M2_PR ;
- la_data_in_mprj\[115\] ( soc la_input[115] ) ( mgmt_buffers la_data_in_mprj[115] ) + USE SIGNAL
+ ROUTED met2 ( 1422240 1167350 0 ) ( 1423440 * )
NEW met2 ( 1423440 1167350 ) ( * 1171235 )
NEW met2 ( 1587600 1171235 ) ( * 1212675 )
NEW met1 ( 1587600 1212675 ) ( 1590000 * )
NEW met2 ( 1590000 1212675 ) ( * 1232470 )
NEW met2 ( 1590000 1232470 ) ( 1590960 * 0 )
NEW met1 ( 1423440 1171235 ) ( 1587600 * )
NEW met1 ( 1423440 1171235 ) M1M2_PR
NEW met1 ( 1587600 1171235 ) M1M2_PR
NEW met1 ( 1587600 1212675 ) M1M2_PR
NEW met1 ( 1590000 1212675 ) M1M2_PR ;
- la_data_in_mprj\[116\] ( soc la_input[116] ) ( mgmt_buffers la_data_in_mprj[116] ) + USE SIGNAL
+ ROUTED met2 ( 1588560 1186035 ) ( * 1187515 )
NEW met1 ( 1588560 1187515 ) ( 1592400 * )
NEW met2 ( 1592400 1187515 ) ( * 1232470 )
NEW met2 ( 1592400 1232470 ) ( 1593360 * 0 )
NEW met2 ( 1457280 1167350 0 ) ( 1458480 * )
NEW met2 ( 1458480 1167350 ) ( * 1186035 )
NEW met1 ( 1458480 1186035 ) ( 1588560 * )
NEW met1 ( 1588560 1186035 ) M1M2_PR
NEW met1 ( 1588560 1187515 ) M1M2_PR
NEW met1 ( 1592400 1187515 ) M1M2_PR
NEW met1 ( 1458480 1186035 ) M1M2_PR ;
- la_data_in_mprj\[117\] ( soc la_input[117] ) ( mgmt_buffers la_data_in_mprj[117] ) + USE SIGNAL
+ ROUTED met2 ( 1420320 1167350 0 ) ( 1421520 * )
NEW met2 ( 1421520 1167350 ) ( * 1170865 )
NEW met2 ( 1595760 1170865 ) ( * 1232470 0 )
NEW met1 ( 1421520 1170865 ) ( 1595760 * )
NEW met1 ( 1421520 1170865 ) M1M2_PR
NEW met1 ( 1595760 1170865 ) M1M2_PR ;
- la_data_in_mprj\[118\] ( soc la_input[118] ) ( mgmt_buffers la_data_in_mprj[118] ) + USE SIGNAL
+ ROUTED met2 ( 1596720 1232470 ) ( 1597920 * 0 )
NEW met2 ( 1458960 1167350 0 ) ( * 1184185 )
NEW met1 ( 1540080 1183815 ) ( * 1184185 )
NEW met1 ( 1540080 1183815 ) ( 1596720 * )
NEW met1 ( 1458960 1184185 ) ( 1540080 * )
NEW met2 ( 1596720 1183815 ) ( * 1232470 )
NEW met1 ( 1458960 1184185 ) M1M2_PR
NEW met1 ( 1596720 1183815 ) M1M2_PR ;
- la_data_in_mprj\[119\] ( soc la_input[119] ) ( mgmt_buffers la_data_in_mprj[119] ) + USE SIGNAL
+ ROUTED met2 ( 1418640 1167350 0 ) ( * 1216375 )
NEW met2 ( 1490640 1213785 ) ( * 1216375 )
NEW met1 ( 1418640 1216375 ) ( 1490640 * )
NEW met2 ( 1600560 1213785 ) ( * 1232470 )
NEW met2 ( 1599840 1232470 0 ) ( 1600560 * )
NEW met1 ( 1490640 1213785 ) ( 1600560 * )
NEW met1 ( 1418640 1216375 ) M1M2_PR
NEW met1 ( 1490640 1216375 ) M1M2_PR
NEW met1 ( 1490640 1213785 ) M1M2_PR
NEW met1 ( 1600560 1213785 ) M1M2_PR ;
- la_data_in_mprj\[11\] ( soc la_input[11] ) ( mgmt_buffers la_data_in_mprj[11] ) + USE SIGNAL
+ ROUTED met2 ( 1415280 1197875 ) ( * 1199355 )
NEW met1 ( 1402320 1199355 ) ( 1415280 * )
NEW met2 ( 1402320 1199355 ) ( * 1205275 )
NEW met1 ( 1365840 1205275 ) ( 1402320 * )
NEW met2 ( 1365840 1205275 ) ( * 1232470 )
NEW met2 ( 1364640 1232470 0 ) ( 1365840 * )
NEW met2 ( 1460880 1167350 0 ) ( * 1197875 )
NEW met1 ( 1415280 1197875 ) ( 1460880 * )
NEW met1 ( 1415280 1197875 ) M1M2_PR
NEW met1 ( 1415280 1199355 ) M1M2_PR
NEW met1 ( 1402320 1199355 ) M1M2_PR
NEW met1 ( 1402320 1205275 ) M1M2_PR
NEW met1 ( 1365840 1205275 ) M1M2_PR
NEW met1 ( 1460880 1197875 ) M1M2_PR ;
- la_data_in_mprj\[120\] ( soc la_input[120] ) ( mgmt_buffers la_data_in_mprj[120] ) + USE SIGNAL
+ ROUTED met2 ( 1416720 1167350 0 ) ( * 1191585 )
NEW met1 ( 1416720 1191585 ) ( 1424400 * )
NEW met2 ( 1424400 1191585 ) ( * 1199725 )
NEW met1 ( 1424400 1199725 ) ( 1439280 * )
NEW met2 ( 1602000 1215265 ) ( * 1232470 0 )
NEW met2 ( 1517520 1211935 ) ( * 1215265 )
NEW met1 ( 1517520 1215265 ) ( 1602000 * )
NEW met2 ( 1439280 1211935 ) ( 1439760 * )
NEW met2 ( 1439280 1199725 ) ( * 1211935 )
NEW met1 ( 1439760 1211935 ) ( 1517520 * )
NEW met1 ( 1416720 1191585 ) M1M2_PR
NEW met1 ( 1424400 1191585 ) M1M2_PR
NEW met1 ( 1424400 1199725 ) M1M2_PR
NEW met1 ( 1439280 1199725 ) M1M2_PR
NEW met1 ( 1602000 1215265 ) M1M2_PR
NEW met1 ( 1517520 1211935 ) M1M2_PR
NEW met1 ( 1517520 1215265 ) M1M2_PR
NEW met1 ( 1439760 1211935 ) M1M2_PR ;
- la_data_in_mprj\[121\] ( soc la_input[121] ) ( mgmt_buffers la_data_in_mprj[121] ) + USE SIGNAL
+ ROUTED met2 ( 1602960 1169385 ) ( * 1232470 )
NEW met2 ( 1602960 1232470 ) ( 1604400 * 0 )
NEW met2 ( 1462800 1167350 0 ) ( * 1169385 )
NEW met1 ( 1462800 1169385 ) ( 1602960 * )
NEW met1 ( 1602960 1169385 ) M1M2_PR
NEW met1 ( 1462800 1169385 ) M1M2_PR ;
- la_data_in_mprj\[122\] ( soc la_input[122] ) ( mgmt_buffers la_data_in_mprj[122] ) + USE SIGNAL
+ ROUTED met2 ( 1414800 1167350 0 ) ( 1416240 * )
NEW met2 ( 1416240 1167350 ) ( * 1214895 )
NEW met2 ( 1512240 1214895 ) ( * 1216375 )
NEW met1 ( 1512240 1216375 ) ( 1561200 * )
NEW met2 ( 1561200 1216375 ) ( * 1222295 )
NEW met1 ( 1416240 1214895 ) ( 1512240 * )
NEW met2 ( 1599120 1222295 ) ( 1599600 * )
NEW met1 ( 1599600 1222295 ) ( 1606800 * )
NEW met2 ( 1606800 1222295 ) ( * 1232470 0 )
NEW met1 ( 1561200 1222295 ) ( 1599120 * )
NEW met1 ( 1416240 1214895 ) M1M2_PR
NEW met1 ( 1512240 1214895 ) M1M2_PR
NEW met1 ( 1512240 1216375 ) M1M2_PR
NEW met1 ( 1561200 1216375 ) M1M2_PR
NEW met1 ( 1561200 1222295 ) M1M2_PR
NEW met1 ( 1599120 1222295 ) M1M2_PR
NEW met1 ( 1599600 1222295 ) M1M2_PR
NEW met1 ( 1606800 1222295 ) M1M2_PR ;
- la_data_in_mprj\[123\] ( soc la_input[123] ) ( mgmt_buffers la_data_in_mprj[123] ) + USE SIGNAL
+ ROUTED met2 ( 1607280 1169015 ) ( * 1232470 )
NEW met2 ( 1607280 1232470 ) ( 1608480 * 0 )
NEW met2 ( 1464480 1167350 0 ) ( 1465680 * )
NEW met2 ( 1465680 1167350 ) ( * 1169015 )
NEW met1 ( 1465680 1169015 ) ( 1607280 * )
NEW met1 ( 1607280 1169015 ) M1M2_PR
NEW met1 ( 1465680 1169015 ) M1M2_PR ;
- la_data_in_mprj\[124\] ( soc la_input[124] ) ( mgmt_buffers la_data_in_mprj[124] ) + USE SIGNAL
+ ROUTED met2 ( 1413120 1167350 0 ) ( 1414320 * )
NEW met2 ( 1414320 1167350 ) ( * 1172345 )
NEW met1 ( 1414320 1172345 ) ( 1609680 * )
NEW met2 ( 1609680 1232470 ) ( 1610880 * 0 )
NEW met2 ( 1609680 1172345 ) ( * 1232470 )
NEW met1 ( 1414320 1172345 ) M1M2_PR
NEW met1 ( 1609680 1172345 ) M1M2_PR ;
- la_data_in_mprj\[125\] ( soc la_input[125] ) ( mgmt_buffers la_data_in_mprj[125] ) + USE SIGNAL
+ ROUTED met2 ( 1613040 1213045 ) ( * 1232470 0 )
NEW met2 ( 1466400 1167350 0 ) ( 1467600 * )
NEW met2 ( 1467600 1167350 ) ( * 1213415 )
NEW met1 ( 1467600 1213415 ) ( 1473360 * )
NEW met2 ( 1473360 1213415 ) ( * 1213970 )
NEW met2 ( 1473360 1213970 ) ( 1475280 * )
NEW met2 ( 1475280 1213785 ) ( * 1213970 )
NEW met1 ( 1475280 1213785 ) ( 1489200 * )
NEW met2 ( 1489200 1213230 ) ( * 1213785 )
NEW met2 ( 1489200 1213230 ) ( 1491600 * )
NEW met2 ( 1491600 1213230 ) ( * 1216375 )
NEW met1 ( 1491600 1216375 ) ( 1498320 * )
NEW met2 ( 1498320 1213045 ) ( * 1216375 )
NEW met1 ( 1498320 1213045 ) ( 1613040 * )
NEW met1 ( 1613040 1213045 ) M1M2_PR
NEW met1 ( 1467600 1213415 ) M1M2_PR
NEW met1 ( 1473360 1213415 ) M1M2_PR
NEW met1 ( 1475280 1213785 ) M1M2_PR
NEW met1 ( 1489200 1213785 ) M1M2_PR
NEW met1 ( 1491600 1216375 ) M1M2_PR
NEW met1 ( 1498320 1216375 ) M1M2_PR
NEW met1 ( 1498320 1213045 ) M1M2_PR ;
- la_data_in_mprj\[126\] ( soc la_input[126] ) ( mgmt_buffers la_data_in_mprj[126] ) + USE SIGNAL
+ ROUTED met2 ( 1411200 1167350 0 ) ( 1412400 * )
NEW met2 ( 1412400 1167350 ) ( * 1173085 )
NEW met2 ( 1614000 1173085 ) ( * 1232470 )
NEW met2 ( 1614000 1232470 ) ( 1615440 * 0 )
NEW met1 ( 1412400 1173085 ) ( 1614000 * )
NEW met1 ( 1412400 1173085 ) M1M2_PR
NEW met1 ( 1614000 1173085 ) M1M2_PR ;
- la_data_in_mprj\[127\] ( soc la_input[127] ) ( mgmt_buffers la_data_in_mprj[127] ) + USE SIGNAL
+ ROUTED met2 ( 1617360 1212305 ) ( * 1232470 0 )
NEW met2 ( 1468080 1167350 ) ( 1468320 * 0 )
NEW met2 ( 1468080 1167350 ) ( * 1212305 )
NEW met1 ( 1468080 1212305 ) ( 1617360 * )
NEW met1 ( 1617360 1212305 ) M1M2_PR
NEW met1 ( 1468080 1212305 ) M1M2_PR ;
- la_data_in_mprj\[12\] ( soc la_input[12] ) ( mgmt_buffers la_data_in_mprj[12] ) + USE SIGNAL
+ ROUTED met2 ( 1408080 1167350 ) ( 1409280 * 0 )
NEW met2 ( 1408080 1167350 ) ( * 1181965 )
NEW met1 ( 1367280 1181965 ) ( 1408080 * )
NEW met2 ( 1367040 1230990 ) ( 1367280 * )
NEW met2 ( 1367040 1230990 ) ( * 1232470 0 )
NEW met2 ( 1367280 1181965 ) ( * 1230990 )
NEW met1 ( 1408080 1181965 ) M1M2_PR
NEW met1 ( 1367280 1181965 ) M1M2_PR ;
- la_data_in_mprj\[13\] ( soc la_input[13] ) ( mgmt_buffers la_data_in_mprj[13] ) + USE SIGNAL
+ ROUTED met2 ( 1410000 1197135 ) ( * 1209345 )
NEW met1 ( 1370160 1209345 ) ( 1410000 * )
NEW met2 ( 1370160 1209345 ) ( * 1232470 )
NEW met2 ( 1368960 1232470 0 ) ( 1370160 * )
NEW met2 ( 1470000 1167350 0 ) ( * 1181225 )
NEW met1 ( 1454160 1181225 ) ( 1470000 * )
NEW met2 ( 1454160 1181225 ) ( * 1197135 )
NEW met1 ( 1410000 1197135 ) ( 1454160 * )
NEW met1 ( 1410000 1197135 ) M1M2_PR
NEW met1 ( 1410000 1209345 ) M1M2_PR
NEW met1 ( 1370160 1209345 ) M1M2_PR
NEW met1 ( 1470000 1181225 ) M1M2_PR
NEW met1 ( 1454160 1181225 ) M1M2_PR
NEW met1 ( 1454160 1197135 ) M1M2_PR ;
- la_data_in_mprj\[14\] ( soc la_input[14] ) ( mgmt_buffers la_data_in_mprj[14] ) + USE SIGNAL
+ ROUTED met2 ( 1407600 1167350 0 ) ( * 1198985 )
NEW met1 ( 1371120 1198985 ) ( 1407600 * )
NEW met2 ( 1371120 1198985 ) ( * 1232470 0 )
NEW met1 ( 1407600 1198985 ) M1M2_PR
NEW met1 ( 1371120 1198985 ) M1M2_PR ;
- la_data_in_mprj\[15\] ( soc la_input[15] ) ( mgmt_buffers la_data_in_mprj[15] ) + USE SIGNAL
+ ROUTED met2 ( 1419600 1197505 ) ( * 1201945 )
NEW met1 ( 1373520 1201945 ) ( 1419600 * )
NEW met2 ( 1373520 1201945 ) ( * 1232470 0 )
NEW met2 ( 1471920 1167350 0 ) ( * 1197505 )
NEW met1 ( 1419600 1197505 ) ( 1471920 * )
NEW met1 ( 1419600 1197505 ) M1M2_PR
NEW met1 ( 1419600 1201945 ) M1M2_PR
NEW met1 ( 1373520 1201945 ) M1M2_PR
NEW met1 ( 1471920 1197505 ) M1M2_PR ;
- la_data_in_mprj\[16\] ( soc la_input[16] ) ( mgmt_buffers la_data_in_mprj[16] ) + USE SIGNAL
+ ROUTED met2 ( 1405680 1167350 0 ) ( * 1187515 )
NEW met1 ( 1376880 1187515 ) ( 1405680 * )
NEW met2 ( 1376880 1187515 ) ( * 1232470 )
NEW met2 ( 1375680 1232470 0 ) ( 1376880 * )
NEW met1 ( 1405680 1187515 ) M1M2_PR
NEW met1 ( 1376880 1187515 ) M1M2_PR ;
- la_data_in_mprj\[17\] ( soc la_input[17] ) ( mgmt_buffers la_data_in_mprj[17] ) + USE SIGNAL
+ ROUTED met2 ( 1408560 1198245 ) ( * 1200835 )
NEW met1 ( 1378800 1200835 ) ( 1408560 * )
NEW met2 ( 1378800 1200835 ) ( * 1232470 )
NEW met2 ( 1377600 1232470 0 ) ( 1378800 * )
NEW met2 ( 1473840 1167350 0 ) ( * 1197875 )
NEW met1 ( 1465680 1197875 ) ( 1473840 * )
NEW met1 ( 1465680 1197875 ) ( * 1198245 )
NEW met1 ( 1408560 1198245 ) ( 1465680 * )
NEW met1 ( 1408560 1198245 ) M1M2_PR
NEW met1 ( 1408560 1200835 ) M1M2_PR
NEW met1 ( 1378800 1200835 ) M1M2_PR
NEW met1 ( 1473840 1197875 ) M1M2_PR ;
- la_data_in_mprj\[18\] ( soc la_input[18] ) ( mgmt_buffers la_data_in_mprj[18] ) + USE SIGNAL
+ ROUTED met2 ( 1402800 1167350 ) ( 1403760 * 0 )
NEW met2 ( 1402800 1167350 ) ( * 1198615 )
NEW met2 ( 1379280 1198615 ) ( * 1232470 )
NEW met2 ( 1379280 1232470 ) ( 1380000 * 0 )
NEW met1 ( 1379280 1198615 ) ( 1402800 * )
NEW met1 ( 1402800 1198615 ) M1M2_PR
NEW met1 ( 1379280 1198615 ) M1M2_PR ;
- la_data_in_mprj\[19\] ( soc la_input[19] ) ( mgmt_buffers la_data_in_mprj[19] ) + USE SIGNAL
+ ROUTED met2 ( 1474320 1167350 ) ( 1475520 * 0 )
NEW met2 ( 1474320 1167350 ) ( * 1182335 )
NEW met2 ( 1379760 1182335 ) ( * 1221185 )
NEW met1 ( 1379760 1221185 ) ( 1382160 * )
NEW met2 ( 1382160 1221185 ) ( * 1232470 0 )
NEW met1 ( 1379760 1182335 ) ( 1474320 * )
NEW met1 ( 1474320 1182335 ) M1M2_PR
NEW met1 ( 1379760 1182335 ) M1M2_PR
NEW met1 ( 1379760 1221185 ) M1M2_PR
NEW met1 ( 1382160 1221185 ) M1M2_PR ;
- la_data_in_mprj\[1\] ( soc la_input[1] ) ( mgmt_buffers la_data_in_mprj[1] ) + USE SIGNAL
+ ROUTED met2 ( 1400880 1167350 ) ( 1402080 * 0 )
NEW met2 ( 1400880 1167350 ) ( * 1199355 )
NEW met1 ( 1343760 1199355 ) ( 1400880 * )
NEW met2 ( 1343760 1199355 ) ( * 1232470 )
NEW met2 ( 1342560 1232470 0 ) ( 1343760 * )
NEW met1 ( 1400880 1199355 ) M1M2_PR
NEW met1 ( 1343760 1199355 ) M1M2_PR ;
- la_data_in_mprj\[20\] ( soc la_input[20] ) ( mgmt_buffers la_data_in_mprj[20] ) + USE SIGNAL
+ ROUTED met2 ( 1384080 1184925 ) ( * 1232470 0 )
NEW met2 ( 1476240 1167350 ) ( 1477440 * 0 )
NEW met2 ( 1476240 1167350 ) ( * 1168830 )
NEW met2 ( 1475760 1168830 ) ( 1476240 * )
NEW met2 ( 1475760 1168830 ) ( * 1184925 )
NEW met1 ( 1384080 1184925 ) ( 1475760 * )
NEW met1 ( 1384080 1184925 ) M1M2_PR
NEW met1 ( 1475760 1184925 ) M1M2_PR ;
- la_data_in_mprj\[21\] ( soc la_input[21] ) ( mgmt_buffers la_data_in_mprj[21] ) + USE SIGNAL
+ ROUTED met2 ( 1398960 1167350 ) ( 1400160 * 0 )
NEW met2 ( 1398960 1167350 ) ( * 1184185 )
NEW met1 ( 1386480 1184185 ) ( 1398960 * )
NEW met2 ( 1386480 1184185 ) ( * 1232470 0 )
NEW met1 ( 1398960 1184185 ) M1M2_PR
NEW met1 ( 1386480 1184185 ) M1M2_PR ;
- la_data_in_mprj\[22\] ( soc la_input[22] ) ( mgmt_buffers la_data_in_mprj[22] ) + USE SIGNAL
+ ROUTED met2 ( 1478160 1167350 ) ( 1479360 * 0 )
NEW met2 ( 1478160 1167350 ) ( * 1201205 )
NEW met1 ( 1387440 1201205 ) ( 1478160 * )
NEW met2 ( 1387440 1232470 ) ( 1388640 * 0 )
NEW met2 ( 1387440 1201205 ) ( * 1232470 )
NEW met1 ( 1387440 1201205 ) M1M2_PR
NEW met1 ( 1478160 1201205 ) M1M2_PR ;
- la_data_in_mprj\[23\] ( soc la_input[23] ) ( mgmt_buffers la_data_in_mprj[23] ) + USE SIGNAL
+ ROUTED met2 ( 1397040 1167350 ) ( 1398240 * 0 )
NEW met1 ( 1389360 1214895 ) ( 1397040 * )
NEW met2 ( 1389360 1214525 ) ( * 1214895 )
NEW met2 ( 1388400 1214525 ) ( 1389360 * )
NEW met2 ( 1388400 1214525 ) ( * 1230435 )
NEW met2 ( 1388400 1230435 ) ( 1389360 * )
NEW met2 ( 1389360 1230435 ) ( * 1232470 )
NEW met2 ( 1389360 1232470 ) ( 1391040 * 0 )
NEW met2 ( 1397040 1167350 ) ( * 1214895 )
NEW met1 ( 1397040 1214895 ) M1M2_PR
NEW met1 ( 1389360 1214895 ) M1M2_PR ;
- la_data_in_mprj\[24\] ( soc la_input[24] ) ( mgmt_buffers la_data_in_mprj[24] ) + USE SIGNAL
+ ROUTED met2 ( 1481040 1167350 0 ) ( * 1182335 )
NEW met1 ( 1475280 1182335 ) ( 1481040 * )
NEW met2 ( 1475280 1182335 ) ( * 1185295 )
NEW met1 ( 1394160 1185295 ) ( 1475280 * )
NEW met2 ( 1394160 1213785 ) ( 1394640 * )
NEW met2 ( 1394640 1213785 ) ( * 1233950 )
NEW met2 ( 1392720 1233950 0 ) ( 1394640 * )
NEW met2 ( 1394160 1185295 ) ( * 1213785 )
NEW met1 ( 1394160 1185295 ) M1M2_PR
NEW met1 ( 1481040 1182335 ) M1M2_PR
NEW met1 ( 1475280 1182335 ) M1M2_PR
NEW met1 ( 1475280 1185295 ) M1M2_PR ;
- la_data_in_mprj\[25\] ( soc la_input[25] ) ( mgmt_buffers la_data_in_mprj[25] ) + USE SIGNAL
+ ROUTED met2 ( 1395120 1167350 ) ( 1396560 * 0 )
NEW met2 ( 1395120 1167350 ) ( * 1232470 0 ) ;
- la_data_in_mprj\[26\] ( soc la_input[26] ) ( mgmt_buffers la_data_in_mprj[26] ) + USE SIGNAL
+ ROUTED met2 ( 1410960 1198615 ) ( * 1199725 )
NEW met1 ( 1397520 1199725 ) ( 1410960 * )
NEW met2 ( 1397520 1199725 ) ( * 1232470 0 )
NEW met2 ( 1482960 1167350 0 ) ( * 1198615 )
NEW met1 ( 1410960 1198615 ) ( 1482960 * )
NEW met1 ( 1410960 1198615 ) M1M2_PR
NEW met1 ( 1410960 1199725 ) M1M2_PR
NEW met1 ( 1397520 1199725 ) M1M2_PR
NEW met1 ( 1482960 1198615 ) M1M2_PR ;
- la_data_in_mprj\[27\] ( soc la_input[27] ) ( mgmt_buffers la_data_in_mprj[27] ) + USE SIGNAL
+ ROUTED met2 ( 1394640 1167350 0 ) ( * 1198245 )
NEW met1 ( 1394640 1198245 ) ( 1398480 * )
NEW met2 ( 1398480 1198245 ) ( * 1232470 )
NEW met2 ( 1398480 1232470 ) ( 1399680 * 0 )
NEW met1 ( 1394640 1198245 ) M1M2_PR
NEW met1 ( 1398480 1198245 ) M1M2_PR ;
- la_data_in_mprj\[28\] ( soc la_input[28] ) ( mgmt_buffers la_data_in_mprj[28] ) + USE SIGNAL
+ ROUTED met2 ( 1402800 1205275 ) ( * 1232470 )
NEW met2 ( 1401600 1232470 0 ) ( 1402800 * )
NEW met2 ( 1484880 1167350 0 ) ( * 1185295 )
NEW met1 ( 1476240 1185295 ) ( 1484880 * )
NEW met1 ( 1476240 1185295 ) ( * 1185665 )
NEW met1 ( 1453200 1185665 ) ( 1476240 * )
NEW met2 ( 1453200 1185665 ) ( * 1205275 )
NEW met1 ( 1402800 1205275 ) ( 1453200 * )
NEW met1 ( 1402800 1205275 ) M1M2_PR
NEW met1 ( 1484880 1185295 ) M1M2_PR
NEW met1 ( 1453200 1185665 ) M1M2_PR
NEW met1 ( 1453200 1205275 ) M1M2_PR ;
- la_data_in_mprj\[29\] ( soc la_input[29] ) ( mgmt_buffers la_data_in_mprj[29] ) + USE SIGNAL
+ ROUTED met2 ( 1392720 1167350 0 ) ( * 1194545 )
NEW met1 ( 1392720 1194545 ) ( 1403760 * )
NEW met2 ( 1403760 1194545 ) ( * 1232470 0 )
NEW met1 ( 1392720 1194545 ) M1M2_PR
NEW met1 ( 1403760 1194545 ) M1M2_PR ;
- la_data_in_mprj\[2\] ( soc la_input[2] ) ( mgmt_buffers la_data_in_mprj[2] ) + USE SIGNAL
+ ROUTED met2 ( 1345680 1167905 ) ( * 1232470 )
NEW met2 ( 1344960 1232470 0 ) ( 1345680 * )
NEW met2 ( 1485360 1167350 ) ( 1486560 * 0 )
NEW met2 ( 1485360 1167350 ) ( * 1167905 )
NEW met1 ( 1345680 1167905 ) ( 1485360 * )
NEW met1 ( 1345680 1167905 ) M1M2_PR
NEW met1 ( 1485360 1167905 ) M1M2_PR ;
- la_data_in_mprj\[30\] ( soc la_input[30] ) ( mgmt_buffers la_data_in_mprj[30] ) + USE SIGNAL
+ ROUTED met2 ( 1391040 1167350 0 ) ( 1392240 * )
NEW met2 ( 1392240 1167350 ) ( * 1182705 )
NEW met1 ( 1392240 1182705 ) ( 1406160 * )
NEW met2 ( 1406160 1182705 ) ( * 1232470 0 )
NEW met1 ( 1392240 1182705 ) M1M2_PR
NEW met1 ( 1406160 1182705 ) M1M2_PR ;
- la_data_in_mprj\[31\] ( soc la_input[31] ) ( mgmt_buffers la_data_in_mprj[31] ) + USE SIGNAL
+ ROUTED met2 ( 1408560 1180855 ) ( * 1182890 )
NEW met2 ( 1408080 1182890 ) ( 1408560 * )
NEW met2 ( 1408080 1182890 ) ( * 1232470 )
NEW met2 ( 1408080 1232470 ) ( 1408560 * 0 )
NEW met2 ( 1487280 1167350 ) ( 1488480 * 0 )
NEW met2 ( 1487280 1167350 ) ( * 1180855 )
NEW met1 ( 1408560 1180855 ) ( 1487280 * )
NEW met1 ( 1408560 1180855 ) M1M2_PR
NEW met1 ( 1487280 1180855 ) M1M2_PR ;
- la_data_in_mprj\[32\] ( soc la_input[32] ) ( mgmt_buffers la_data_in_mprj[32] ) + USE SIGNAL
+ ROUTED met2 ( 1389120 1167350 0 ) ( 1390320 * )
NEW met2 ( 1390320 1167350 ) ( * 1197135 )
NEW met1 ( 1390320 1197135 ) ( 1409040 * )
NEW met2 ( 1409040 1197135 ) ( * 1232470 )
NEW met2 ( 1409040 1232470 ) ( 1410240 * 0 )
NEW met1 ( 1390320 1197135 ) M1M2_PR
NEW met1 ( 1409040 1197135 ) M1M2_PR ;
- la_data_in_mprj\[33\] ( soc la_input[33] ) ( mgmt_buffers la_data_in_mprj[33] ) + USE SIGNAL
+ ROUTED met2 ( 1413840 1181595 ) ( * 1232470 )
NEW met2 ( 1412640 1232470 0 ) ( 1413840 * )
NEW met2 ( 1489200 1167350 ) ( 1490400 * 0 )
NEW met2 ( 1489200 1167350 ) ( * 1181595 )
NEW met1 ( 1413840 1181595 ) ( 1489200 * )
NEW met1 ( 1413840 1181595 ) M1M2_PR
NEW met1 ( 1489200 1181595 ) M1M2_PR ;
- la_data_in_mprj\[34\] ( soc la_input[34] ) ( mgmt_buffers la_data_in_mprj[34] ) + USE SIGNAL
+ ROUTED met2 ( 1387200 1167350 0 ) ( 1388400 * )
NEW met2 ( 1388400 1167350 ) ( * 1197875 )
NEW met1 ( 1388400 1197875 ) ( 1414800 * )
NEW met2 ( 1414800 1197875 ) ( * 1232470 0 )
NEW met1 ( 1388400 1197875 ) M1M2_PR
NEW met1 ( 1414800 1197875 ) M1M2_PR ;
- la_data_in_mprj\[35\] ( soc la_input[35] ) ( mgmt_buffers la_data_in_mprj[35] ) + USE SIGNAL
+ ROUTED met2 ( 1417200 1195285 ) ( * 1232470 0 )
NEW met2 ( 1492080 1167350 0 ) ( * 1195285 )
NEW met1 ( 1417200 1195285 ) ( 1492080 * )
NEW met1 ( 1417200 1195285 ) M1M2_PR
NEW met1 ( 1492080 1195285 ) M1M2_PR ;
- la_data_in_mprj\[36\] ( soc la_input[36] ) ( mgmt_buffers la_data_in_mprj[36] ) + USE SIGNAL
+ ROUTED met2 ( 1385520 1167350 0 ) ( * 1197505 )
NEW met1 ( 1385520 1197505 ) ( 1419120 * )
NEW met2 ( 1419120 1197505 ) ( * 1232470 0 )
NEW met1 ( 1385520 1197505 ) M1M2_PR
NEW met1 ( 1419120 1197505 ) M1M2_PR ;
- la_data_in_mprj\[37\] ( soc la_input[37] ) ( mgmt_buffers la_data_in_mprj[37] ) + USE SIGNAL
+ ROUTED met2 ( 1422000 1194545 ) ( * 1232470 )
NEW met2 ( 1421280 1232470 0 ) ( 1422000 * )
NEW met2 ( 1494000 1167350 0 ) ( * 1183445 )
NEW met1 ( 1452240 1183445 ) ( 1494000 * )
NEW met2 ( 1452240 1183445 ) ( * 1185665 )
NEW met1 ( 1441680 1185665 ) ( 1452240 * )
NEW met2 ( 1441680 1185665 ) ( * 1194545 )
NEW met1 ( 1422000 1194545 ) ( 1441680 * )
NEW met1 ( 1422000 1194545 ) M1M2_PR
NEW met1 ( 1494000 1183445 ) M1M2_PR
NEW met1 ( 1452240 1183445 ) M1M2_PR
NEW met1 ( 1452240 1185665 ) M1M2_PR
NEW met1 ( 1441680 1185665 ) M1M2_PR
NEW met1 ( 1441680 1194545 ) M1M2_PR ;
- la_data_in_mprj\[38\] ( soc la_input[38] ) ( mgmt_buffers la_data_in_mprj[38] ) + USE SIGNAL
+ ROUTED met2 ( 1383600 1167350 0 ) ( * 1196765 )
NEW met1 ( 1383600 1196765 ) ( 1422480 * )
NEW met2 ( 1422480 1196765 ) ( * 1232470 )
NEW met2 ( 1422480 1232470 ) ( 1423680 * 0 )
NEW met1 ( 1383600 1196765 ) M1M2_PR
NEW met1 ( 1422480 1196765 ) M1M2_PR ;
- la_data_in_mprj\[39\] ( soc la_input[39] ) ( mgmt_buffers la_data_in_mprj[39] ) + USE SIGNAL
+ ROUTED met2 ( 1425360 1196765 ) ( * 1232470 0 )
NEW met2 ( 1494480 1167350 ) ( 1495920 * 0 )
NEW met2 ( 1494480 1167350 ) ( * 1196765 )
NEW met1 ( 1425360 1196765 ) ( 1494480 * )
NEW met1 ( 1425360 1196765 ) M1M2_PR
NEW met1 ( 1494480 1196765 ) M1M2_PR ;
- la_data_in_mprj\[3\] ( soc la_input[3] ) ( mgmt_buffers la_data_in_mprj[3] ) + USE SIGNAL
+ ROUTED met2 ( 1381680 1167350 0 ) ( * 1197875 )
NEW met1 ( 1347120 1197875 ) ( 1381680 * )
NEW met2 ( 1347120 1197875 ) ( * 1232470 0 )
NEW met1 ( 1381680 1197875 ) M1M2_PR
NEW met1 ( 1347120 1197875 ) M1M2_PR ;
- la_data_in_mprj\[40\] ( soc la_input[40] ) ( mgmt_buffers la_data_in_mprj[40] ) + USE SIGNAL
+ ROUTED met2 ( 1429200 1191585 ) ( * 1232470 )
NEW met2 ( 1427760 1232470 0 ) ( 1429200 * )
NEW met2 ( 1497600 1167350 0 ) ( 1497840 * )
NEW met2 ( 1497840 1167350 ) ( * 1191030 )
NEW met2 ( 1494960 1191030 ) ( 1497840 * )
NEW met2 ( 1494960 1191030 ) ( * 1191585 )
NEW met1 ( 1429200 1191585 ) ( 1494960 * )
NEW met1 ( 1429200 1191585 ) M1M2_PR
NEW met1 ( 1494960 1191585 ) M1M2_PR ;
- la_data_in_mprj\[41\] ( soc la_input[41] ) ( mgmt_buffers la_data_in_mprj[41] ) + USE SIGNAL
+ ROUTED met2 ( 1380000 1167350 0 ) ( 1381200 * )
NEW met2 ( 1381200 1167350 ) ( * 1196395 )
NEW met1 ( 1381200 1196395 ) ( 1430160 * )
NEW met2 ( 1430160 1196395 ) ( * 1232470 0 )
NEW met1 ( 1381200 1196395 ) M1M2_PR
NEW met1 ( 1430160 1196395 ) M1M2_PR ;
- la_data_in_mprj\[42\] ( soc la_input[42] ) ( mgmt_buffers la_data_in_mprj[42] ) + USE SIGNAL
+ ROUTED met2 ( 1432080 1230990 ) ( 1432320 * )
NEW met2 ( 1432320 1230990 ) ( * 1232470 0 )
NEW met2 ( 1432080 1184555 ) ( * 1230990 )
NEW met2 ( 1498320 1167350 ) ( 1499520 * 0 )
NEW met2 ( 1498320 1167350 ) ( * 1184555 )
NEW met1 ( 1432080 1184555 ) ( 1498320 * )
NEW met1 ( 1432080 1184555 ) M1M2_PR
NEW met1 ( 1498320 1184555 ) M1M2_PR ;
- la_data_in_mprj\[43\] ( soc la_input[43] ) ( mgmt_buffers la_data_in_mprj[43] ) + USE SIGNAL
+ ROUTED met2 ( 1378080 1167350 0 ) ( 1379280 * )
NEW met2 ( 1379280 1167350 ) ( * 1187145 )
NEW met1 ( 1379280 1187145 ) ( 1433040 * )
NEW met2 ( 1433040 1232470 ) ( 1434240 * 0 )
NEW met2 ( 1433040 1187145 ) ( * 1232470 )
NEW met1 ( 1379280 1187145 ) M1M2_PR
NEW met1 ( 1433040 1187145 ) M1M2_PR ;
- la_data_in_mprj\[44\] ( soc la_input[44] ) ( mgmt_buffers la_data_in_mprj[44] ) + USE SIGNAL
+ ROUTED met2 ( 1500240 1167350 ) ( 1501440 * 0 )
NEW met2 ( 1500240 1167350 ) ( * 1195655 )
NEW met1 ( 1457040 1195655 ) ( 1500240 * )
NEW met1 ( 1457040 1195655 ) ( * 1196025 )
NEW met1 ( 1436880 1196025 ) ( 1457040 * )
NEW met2 ( 1436400 1232470 0 ) ( 1436880 * )
NEW met2 ( 1436880 1196025 ) ( * 1232470 )
NEW met1 ( 1436880 1196025 ) M1M2_PR
NEW met1 ( 1500240 1195655 ) M1M2_PR ;
- la_data_in_mprj\[45\] ( soc la_input[45] ) ( mgmt_buffers la_data_in_mprj[45] ) + USE SIGNAL
+ ROUTED met2 ( 1376160 1167350 0 ) ( 1377360 * )
NEW met2 ( 1377360 1167350 ) ( * 1200095 )
NEW met1 ( 1377360 1200095 ) ( 1437360 * )
NEW met2 ( 1437360 1232470 ) ( 1438800 * 0 )
NEW met2 ( 1437360 1200095 ) ( * 1232470 )
NEW met1 ( 1377360 1200095 ) M1M2_PR
NEW met1 ( 1437360 1200095 ) M1M2_PR ;
- la_data_in_mprj\[46\] ( soc la_input[46] ) ( mgmt_buffers la_data_in_mprj[46] ) + USE SIGNAL
+ ROUTED met2 ( 1503120 1167350 0 ) ( * 1194545 )
NEW met1 ( 1442160 1194545 ) ( 1503120 * )
NEW met2 ( 1441200 1232470 0 ) ( 1442160 * )
NEW met2 ( 1442160 1194545 ) ( * 1232470 )
NEW met1 ( 1503120 1194545 ) M1M2_PR
NEW met1 ( 1442160 1194545 ) M1M2_PR ;
- la_data_in_mprj\[47\] ( soc la_input[47] ) ( mgmt_buffers la_data_in_mprj[47] ) + USE SIGNAL
+ ROUTED met2 ( 1374480 1167350 0 ) ( * 1169385 )
NEW met1 ( 1374480 1169385 ) ( 1443120 * )
NEW met2 ( 1443120 1169385 ) ( * 1232470 )
NEW met2 ( 1442880 1232470 0 ) ( 1443120 * )
NEW met1 ( 1374480 1169385 ) M1M2_PR
NEW met1 ( 1443120 1169385 ) M1M2_PR ;
- la_data_in_mprj\[48\] ( soc la_input[48] ) ( mgmt_buffers la_data_in_mprj[48] ) + USE SIGNAL
+ ROUTED met2 ( 1505040 1167350 0 ) ( * 1186775 )
NEW met1 ( 1446960 1186775 ) ( 1505040 * )
NEW met2 ( 1446960 1186775 ) ( * 1232470 )
NEW met2 ( 1445280 1232470 0 ) ( 1446960 * )
NEW met1 ( 1505040 1186775 ) M1M2_PR
NEW met1 ( 1446960 1186775 ) M1M2_PR ;
- la_data_in_mprj\[49\] ( soc la_input[49] ) ( mgmt_buffers la_data_in_mprj[49] ) + USE SIGNAL
+ ROUTED met2 ( 1372560 1167350 0 ) ( * 1203795 )
NEW met1 ( 1372560 1203795 ) ( 1409520 * )
NEW met2 ( 1409520 1200835 ) ( * 1203795 )
NEW met2 ( 1447440 1200835 ) ( * 1232470 0 )
NEW met1 ( 1409520 1200835 ) ( 1447440 * )
NEW met1 ( 1372560 1203795 ) M1M2_PR
NEW met1 ( 1409520 1203795 ) M1M2_PR
NEW met1 ( 1409520 1200835 ) M1M2_PR
NEW met1 ( 1447440 1200835 ) M1M2_PR ;
- la_data_in_mprj\[4\] ( soc la_input[4] ) ( mgmt_buffers la_data_in_mprj[4] ) + USE SIGNAL
+ ROUTED met2 ( 1389360 1212490 ) ( * 1212675 )
NEW met2 ( 1387920 1212490 ) ( 1389360 * )
NEW met2 ( 1387920 1212490 ) ( * 1212675 )
NEW met1 ( 1349520 1212675 ) ( 1387920 * )
NEW met2 ( 1349520 1212675 ) ( * 1232470 0 )
NEW met2 ( 1505520 1167350 ) ( 1506960 * 0 )
NEW met2 ( 1505520 1167350 ) ( * 1198615 )
NEW met1 ( 1488240 1198615 ) ( 1505520 * )
NEW met2 ( 1488240 1198615 ) ( * 1214155 )
NEW met1 ( 1475760 1214155 ) ( 1488240 * )
NEW met2 ( 1475760 1214155 ) ( * 1214710 )
NEW met2 ( 1473840 1214710 ) ( 1475760 * )
NEW met2 ( 1473840 1214710 ) ( * 1215265 )
NEW met1 ( 1458960 1215265 ) ( 1473840 * )
NEW met2 ( 1458960 1212675 ) ( * 1215265 )
NEW met1 ( 1389360 1212675 ) ( 1458960 * )
NEW met1 ( 1389360 1212675 ) M1M2_PR
NEW met1 ( 1387920 1212675 ) M1M2_PR
NEW met1 ( 1349520 1212675 ) M1M2_PR
NEW met1 ( 1505520 1198615 ) M1M2_PR
NEW met1 ( 1488240 1198615 ) M1M2_PR
NEW met1 ( 1488240 1214155 ) M1M2_PR
NEW met1 ( 1475760 1214155 ) M1M2_PR
NEW met1 ( 1473840 1215265 ) M1M2_PR
NEW met1 ( 1458960 1215265 ) M1M2_PR
NEW met1 ( 1458960 1212675 ) M1M2_PR ;
- la_data_in_mprj\[50\] ( soc la_input[50] ) ( mgmt_buffers la_data_in_mprj[50] ) + USE SIGNAL
+ ROUTED met2 ( 1370640 1167350 0 ) ( * 1183445 )
NEW met2 ( 1441200 1183445 ) ( * 1184185 )
NEW met1 ( 1441200 1184185 ) ( 1449840 * )
NEW met2 ( 1449840 1184185 ) ( * 1232470 0 )
NEW met1 ( 1370640 1183445 ) ( 1441200 * )
NEW met1 ( 1370640 1183445 ) M1M2_PR
NEW met1 ( 1441200 1183445 ) M1M2_PR
NEW met1 ( 1441200 1184185 ) M1M2_PR
NEW met1 ( 1449840 1184185 ) M1M2_PR ;
- la_data_in_mprj\[51\] ( soc la_input[51] ) ( mgmt_buffers la_data_in_mprj[51] ) + USE SIGNAL
+ ROUTED met2 ( 1507440 1167350 ) ( 1508640 * 0 )
NEW met2 ( 1507440 1167350 ) ( * 1186405 )
NEW met1 ( 1450800 1186405 ) ( 1507440 * )
NEW met2 ( 1450800 1186405 ) ( * 1232470 )
NEW met2 ( 1450800 1232470 ) ( 1451760 * 0 )
NEW met1 ( 1507440 1186405 ) M1M2_PR
NEW met1 ( 1450800 1186405 ) M1M2_PR ;
- la_data_in_mprj\[52\] ( soc la_input[52] ) ( mgmt_buffers la_data_in_mprj[52] ) + USE SIGNAL
+ ROUTED met2 ( 1368960 1167350 0 ) ( 1370160 * )
NEW met2 ( 1370160 1167350 ) ( * 1186035 )
NEW met2 ( 1451280 1200650 ) ( 1452240 * )
NEW met2 ( 1452240 1200650 ) ( * 1232470 )
NEW met2 ( 1452240 1232470 ) ( 1453920 * 0 )
NEW met1 ( 1370160 1186035 ) ( 1451280 * )
NEW met2 ( 1451280 1186035 ) ( * 1200650 )
NEW met1 ( 1370160 1186035 ) M1M2_PR
NEW met1 ( 1451280 1186035 ) M1M2_PR ;
- la_data_in_mprj\[53\] ( soc la_input[53] ) ( mgmt_buffers la_data_in_mprj[53] ) + USE SIGNAL
+ ROUTED met2 ( 1509360 1167350 ) ( 1510560 * 0 )
NEW met2 ( 1509360 1167350 ) ( * 1196025 )
NEW met1 ( 1457520 1196025 ) ( 1509360 * )
NEW met2 ( 1457520 1196025 ) ( * 1232470 )
NEW met2 ( 1456320 1232470 0 ) ( 1457520 * )
NEW met1 ( 1509360 1196025 ) M1M2_PR
NEW met1 ( 1457520 1196025 ) M1M2_PR ;
- la_data_in_mprj\[54\] ( soc la_input[54] ) ( mgmt_buffers la_data_in_mprj[54] ) + USE SIGNAL
+ ROUTED met2 ( 1367040 1167350 0 ) ( 1367760 * )
NEW met2 ( 1367760 1167350 ) ( * 1198245 )
NEW met1 ( 1367760 1198245 ) ( 1391760 * )
NEW met2 ( 1391760 1198245 ) ( * 1201575 )
NEW met1 ( 1391760 1201575 ) ( 1420080 * )
NEW met2 ( 1420080 1199355 ) ( * 1201575 )
NEW met2 ( 1458480 1199355 ) ( * 1232470 0 )
NEW met1 ( 1420080 1199355 ) ( 1458480 * )
NEW met1 ( 1367760 1198245 ) M1M2_PR
NEW met1 ( 1391760 1198245 ) M1M2_PR
NEW met1 ( 1391760 1201575 ) M1M2_PR
NEW met1 ( 1420080 1201575 ) M1M2_PR
NEW met1 ( 1420080 1199355 ) M1M2_PR
NEW met1 ( 1458480 1199355 ) M1M2_PR ;
- la_data_in_mprj\[55\] ( soc la_input[55] ) ( mgmt_buffers la_data_in_mprj[55] ) + USE SIGNAL
+ ROUTED met2 ( 1511280 1167350 ) ( 1512480 * 0 )
NEW met2 ( 1511280 1167350 ) ( * 1187145 )
NEW met1 ( 1470960 1187145 ) ( 1511280 * )
NEW met2 ( 1470960 1187145 ) ( * 1231175 )
NEW met1 ( 1461840 1231175 ) ( 1470960 * )
NEW met2 ( 1461840 1230805 ) ( * 1231175 )
NEW met2 ( 1460880 1230805 ) ( 1461840 * )
NEW met2 ( 1460880 1230805 ) ( * 1232470 )
NEW met2 ( 1460400 1232470 0 ) ( 1460880 * )
NEW met1 ( 1511280 1187145 ) M1M2_PR
NEW met1 ( 1470960 1187145 ) M1M2_PR
NEW met1 ( 1470960 1231175 ) M1M2_PR
NEW met1 ( 1461840 1231175 ) M1M2_PR ;
- la_data_in_mprj\[56\] ( soc la_input[56] ) ( mgmt_buffers la_data_in_mprj[56] ) + USE SIGNAL
+ ROUTED met2 ( 1365120 1167350 0 ) ( 1366320 * )
NEW met2 ( 1366320 1167350 ) ( * 1186405 )
NEW met2 ( 1439280 1186405 ) ( 1440720 * )
NEW met2 ( 1440720 1186405 ) ( * 1187515 )
NEW met1 ( 1366320 1186405 ) ( 1439280 * )
NEW met2 ( 1461840 1187515 ) ( * 1222665 )
NEW met2 ( 1460400 1222665 ) ( 1461840 * )
NEW met2 ( 1460400 1222665 ) ( * 1225070 )
NEW met2 ( 1460400 1225070 ) ( 1462320 * )
NEW met2 ( 1462320 1225070 ) ( * 1232470 )
NEW met2 ( 1462320 1232470 ) ( 1462800 * 0 )
NEW met1 ( 1440720 1187515 ) ( 1461840 * )
NEW met1 ( 1366320 1186405 ) M1M2_PR
NEW met1 ( 1439280 1186405 ) M1M2_PR
NEW met1 ( 1440720 1187515 ) M1M2_PR
NEW met1 ( 1461840 1187515 ) M1M2_PR ;
- la_data_in_mprj\[57\] ( soc la_input[57] ) ( mgmt_buffers la_data_in_mprj[57] ) + USE SIGNAL
+ ROUTED met2 ( 1514160 1167350 0 ) ( * 1198245 )
NEW met1 ( 1466160 1198245 ) ( 1514160 * )
NEW met2 ( 1466160 1198245 ) ( * 1232470 )
NEW met2 ( 1464960 1232470 0 ) ( 1466160 * )
NEW met1 ( 1514160 1198245 ) M1M2_PR
NEW met1 ( 1466160 1198245 ) M1M2_PR ;
- la_data_in_mprj\[58\] ( soc la_input[58] ) ( mgmt_buffers la_data_in_mprj[58] ) + USE SIGNAL
+ ROUTED met2 ( 1363440 1167350 0 ) ( * 1195655 )
NEW met2 ( 1455600 1195655 ) ( * 1200835 )
NEW met1 ( 1455600 1200835 ) ( 1466640 * )
NEW met2 ( 1466640 1200835 ) ( * 1232470 )
NEW met2 ( 1466640 1232470 ) ( 1466880 * 0 )
NEW met1 ( 1363440 1195655 ) ( 1455600 * )
NEW met1 ( 1363440 1195655 ) M1M2_PR
NEW met1 ( 1455600 1195655 ) M1M2_PR
NEW met1 ( 1455600 1200835 ) M1M2_PR
NEW met1 ( 1466640 1200835 ) M1M2_PR ;
- la_data_in_mprj\[59\] ( soc la_input[59] ) ( mgmt_buffers la_data_in_mprj[59] ) + USE SIGNAL
+ ROUTED met2 ( 1516080 1167350 0 ) ( * 1194915 )
NEW met1 ( 1470480 1194915 ) ( 1516080 * )
NEW met2 ( 1470480 1194915 ) ( * 1232470 )
NEW met2 ( 1469040 1232470 0 ) ( 1470480 * )
NEW met1 ( 1516080 1194915 ) M1M2_PR
NEW met1 ( 1470480 1194915 ) M1M2_PR ;
- la_data_in_mprj\[5\] ( soc la_input[5] ) ( mgmt_buffers la_data_in_mprj[5] ) + USE SIGNAL
+ ROUTED met2 ( 1361520 1167350 0 ) ( * 1198245 )
NEW met1 ( 1351440 1198245 ) ( 1361520 * )
NEW met2 ( 1351440 1198245 ) ( * 1232470 0 )
NEW met1 ( 1361520 1198245 ) M1M2_PR
NEW met1 ( 1351440 1198245 ) M1M2_PR ;
- la_data_in_mprj\[60\] ( soc la_input[60] ) ( mgmt_buffers la_data_in_mprj[60] ) + USE SIGNAL
+ ROUTED met2 ( 1518000 1167350 0 ) ( * 1198985 )
NEW met1 ( 1471440 1198985 ) ( 1518000 * )
NEW met2 ( 1471440 1198985 ) ( * 1232470 0 )
NEW met1 ( 1518000 1198985 ) M1M2_PR
NEW met1 ( 1471440 1198985 ) M1M2_PR ;
- la_data_in_mprj\[61\] ( soc la_input[61] ) ( mgmt_buffers la_data_in_mprj[61] ) + USE SIGNAL
+ ROUTED met2 ( 1359600 1167350 0 ) ( * 1183075 )
NEW met1 ( 1441680 1183075 ) ( * 1183445 )
NEW met1 ( 1441680 1183445 ) ( 1443120 * )
NEW met1 ( 1443120 1183445 ) ( * 1183815 )
NEW met1 ( 1443120 1183815 ) ( 1450800 * )
NEW met1 ( 1450800 1183075 ) ( * 1183815 )
NEW met1 ( 1450800 1183075 ) ( 1459920 * )
NEW met1 ( 1459920 1182705 ) ( * 1183075 )
NEW met1 ( 1459920 1182705 ) ( 1472400 * )
NEW met1 ( 1359600 1183075 ) ( 1441680 * )
NEW met2 ( 1472400 1232470 ) ( 1473840 * 0 )
NEW met2 ( 1472400 1182705 ) ( * 1232470 )
NEW met1 ( 1359600 1183075 ) M1M2_PR
NEW met1 ( 1472400 1182705 ) M1M2_PR ;
- la_data_in_mprj\[62\] ( soc la_input[62] ) ( mgmt_buffers la_data_in_mprj[62] ) + USE SIGNAL
+ ROUTED met2 ( 1475760 1200650 ) ( 1476240 * )
NEW met2 ( 1475520 1232470 0 ) ( 1476240 * )
NEW met2 ( 1476240 1200650 ) ( * 1232470 )
NEW met2 ( 1475760 1190290 ) ( 1476240 * )
NEW met2 ( 1476240 1184925 ) ( * 1190290 )
NEW met2 ( 1475760 1190290 ) ( * 1200650 )
NEW met2 ( 1518480 1167350 ) ( 1519680 * 0 )
NEW met2 ( 1518480 1167350 ) ( * 1184925 )
NEW met1 ( 1476240 1184925 ) ( 1518480 * )
NEW met1 ( 1476240 1184925 ) M1M2_PR
NEW met1 ( 1518480 1184925 ) M1M2_PR ;
- la_data_in_mprj\[63\] ( soc la_input[63] ) ( mgmt_buffers la_data_in_mprj[63] ) + USE SIGNAL
+ ROUTED met2 ( 1357920 1167350 0 ) ( 1359120 * )
NEW met2 ( 1359120 1167350 ) ( * 1196025 )
NEW met1 ( 1359120 1196025 ) ( 1430640 * )
NEW met1 ( 1430640 1196025 ) ( * 1196395 )
NEW met2 ( 1452240 1196395 ) ( * 1199725 )
NEW met1 ( 1452240 1199725 ) ( 1476240 * )
NEW met2 ( 1476240 1199725 ) ( 1477200 * )
NEW met2 ( 1477200 1199725 ) ( * 1232470 )
NEW met2 ( 1477200 1232470 ) ( 1477920 * 0 )
NEW met1 ( 1430640 1196395 ) ( 1452240 * )
NEW met1 ( 1359120 1196025 ) M1M2_PR
NEW met1 ( 1452240 1196395 ) M1M2_PR
NEW met1 ( 1452240 1199725 ) M1M2_PR
NEW met1 ( 1476240 1199725 ) M1M2_PR ;
- la_data_in_mprj\[64\] ( soc la_input[64] ) ( mgmt_buffers la_data_in_mprj[64] ) + USE SIGNAL
+ ROUTED met2 ( 1520880 1167350 ) ( 1521600 * 0 )
NEW met2 ( 1520880 1167350 ) ( * 1197875 )
NEW met1 ( 1480080 1197875 ) ( 1520880 * )
NEW met2 ( 1480080 1197875 ) ( * 1232470 0 )
NEW met1 ( 1520880 1197875 ) M1M2_PR
NEW met1 ( 1480080 1197875 ) M1M2_PR ;
- la_data_in_mprj\[65\] ( soc la_input[65] ) ( mgmt_buffers la_data_in_mprj[65] ) + USE SIGNAL
+ ROUTED met2 ( 1356000 1167350 0 ) ( 1357200 * )
NEW met2 ( 1357200 1167350 ) ( * 1181225 )
NEW met2 ( 1445040 1181225 ) ( * 1181410 )
NEW met3 ( 1445040 1181410 ) ( 1470480 * )
NEW met2 ( 1470480 1181225 ) ( * 1181410 )
NEW met1 ( 1470480 1181225 ) ( 1482480 * )
NEW met2 ( 1482480 1181225 ) ( * 1232470 0 )
NEW met1 ( 1357200 1181225 ) ( 1445040 * )
NEW met1 ( 1357200 1181225 ) M1M2_PR
NEW met1 ( 1445040 1181225 ) M1M2_PR
NEW met2 ( 1445040 1181410 ) via2_FR
NEW met2 ( 1470480 1181410 ) via2_FR
NEW met1 ( 1470480 1181225 ) M1M2_PR
NEW met1 ( 1482480 1181225 ) M1M2_PR ;
- la_data_in_mprj\[66\] ( soc la_input[66] ) ( mgmt_buffers la_data_in_mprj[66] ) + USE SIGNAL
+ ROUTED met2 ( 1522320 1167350 ) ( 1523520 * 0 )
NEW met1 ( 1485840 1200835 ) ( 1522320 * )
NEW met2 ( 1485840 1200835 ) ( * 1233210 )
NEW met2 ( 1484400 1233210 0 ) ( 1485840 * )
NEW met2 ( 1522320 1167350 ) ( * 1200835 )
NEW met1 ( 1522320 1200835 ) M1M2_PR
NEW met1 ( 1485840 1200835 ) M1M2_PR ;
- la_data_in_mprj\[67\] ( soc la_input[67] ) ( mgmt_buffers la_data_in_mprj[67] ) + USE SIGNAL
+ ROUTED met2 ( 1354080 1167350 0 ) ( 1355280 * )
NEW met2 ( 1355280 1167350 ) ( * 1197135 )
NEW met1 ( 1355280 1197135 ) ( 1389840 * )
NEW met2 ( 1389840 1197135 ) ( * 1197690 )
NEW met3 ( 1389840 1197690 ) ( 1398960 * )
NEW met2 ( 1398960 1197690 ) ( * 1198245 )
NEW met1 ( 1398960 1198245 ) ( 1408080 * )
NEW met1 ( 1408080 1198245 ) ( * 1198985 )
NEW met1 ( 1408080 1198985 ) ( 1420560 * )
NEW met2 ( 1420560 1198985 ) ( * 1201945 )
NEW met2 ( 1486800 1201945 ) ( * 1232470 )
NEW met2 ( 1486560 1232470 0 ) ( 1486800 * )
NEW met1 ( 1420560 1201945 ) ( 1486800 * )
NEW met1 ( 1355280 1197135 ) M1M2_PR
NEW met1 ( 1389840 1197135 ) M1M2_PR
NEW met2 ( 1389840 1197690 ) via2_FR
NEW met2 ( 1398960 1197690 ) via2_FR
NEW met1 ( 1398960 1198245 ) M1M2_PR
NEW met1 ( 1420560 1198985 ) M1M2_PR
NEW met1 ( 1420560 1201945 ) M1M2_PR
NEW met1 ( 1486800 1201945 ) M1M2_PR ;
- la_data_in_mprj\[68\] ( soc la_input[68] ) ( mgmt_buffers la_data_in_mprj[68] ) + USE SIGNAL
+ ROUTED met2 ( 1525200 1167350 0 ) ( * 1209345 )
NEW met1 ( 1489200 1209345 ) ( 1525200 * )
NEW met2 ( 1488720 1212675 ) ( 1489200 * )
NEW met2 ( 1488720 1212675 ) ( * 1232470 )
NEW met2 ( 1488720 1232470 ) ( 1488960 * 0 )
NEW met2 ( 1489200 1209345 ) ( * 1212675 )
NEW met1 ( 1525200 1209345 ) M1M2_PR
NEW met1 ( 1489200 1209345 ) M1M2_PR ;
- la_data_in_mprj\[69\] ( soc la_input[69] ) ( mgmt_buffers la_data_in_mprj[69] ) + USE SIGNAL
+ ROUTED met2 ( 1352400 1167350 0 ) ( 1353360 * )
NEW met2 ( 1353360 1167350 ) ( * 1168275 )
NEW met1 ( 1353360 1168275 ) ( 1492560 * )
NEW met2 ( 1491120 1232470 0 ) ( 1492560 * )
NEW met2 ( 1492560 1168275 ) ( * 1232470 )
NEW met1 ( 1353360 1168275 ) M1M2_PR
NEW met1 ( 1492560 1168275 ) M1M2_PR ;
- la_data_in_mprj\[6\] ( soc la_input[6] ) ( mgmt_buffers la_data_in_mprj[6] ) + USE SIGNAL
+ ROUTED met2 ( 1354320 1215265 ) ( * 1232470 )
NEW met2 ( 1353600 1232470 0 ) ( 1354320 * )
NEW met2 ( 1526640 1167350 ) ( 1527120 * 0 )
NEW met2 ( 1526640 1167350 ) ( * 1196950 )
NEW met3 ( 1467120 1196950 ) ( 1526640 * )
NEW met2 ( 1467120 1196950 ) ( * 1213415 )
NEW met1 ( 1458000 1213415 ) ( 1467120 * )
NEW met2 ( 1458000 1213415 ) ( * 1215265 )
NEW met1 ( 1354320 1215265 ) ( 1458000 * )
NEW met1 ( 1354320 1215265 ) M1M2_PR
NEW met2 ( 1526640 1196950 ) via2_FR
NEW met2 ( 1467120 1196950 ) via2_FR
NEW met1 ( 1467120 1213415 ) M1M2_PR
NEW met1 ( 1458000 1213415 ) M1M2_PR
NEW met1 ( 1458000 1215265 ) M1M2_PR ;
- la_data_in_mprj\[70\] ( soc la_input[70] ) ( mgmt_buffers la_data_in_mprj[70] ) + USE SIGNAL
+ ROUTED met2 ( 1350480 1167350 0 ) ( * 1168645 )
NEW met1 ( 1350480 1168645 ) ( 1493040 * )
NEW met2 ( 1493040 1168645 ) ( * 1232470 0 )
NEW met1 ( 1350480 1168645 ) M1M2_PR
NEW met1 ( 1493040 1168645 ) M1M2_PR ;
- la_data_in_mprj\[71\] ( soc la_input[71] ) ( mgmt_buffers la_data_in_mprj[71] ) + USE SIGNAL
+ ROUTED met2 ( 1529040 1167350 0 ) ( * 1191585 )
NEW met1 ( 1495440 1191585 ) ( 1529040 * )
NEW met2 ( 1495440 1191585 ) ( * 1232470 0 )
NEW met1 ( 1529040 1191585 ) M1M2_PR
NEW met1 ( 1495440 1191585 ) M1M2_PR ;
- la_data_in_mprj\[72\] ( soc la_input[72] ) ( mgmt_buffers la_data_in_mprj[72] ) + USE SIGNAL
+ ROUTED met2 ( 1348560 1167350 0 ) ( * 1213415 )
NEW met2 ( 1427760 1212305 ) ( * 1213415 )
NEW met1 ( 1427760 1212305 ) ( 1431600 * )
NEW met2 ( 1431600 1212305 ) ( * 1233950 )
NEW met3 ( 1431600 1233950 ) ( 1444560 * )
NEW met2 ( 1444560 1233025 ) ( * 1233950 )
NEW met1 ( 1444560 1233025 ) ( 1489680 * )
NEW met2 ( 1489680 1233025 ) ( * 1233395 )
NEW met2 ( 1489680 1233395 ) ( 1490640 * )
NEW met2 ( 1490640 1231915 ) ( * 1233395 )
NEW met1 ( 1490640 1231915 ) ( 1496400 * )
NEW met2 ( 1496400 1231915 ) ( * 1232470 )
NEW met2 ( 1496400 1232470 ) ( 1497600 * 0 )
NEW met1 ( 1348560 1213415 ) ( 1427760 * )
NEW met1 ( 1348560 1213415 ) M1M2_PR
NEW met1 ( 1427760 1213415 ) M1M2_PR
NEW met1 ( 1427760 1212305 ) M1M2_PR
NEW met1 ( 1431600 1212305 ) M1M2_PR
NEW met2 ( 1431600 1233950 ) via2_FR
NEW met2 ( 1444560 1233950 ) via2_FR
NEW met1 ( 1444560 1233025 ) M1M2_PR
NEW met1 ( 1489680 1233025 ) M1M2_PR
NEW met1 ( 1490640 1231915 ) M1M2_PR
NEW met1 ( 1496400 1231915 ) M1M2_PR ;
- la_data_in_mprj\[73\] ( soc la_input[73] ) ( mgmt_buffers la_data_in_mprj[73] ) + USE SIGNAL
+ ROUTED met2 ( 1529520 1167350 ) ( 1530720 * 0 )
NEW met2 ( 1529520 1167350 ) ( * 1182705 )
NEW met1 ( 1501200 1182705 ) ( 1529520 * )
NEW met2 ( 1501200 1182705 ) ( * 1232470 )
NEW met2 ( 1500000 1232470 0 ) ( 1501200 * )
NEW met1 ( 1529520 1182705 ) M1M2_PR
NEW met1 ( 1501200 1182705 ) M1M2_PR ;
- la_data_in_mprj\[74\] ( soc la_input[74] ) ( mgmt_buffers la_data_in_mprj[74] ) + USE SIGNAL
+ ROUTED met2 ( 1346880 1167350 0 ) ( 1348080 * )
NEW met2 ( 1348080 1167350 ) ( * 1170125 )
NEW met2 ( 1501680 1170125 ) ( * 1232470 0 )
NEW met1 ( 1348080 1170125 ) ( 1501680 * )
NEW met1 ( 1348080 1170125 ) M1M2_PR
NEW met1 ( 1501680 1170125 ) M1M2_PR ;
- la_data_in_mprj\[75\] ( soc la_input[75] ) ( mgmt_buffers la_data_in_mprj[75] ) + USE SIGNAL
+ ROUTED met2 ( 1531440 1167350 ) ( 1532640 * 0 )
NEW met2 ( 1531440 1167350 ) ( * 1194545 )
NEW met1 ( 1504080 1194545 ) ( 1531440 * )
NEW met2 ( 1504080 1194545 ) ( * 1232470 0 )
NEW met1 ( 1531440 1194545 ) M1M2_PR
NEW met1 ( 1504080 1194545 ) M1M2_PR ;
- la_data_in_mprj\[76\] ( soc la_input[76] ) ( mgmt_buffers la_data_in_mprj[76] ) + USE SIGNAL
+ ROUTED met2 ( 1344960 1167350 0 ) ( 1346160 * )
NEW met2 ( 1346160 1167350 ) ( * 1213785 )
NEW met2 ( 1472880 1213785 ) ( * 1231175 )
NEW met1 ( 1472880 1231175 ) ( 1506480 * )
NEW met2 ( 1506480 1231175 ) ( * 1232470 0 )
NEW met1 ( 1346160 1213785 ) ( 1472880 * )
NEW met1 ( 1346160 1213785 ) M1M2_PR
NEW met1 ( 1472880 1213785 ) M1M2_PR
NEW met1 ( 1472880 1231175 ) M1M2_PR
NEW met1 ( 1506480 1231175 ) M1M2_PR ;
- la_data_in_mprj\[77\] ( soc la_input[77] ) ( mgmt_buffers la_data_in_mprj[77] ) + USE SIGNAL
+ ROUTED met2 ( 1533360 1167350 ) ( 1534560 * 0 )
NEW met2 ( 1533360 1167350 ) ( * 1198615 )
NEW met1 ( 1509360 1198615 ) ( 1533360 * )
NEW met2 ( 1509360 1198615 ) ( * 1232470 )
NEW met2 ( 1508160 1232470 0 ) ( 1509360 * )
NEW met1 ( 1533360 1198615 ) M1M2_PR
NEW met1 ( 1509360 1198615 ) M1M2_PR ;
- la_data_in_mprj\[78\] ( soc la_input[78] ) ( mgmt_buffers la_data_in_mprj[78] ) + USE SIGNAL
+ ROUTED met2 ( 1343040 1167350 0 ) ( 1344240 * )
NEW met2 ( 1344240 1167350 ) ( * 1170495 )
NEW met2 ( 1509840 1170495 ) ( * 1232470 )
NEW met2 ( 1509840 1232470 ) ( 1510560 * 0 )
NEW met1 ( 1344240 1170495 ) ( 1509840 * )
NEW met1 ( 1344240 1170495 ) M1M2_PR
NEW met1 ( 1509840 1170495 ) M1M2_PR ;
- la_data_in_mprj\[79\] ( soc la_input[79] ) ( mgmt_buffers la_data_in_mprj[79] ) + USE SIGNAL
+ ROUTED met2 ( 1536240 1167350 0 ) ( * 1196025 )
NEW met1 ( 1512720 1196025 ) ( 1536240 * )
NEW met2 ( 1512720 1196025 ) ( * 1232470 0 )
NEW met1 ( 1536240 1196025 ) M1M2_PR
NEW met1 ( 1512720 1196025 ) M1M2_PR ;
- la_data_in_mprj\[7\] ( soc la_input[7] ) ( mgmt_buffers la_data_in_mprj[7] ) + USE SIGNAL
+ ROUTED met2 ( 1341360 1167350 0 ) ( * 1198615 )
NEW met1 ( 1341360 1198615 ) ( 1354800 * )
NEW met2 ( 1354800 1198615 ) ( * 1232470 )
NEW met2 ( 1354800 1232470 ) ( 1356000 * 0 )
NEW met1 ( 1341360 1198615 ) M1M2_PR
NEW met1 ( 1354800 1198615 ) M1M2_PR ;
- la_data_in_mprj\[80\] ( soc la_input[80] ) ( mgmt_buffers la_data_in_mprj[80] ) + USE SIGNAL
+ ROUTED met2 ( 1538160 1167350 0 ) ( * 1198245 )
NEW met1 ( 1515120 1198245 ) ( 1538160 * )
NEW met2 ( 1515120 1198245 ) ( * 1232470 0 )
NEW met1 ( 1538160 1198245 ) M1M2_PR
NEW met1 ( 1515120 1198245 ) M1M2_PR ;
- la_data_in_mprj\[81\] ( soc la_input[81] ) ( mgmt_buffers la_data_in_mprj[81] ) + USE SIGNAL
+ ROUTED met2 ( 1339440 1167350 0 ) ( * 1212305 )
NEW met1 ( 1339440 1212305 ) ( 1388400 * )
NEW met1 ( 1388400 1212305 ) ( * 1213045 )
NEW met2 ( 1517040 1215265 ) ( * 1232470 0 )
NEW met2 ( 1476720 1213045 ) ( * 1215265 )
NEW met1 ( 1388400 1213045 ) ( 1476720 * )
NEW met1 ( 1476720 1215265 ) ( 1517040 * )
NEW met1 ( 1339440 1212305 ) M1M2_PR
NEW met1 ( 1517040 1215265 ) M1M2_PR
NEW met1 ( 1476720 1213045 ) M1M2_PR
NEW met1 ( 1476720 1215265 ) M1M2_PR ;
- la_data_in_mprj\[82\] ( soc la_input[82] ) ( mgmt_buffers la_data_in_mprj[82] ) + USE SIGNAL
+ ROUTED met2 ( 1540080 1167350 0 ) ( * 1183445 )
NEW met1 ( 1520400 1183445 ) ( 1540080 * )
NEW met2 ( 1520400 1183445 ) ( * 1232470 )
NEW met2 ( 1519200 1232470 0 ) ( 1520400 * )
NEW met1 ( 1540080 1183445 ) M1M2_PR
NEW met1 ( 1520400 1183445 ) M1M2_PR ;
- la_data_in_mprj\[83\] ( soc la_input[83] ) ( mgmt_buffers la_data_in_mprj[83] ) + USE SIGNAL
+ ROUTED met2 ( 1337520 1167350 0 ) ( * 1171975 )
NEW met1 ( 1337520 1171975 ) ( 1521360 * )
NEW met2 ( 1521360 1230990 ) ( 1521600 * )
NEW met2 ( 1521600 1230990 ) ( * 1232470 0 )
NEW met2 ( 1521360 1171975 ) ( * 1230990 )
NEW met1 ( 1337520 1171975 ) M1M2_PR
NEW met1 ( 1521360 1171975 ) M1M2_PR ;
- la_data_in_mprj\[84\] ( soc la_input[84] ) ( mgmt_buffers la_data_in_mprj[84] ) + USE SIGNAL
+ ROUTED met2 ( 1541040 1167350 ) ( 1541760 * 0 )
NEW met2 ( 1541040 1167350 ) ( * 1194915 )
NEW met2 ( 1523760 1194915 ) ( * 1232470 0 )
NEW met1 ( 1523760 1194915 ) ( 1541040 * )
NEW met1 ( 1541040 1194915 ) M1M2_PR
NEW met1 ( 1523760 1194915 ) M1M2_PR ;
- la_data_in_mprj\[85\] ( soc la_input[85] ) ( mgmt_buffers la_data_in_mprj[85] ) + USE SIGNAL
+ ROUTED met2 ( 1335840 1167350 0 ) ( 1337040 * )
NEW met2 ( 1337040 1167350 ) ( * 1216005 )
NEW met1 ( 1490640 1215635 ) ( * 1216005 )
NEW met1 ( 1490640 1215635 ) ( 1525680 * )
NEW met2 ( 1525680 1215635 ) ( * 1232470 0 )
NEW met1 ( 1337040 1216005 ) ( 1490640 * )
NEW met1 ( 1337040 1216005 ) M1M2_PR
NEW met1 ( 1525680 1215635 ) M1M2_PR ;
- la_data_in_mprj\[86\] ( soc la_input[86] ) ( mgmt_buffers la_data_in_mprj[86] ) + USE SIGNAL
+ ROUTED met2 ( 1543680 1167350 0 ) ( * 1168645 )
NEW met1 ( 1528080 1168645 ) ( 1543680 * )
NEW met2 ( 1528080 1168645 ) ( * 1232470 0 )
NEW met1 ( 1543680 1168645 ) M1M2_PR
NEW met1 ( 1528080 1168645 ) M1M2_PR ;
- la_data_in_mprj\[87\] ( soc la_input[87] ) ( mgmt_buffers la_data_in_mprj[87] ) + USE SIGNAL
+ ROUTED met2 ( 1333920 1167350 0 ) ( 1335120 * )
NEW met2 ( 1335120 1167350 ) ( * 1172715 )
NEW met2 ( 1528560 1172715 ) ( * 1232470 )
NEW met2 ( 1528560 1232470 ) ( 1530240 * 0 )
NEW met1 ( 1335120 1172715 ) ( 1528560 * )
NEW met1 ( 1335120 1172715 ) M1M2_PR
NEW met1 ( 1528560 1172715 ) M1M2_PR ;
- la_data_in_mprj\[88\] ( soc la_input[88] ) ( mgmt_buffers la_data_in_mprj[88] ) + USE SIGNAL
+ ROUTED met2 ( 1544880 1167350 ) ( 1545600 * 0 )
NEW met1 ( 1532880 1201575 ) ( 1544880 * )
NEW met2 ( 1532880 1201575 ) ( * 1230990 )
NEW met2 ( 1532640 1230990 ) ( 1532880 * )
NEW met2 ( 1532640 1230990 ) ( * 1232470 0 )
NEW met2 ( 1544880 1167350 ) ( * 1201575 )
NEW met1 ( 1544880 1201575 ) M1M2_PR
NEW met1 ( 1532880 1201575 ) M1M2_PR ;
- la_data_in_mprj\[89\] ( soc la_input[89] ) ( mgmt_buffers la_data_in_mprj[89] ) + USE SIGNAL
+ ROUTED met2 ( 1390320 1214155 ) ( * 1223405 )
NEW met2 ( 1332000 1167350 0 ) ( 1333200 * )
NEW met2 ( 1333200 1167350 ) ( * 1214155 )
NEW met1 ( 1333200 1214155 ) ( 1390320 * )
NEW met2 ( 1533360 1223035 ) ( * 1232470 )
NEW met2 ( 1533360 1232470 ) ( 1534560 * 0 )
NEW met1 ( 1440720 1223035 ) ( * 1223405 )
NEW met1 ( 1390320 1223405 ) ( 1440720 * )
NEW met1 ( 1440720 1223035 ) ( 1533360 * )
NEW met1 ( 1390320 1214155 ) M1M2_PR
NEW met1 ( 1390320 1223405 ) M1M2_PR
NEW met1 ( 1333200 1214155 ) M1M2_PR
NEW met1 ( 1533360 1223035 ) M1M2_PR ;
- la_data_in_mprj\[8\] ( soc la_input[8] ) ( mgmt_buffers la_data_in_mprj[8] ) + USE SIGNAL
+ ROUTED met2 ( 1358160 1171605 ) ( * 1232470 0 )
NEW met2 ( 1547280 1167350 0 ) ( * 1171605 )
NEW met1 ( 1358160 1171605 ) ( 1547280 * )
NEW met1 ( 1358160 1171605 ) M1M2_PR
NEW met1 ( 1547280 1171605 ) M1M2_PR ;
- la_data_in_mprj\[90\] ( soc la_input[90] ) ( mgmt_buffers la_data_in_mprj[90] ) + USE SIGNAL
+ ROUTED met2 ( 1388880 1214895 ) ( * 1223035 )
NEW met2 ( 1330320 1167350 0 ) ( * 1214895 )
NEW met1 ( 1330320 1214895 ) ( 1388880 * )
NEW met1 ( 1411920 1222665 ) ( * 1223035 )
NEW met1 ( 1388880 1223035 ) ( 1411920 * )
NEW met2 ( 1459440 1220630 ) ( * 1222665 )
NEW met2 ( 1459440 1220630 ) ( 1461360 * )
NEW met2 ( 1461360 1219335 ) ( * 1220630 )
NEW met1 ( 1461360 1219335 ) ( 1536720 * )
NEW met2 ( 1536720 1219335 ) ( * 1232470 0 )
NEW met1 ( 1411920 1222665 ) ( 1459440 * )
NEW met1 ( 1388880 1214895 ) M1M2_PR
NEW met1 ( 1388880 1223035 ) M1M2_PR
NEW met1 ( 1330320 1214895 ) M1M2_PR
NEW met1 ( 1459440 1222665 ) M1M2_PR
NEW met1 ( 1461360 1219335 ) M1M2_PR
NEW met1 ( 1536720 1219335 ) M1M2_PR ;
- la_data_in_mprj\[91\] ( soc la_input[91] ) ( mgmt_buffers la_data_in_mprj[91] ) + USE SIGNAL
+ ROUTED met2 ( 1549200 1167350 0 ) ( * 1196765 )
NEW met2 ( 1539120 1196765 ) ( * 1232470 0 )
NEW met1 ( 1539120 1196765 ) ( 1549200 * )
NEW met1 ( 1549200 1196765 ) M1M2_PR
NEW met1 ( 1539120 1196765 ) M1M2_PR ;
- la_data_in_mprj\[92\] ( soc la_input[92] ) ( mgmt_buffers la_data_in_mprj[92] ) + USE SIGNAL
+ ROUTED met2 ( 1328400 1167350 0 ) ( * 1180485 )
NEW met1 ( 1328400 1180485 ) ( 1541520 * )
NEW met1 ( 1539600 1222295 ) ( 1541520 * )
NEW met2 ( 1539600 1222295 ) ( * 1233950 )
NEW met2 ( 1539600 1233950 ) ( 1541280 * 0 )
NEW met2 ( 1541520 1180485 ) ( * 1222295 )
NEW met1 ( 1541520 1180485 ) M1M2_PR
NEW met1 ( 1328400 1180485 ) M1M2_PR
NEW met1 ( 1541520 1222295 ) M1M2_PR
NEW met1 ( 1539600 1222295 ) M1M2_PR ;
- la_data_in_mprj\[93\] ( soc la_input[93] ) ( mgmt_buffers la_data_in_mprj[93] ) + USE SIGNAL
+ ROUTED met2 ( 1551120 1167350 0 ) ( * 1197875 )
NEW met1 ( 1544400 1197875 ) ( 1551120 * )
NEW met2 ( 1544400 1197875 ) ( * 1232470 )
NEW met2 ( 1543200 1232470 0 ) ( 1544400 * )
NEW met1 ( 1551120 1197875 ) M1M2_PR
NEW met1 ( 1544400 1197875 ) M1M2_PR ;
- la_data_in_mprj\[94\] ( soc la_input[94] ) ( mgmt_buffers la_data_in_mprj[94] ) + USE SIGNAL
+ ROUTED met2 ( 1386960 1213045 ) ( * 1222665 )
NEW met2 ( 1326480 1167350 0 ) ( * 1213045 )
NEW met1 ( 1326480 1213045 ) ( 1386960 * )
NEW met2 ( 1411440 1222665 ) ( * 1223035 )
NEW met2 ( 1411440 1223035 ) ( 1412400 * )
NEW met1 ( 1412400 1223035 ) ( 1440240 * )
NEW met2 ( 1440240 1219335 ) ( * 1223035 )
NEW met1 ( 1440240 1219335 ) ( 1460880 * )
NEW met2 ( 1460880 1212305 ) ( * 1219335 )
NEW met1 ( 1460880 1212305 ) ( 1464720 * )
NEW met2 ( 1464720 1212305 ) ( * 1214525 )
NEW met1 ( 1464720 1214525 ) ( 1511760 * )
NEW met2 ( 1511760 1214525 ) ( * 1222665 )
NEW met1 ( 1386960 1222665 ) ( 1411440 * )
NEW met2 ( 1522800 1222665 ) ( * 1230435 )
NEW met1 ( 1522800 1230435 ) ( 1544880 * )
NEW met2 ( 1544880 1230435 ) ( * 1232470 )
NEW met2 ( 1544880 1232470 ) ( 1545600 * 0 )
NEW met1 ( 1511760 1222665 ) ( 1522800 * )
NEW met1 ( 1386960 1213045 ) M1M2_PR
NEW met1 ( 1386960 1222665 ) M1M2_PR
NEW met1 ( 1326480 1213045 ) M1M2_PR
NEW met1 ( 1411440 1222665 ) M1M2_PR
NEW met1 ( 1412400 1223035 ) M1M2_PR
NEW met1 ( 1440240 1223035 ) M1M2_PR
NEW met1 ( 1440240 1219335 ) M1M2_PR
NEW met1 ( 1460880 1219335 ) M1M2_PR
NEW met1 ( 1460880 1212305 ) M1M2_PR
NEW met1 ( 1464720 1212305 ) M1M2_PR
NEW met1 ( 1464720 1214525 ) M1M2_PR
NEW met1 ( 1511760 1214525 ) M1M2_PR
NEW met1 ( 1511760 1222665 ) M1M2_PR
NEW met1 ( 1522800 1222665 ) M1M2_PR
NEW met1 ( 1522800 1230435 ) M1M2_PR
NEW met1 ( 1544880 1230435 ) M1M2_PR ;
- la_data_in_mprj\[95\] ( soc la_input[95] ) ( mgmt_buffers la_data_in_mprj[95] ) + USE SIGNAL
+ ROUTED met2 ( 1551600 1167350 ) ( 1552800 * 0 )
NEW met2 ( 1551600 1167350 ) ( * 1198245 )
NEW met1 ( 1547760 1198245 ) ( 1551600 * )
NEW met2 ( 1547760 1198245 ) ( * 1232470 0 )
NEW met1 ( 1551600 1198245 ) M1M2_PR
NEW met1 ( 1547760 1198245 ) M1M2_PR ;
- la_data_in_mprj\[96\] ( soc la_input[96] ) ( mgmt_buffers la_data_in_mprj[96] ) + USE SIGNAL
+ ROUTED met2 ( 1549680 1180115 ) ( * 1232470 0 )
NEW met2 ( 1324560 1167350 ) ( 1324800 * 0 )
NEW met2 ( 1324560 1167350 ) ( * 1180115 )
NEW met1 ( 1324560 1180115 ) ( 1549680 * )
NEW met1 ( 1549680 1180115 ) M1M2_PR
NEW met1 ( 1324560 1180115 ) M1M2_PR ;
- la_data_in_mprj\[97\] ( soc la_input[97] ) ( mgmt_buffers la_data_in_mprj[97] ) + USE SIGNAL
+ ROUTED met2 ( 1553520 1167350 ) ( 1554720 * 0 )
NEW met2 ( 1553520 1167350 ) ( * 1232470 )
NEW met2 ( 1552080 1232470 0 ) ( 1553520 * ) ;
- la_data_in_mprj\[98\] ( soc la_input[98] ) ( mgmt_buffers la_data_in_mprj[98] ) + USE SIGNAL
+ ROUTED met1 ( 1387920 1221925 ) ( * 1222295 )
NEW met2 ( 1322880 1167350 0 ) ( 1324080 * )
NEW met2 ( 1324080 1167350 ) ( * 1221925 )
NEW met1 ( 1324080 1221925 ) ( 1387920 * )
NEW met1 ( 1523280 1222295 ) ( * 1222665 )
NEW met1 ( 1523280 1222665 ) ( 1554000 * )
NEW met2 ( 1554000 1222665 ) ( * 1230990 )
NEW met2 ( 1554000 1230990 ) ( 1554240 * )
NEW met2 ( 1554240 1230990 ) ( * 1232470 0 )
NEW met1 ( 1387920 1222295 ) ( 1523280 * )
NEW met1 ( 1324080 1221925 ) M1M2_PR
NEW met1 ( 1554000 1222665 ) M1M2_PR ;
- la_data_in_mprj\[99\] ( soc la_input[99] ) ( mgmt_buffers la_data_in_mprj[99] ) + USE SIGNAL
+ ROUTED met2 ( 1556400 1167350 ) ( 1556640 * 0 )
NEW met2 ( 1556400 1167350 ) ( * 1232470 )
NEW met2 ( 1556400 1232470 ) ( 1556640 * 0 ) ;
- la_data_in_mprj\[9\] ( soc la_input[9] ) ( mgmt_buffers la_data_in_mprj[9] ) + USE SIGNAL
+ ROUTED met2 ( 1360080 1208605 ) ( * 1232470 0 )
NEW met2 ( 1320960 1167350 0 ) ( 1321680 * )
NEW met2 ( 1321680 1167350 ) ( * 1208605 )
NEW met1 ( 1321680 1208605 ) ( 1360080 * )
NEW met1 ( 1360080 1208605 ) M1M2_PR
NEW met1 ( 1321680 1208605 ) M1M2_PR ;
- la_data_in_user\[0\] ( mprj la_data_in[0] ) ( mgmt_buffers la_data_in_core[0] ) + USE SIGNAL
+ ROUTED met1 ( 977040 1353275 ) ( * 1353645 )
NEW met1 ( 959760 1353645 ) ( 977040 * )
NEW met2 ( 959760 1353645 ) ( * 1389350 0 )
NEW met2 ( 1069200 1325710 ) ( 1070400 * 0 )
NEW met2 ( 1069200 1325710 ) ( * 1353275 )
NEW met1 ( 977040 1353275 ) ( 1069200 * )
NEW met1 ( 959760 1353645 ) M1M2_PR
NEW met1 ( 1069200 1353275 ) M1M2_PR ;
- la_data_in_user\[100\] ( mprj la_data_in[100] ) ( mgmt_buffers la_data_in_core[100] ) + USE SIGNAL
+ ROUTED met3 ( 1057680 1343470 ) ( 1287120 * )
NEW met3 ( 1056720 1194730 ) ( 2737680 * )
NEW met2 ( 2738640 1389350 ) ( 2743920 * 0 )
NEW met1 ( 1055280 1238575 ) ( 1056720 * )
NEW met2 ( 1055280 1238575 ) ( * 1339030 )
NEW met3 ( 1055280 1339030 ) ( 1057680 * )
NEW met2 ( 1056720 1194730 ) ( * 1238575 )
NEW met2 ( 1057680 1339030 ) ( * 1343470 )
NEW met2 ( 1287120 1325710 ) ( 1288320 * 0 )
NEW met2 ( 1287120 1325710 ) ( * 1343470 )
NEW met2 ( 2737680 1288710 ) ( 2738640 * )
NEW met2 ( 2737680 1194730 ) ( * 1288710 )
NEW met2 ( 2738640 1288710 ) ( * 1389350 )
NEW met2 ( 2737680 1194730 ) via2_FR
NEW met2 ( 1056720 1194730 ) via2_FR
NEW met2 ( 1057680 1343470 ) via2_FR
NEW met2 ( 1287120 1343470 ) via2_FR
NEW met1 ( 1056720 1238575 ) M1M2_PR
NEW met1 ( 1055280 1238575 ) M1M2_PR
NEW met2 ( 1055280 1339030 ) via2_FR
NEW met2 ( 1057680 1339030 ) via2_FR ;
- la_data_in_user\[101\] ( mprj la_data_in[101] ) ( mgmt_buffers la_data_in_core[101] ) + USE SIGNAL
+ ROUTED met2 ( 2761680 1370110 ) ( * 1389350 0 )
NEW met2 ( 1871760 1364190 ) ( * 1364375 )
NEW met2 ( 1871760 1364190 ) ( 1873200 * )
NEW met2 ( 1873200 1364190 ) ( * 1364375 )
NEW met1 ( 1291920 1364375 ) ( 1871760 * )
NEW met2 ( 1290720 1325710 0 ) ( 1291920 * )
NEW met2 ( 1291920 1325710 ) ( * 1364375 )
NEW met2 ( 1892400 1364375 ) ( * 1369370 )
NEW met3 ( 1892400 1369370 ) ( 1944240 * )
NEW met3 ( 1944240 1369370 ) ( * 1370110 )
NEW met1 ( 1873200 1364375 ) ( 1892400 * )
NEW met3 ( 1944240 1370110 ) ( 2761680 * )
NEW met2 ( 2761680 1370110 ) via2_FR
NEW met1 ( 1291920 1364375 ) M1M2_PR
NEW met1 ( 1871760 1364375 ) M1M2_PR
NEW met1 ( 1873200 1364375 ) M1M2_PR
NEW met1 ( 1892400 1364375 ) M1M2_PR
NEW met2 ( 1892400 1369370 ) via2_FR ;
- la_data_in_user\[102\] ( mprj la_data_in[102] ) ( mgmt_buffers la_data_in_core[102] ) + USE SIGNAL
+ ROUTED met2 ( 1745520 1339215 ) ( * 1344765 )
NEW met4 ( 1956960 1353645 ) ( 1958880 * )
NEW met4 ( 1958880 1353645 ) ( * 1354570 )
NEW met3 ( 1958880 1354570 ) ( 1964880 * )
NEW met3 ( 1964880 1324230 ) ( 2034720 * )
NEW met3 ( 2034720 1323490 ) ( * 1324230 )
NEW met2 ( 1964880 1324230 ) ( * 1354570 )
NEW met2 ( 2779440 1322750 ) ( * 1389350 0 )
NEW met2 ( 1849200 1344765 ) ( * 1353090 )
NEW met3 ( 1849200 1353090 ) ( 1849440 * )
NEW met4 ( 1849440 1353090 ) ( * 1353645 )
NEW met1 ( 1745520 1344765 ) ( 1849200 * )
NEW met5 ( 1849440 1353645 ) ( 1956960 * )
NEW met3 ( 2049120 1321270 ) ( * 1323490 )
NEW met3 ( 2049120 1321270 ) ( 2095200 * )
NEW met3 ( 2095200 1321270 ) ( * 1324230 )
NEW met3 ( 2034720 1323490 ) ( 2049120 * )
NEW met2 ( 1292880 1325710 0 ) ( * 1339215 )
NEW met2 ( 1662960 1339215 ) ( * 1341435 )
NEW met1 ( 1662960 1341435 ) ( 1687440 * )
NEW met2 ( 1687440 1339215 ) ( * 1341435 )
NEW met1 ( 1687440 1339215 ) ( 1745520 * )
NEW met2 ( 1548240 1335515 ) ( * 1339215 )
NEW met1 ( 1548240 1335515 ) ( 1589520 * )
NEW met2 ( 1589520 1335515 ) ( * 1339215 )
NEW met1 ( 1292880 1339215 ) ( 1548240 * )
NEW met1 ( 1589520 1339215 ) ( 1662960 * )
NEW met3 ( 2605920 1322010 ) ( * 1324230 )
NEW met3 ( 2605920 1322010 ) ( 2650080 * )
NEW met3 ( 2650080 1322010 ) ( * 1322750 )
NEW met3 ( 2650080 1322750 ) ( 2779440 * )
NEW met3 ( 2245920 1323490 ) ( * 1324230 )
NEW met3 ( 2095200 1324230 ) ( 2245920 * )
NEW met2 ( 2379120 1323490 ) ( * 1323675 )
NEW met1 ( 2379120 1323675 ) ( 2447760 * )
NEW met2 ( 2447760 1323675 ) ( * 1324230 )
NEW met3 ( 2447760 1324230 ) ( 2605920 * )
NEW met2 ( 2247120 1323490 ) ( * 1323675 )
NEW met1 ( 2247120 1323675 ) ( 2346960 * )
NEW met2 ( 2346960 1323490 ) ( * 1323675 )
NEW met3 ( 2245920 1323490 ) ( 2247120 * )
NEW met3 ( 2346960 1323490 ) ( 2379120 * )
NEW met1 ( 1745520 1339215 ) M1M2_PR
NEW met1 ( 1745520 1344765 ) M1M2_PR
NEW met4 ( 1956960 1353645 ) via4_FR
NEW met3 ( 1958880 1354570 ) M3M4_PR_M
NEW met2 ( 1964880 1354570 ) via2_FR
NEW met2 ( 1964880 1324230 ) via2_FR
NEW met2 ( 2779440 1322750 ) via2_FR
NEW met1 ( 1849200 1344765 ) M1M2_PR
NEW met2 ( 1849200 1353090 ) via2_FR
NEW met3 ( 1849440 1353090 ) M3M4_PR_M
NEW met4 ( 1849440 1353645 ) via4_FR
NEW met1 ( 1292880 1339215 ) M1M2_PR
NEW met1 ( 1662960 1339215 ) M1M2_PR
NEW met1 ( 1662960 1341435 ) M1M2_PR
NEW met1 ( 1687440 1341435 ) M1M2_PR
NEW met1 ( 1687440 1339215 ) M1M2_PR
NEW met1 ( 1548240 1339215 ) M1M2_PR
NEW met1 ( 1548240 1335515 ) M1M2_PR
NEW met1 ( 1589520 1335515 ) M1M2_PR
NEW met1 ( 1589520 1339215 ) M1M2_PR
NEW met2 ( 2379120 1323490 ) via2_FR
NEW met1 ( 2379120 1323675 ) M1M2_PR
NEW met1 ( 2447760 1323675 ) M1M2_PR
NEW met2 ( 2447760 1324230 ) via2_FR
NEW met2 ( 2247120 1323490 ) via2_FR
NEW met1 ( 2247120 1323675 ) M1M2_PR
NEW met1 ( 2346960 1323675 ) M1M2_PR
NEW met2 ( 2346960 1323490 ) via2_FR
NEW met3 ( 1849200 1353090 ) RECT ( -380 -150 0 150 ) ;
- la_data_in_user\[103\] ( mprj la_data_in[103] ) ( mgmt_buffers la_data_in_core[103] ) + USE SIGNAL
+ ROUTED met4 ( 1742880 1343655 ) ( * 1354570 )
NEW met3 ( 1742880 1354570 ) ( 1842960 * )
NEW met2 ( 1842960 1353830 ) ( * 1354570 )
NEW met2 ( 2797200 1356790 ) ( * 1389350 0 )
NEW met2 ( 1440240 1341990 ) ( * 1350130 )
NEW met3 ( 1440240 1350130 ) ( 1540560 * )
NEW met2 ( 1540560 1341990 ) ( * 1350130 )
NEW met3 ( 1294800 1341990 ) ( 1440240 * )
NEW met4 ( 1741920 1341990 ) ( * 1343655 )
NEW met3 ( 1540560 1341990 ) ( 1741920 * )
NEW met4 ( 1741920 1343655 ) ( 1742880 * )
NEW met2 ( 1843440 1353830 ) ( * 1356790 )
NEW met2 ( 1842960 1353830 ) ( 1843440 * )
NEW met3 ( 1843440 1356790 ) ( 2797200 * )
NEW met2 ( 1294800 1325710 0 ) ( * 1341990 )
NEW met3 ( 1742880 1354570 ) M3M4_PR_M
NEW met2 ( 1842960 1354570 ) via2_FR
NEW met2 ( 2797200 1356790 ) via2_FR
NEW met2 ( 1294800 1341990 ) via2_FR
NEW met2 ( 1440240 1341990 ) via2_FR
NEW met2 ( 1440240 1350130 ) via2_FR
NEW met2 ( 1540560 1350130 ) via2_FR
NEW met2 ( 1540560 1341990 ) via2_FR
NEW met3 ( 1741920 1341990 ) M3M4_PR_M
NEW met2 ( 1843440 1356790 ) via2_FR ;
- la_data_in_user\[104\] ( mprj la_data_in[104] ) ( mgmt_buffers la_data_in_core[104] ) + USE SIGNAL
+ ROUTED met2 ( 2815440 1365670 ) ( * 1389350 0 )
NEW met2 ( 1297200 1325710 0 ) ( 1298160 * )
NEW met2 ( 1298160 1325710 ) ( * 1365670 )
NEW met3 ( 1298160 1365670 ) ( 2815440 * )
NEW met2 ( 2815440 1365670 ) via2_FR
NEW met2 ( 1298160 1365670 ) via2_FR ;
- la_data_in_user\[105\] ( mprj la_data_in[105] ) ( mgmt_buffers la_data_in_core[105] ) + USE SIGNAL
+ ROUTED met2 ( 1958160 1354570 ) ( * 1359935 )
NEW met1 ( 1958160 1359935 ) ( 1982640 * )
NEW met1 ( 1982640 1359935 ) ( * 1361045 )
NEW met2 ( 2832720 1361045 ) ( * 1389350 0 )
NEW met2 ( 1871760 1341435 ) ( * 1354570 )
NEW met3 ( 1871760 1354570 ) ( 1958160 * )
NEW met1 ( 1982640 1361045 ) ( 2832720 * )
NEW met2 ( 1299360 1325710 0 ) ( 1300560 * )
NEW met2 ( 1300560 1325710 ) ( * 1341435 )
NEW met2 ( 1460880 1341435 ) ( * 1352535 )
NEW met1 ( 1300560 1341435 ) ( 1460880 * )
NEW met2 ( 1633680 1339955 ) ( * 1341435 )
NEW met1 ( 1633680 1339955 ) ( 1641360 * )
NEW met2 ( 1641360 1339585 ) ( * 1339955 )
NEW met2 ( 1641360 1339585 ) ( 1643280 * )
NEW met2 ( 1643280 1335515 ) ( * 1339585 )
NEW met2 ( 1524720 1350870 ) ( * 1352535 )
NEW met3 ( 1524720 1350870 ) ( 1585680 * )
NEW met2 ( 1585680 1341435 ) ( * 1350870 )
NEW met1 ( 1460880 1352535 ) ( 1524720 * )
NEW met1 ( 1585680 1341435 ) ( 1633680 * )
NEW met2 ( 1742640 1335515 ) ( * 1341435 )
NEW met1 ( 1643280 1335515 ) ( 1742640 * )
NEW met1 ( 1742640 1341435 ) ( 1871760 * )
NEW met2 ( 1958160 1354570 ) via2_FR
NEW met1 ( 1958160 1359935 ) M1M2_PR
NEW met1 ( 2832720 1361045 ) M1M2_PR
NEW met1 ( 1871760 1341435 ) M1M2_PR
NEW met2 ( 1871760 1354570 ) via2_FR
NEW met1 ( 1300560 1341435 ) M1M2_PR
NEW met1 ( 1460880 1341435 ) M1M2_PR
NEW met1 ( 1460880 1352535 ) M1M2_PR
NEW met1 ( 1633680 1341435 ) M1M2_PR
NEW met1 ( 1633680 1339955 ) M1M2_PR
NEW met1 ( 1641360 1339955 ) M1M2_PR
NEW met1 ( 1643280 1335515 ) M1M2_PR
NEW met1 ( 1524720 1352535 ) M1M2_PR
NEW met2 ( 1524720 1350870 ) via2_FR
NEW met2 ( 1585680 1350870 ) via2_FR
NEW met1 ( 1585680 1341435 ) M1M2_PR
NEW met1 ( 1742640 1335515 ) M1M2_PR
NEW met1 ( 1742640 1341435 ) M1M2_PR ;
- la_data_in_user\[106\] ( mprj la_data_in[106] ) ( mgmt_buffers la_data_in_core[106] ) + USE SIGNAL
+ ROUTED met2 ( 1972560 1353645 ) ( * 1355310 )
NEW met2 ( 2850960 1353645 ) ( * 1389350 0 )
NEW met2 ( 1835760 1345690 ) ( * 1355310 )
NEW met3 ( 1835760 1355310 ) ( 1972560 * )
NEW met2 ( 1483440 1341435 ) ( * 1345690 )
NEW met1 ( 1483440 1341435 ) ( 1563600 * )
NEW met2 ( 1563600 1340510 ) ( * 1341435 )
NEW met3 ( 1563600 1340510 ) ( 1583520 * )
NEW met4 ( 1583520 1340510 ) ( * 1345690 )
NEW met2 ( 1301760 1325710 0 ) ( 1302960 * )
NEW met2 ( 1302960 1325710 ) ( * 1345690 )
NEW met2 ( 1670640 1339215 ) ( * 1345690 )
NEW met1 ( 1670640 1339215 ) ( 1675440 * )
NEW met2 ( 1675440 1339215 ) ( * 1343655 )
NEW met1 ( 1675440 1343655 ) ( 1740240 * )
NEW met2 ( 1740240 1343655 ) ( * 1345690 )
NEW met3 ( 1583520 1345690 ) ( 1670640 * )
NEW met3 ( 1740240 1345690 ) ( 1835760 * )
NEW met2 ( 1404240 1343470 ) ( * 1345690 )
NEW met3 ( 1404240 1343470 ) ( 1441680 * )
NEW met2 ( 1441680 1341990 ) ( * 1343470 )
NEW met3 ( 1441680 1341990 ) ( 1453920 * )
NEW met4 ( 1453920 1341990 ) ( * 1345690 )
NEW met3 ( 1302960 1345690 ) ( 1404240 * )
NEW met3 ( 1453920 1345690 ) ( 1483440 * )
NEW met2 ( 2261040 1353645 ) ( * 1353830 )
NEW met3 ( 2261040 1353830 ) ( 2263440 * )
NEW met2 ( 2263440 1353645 ) ( * 1353830 )
NEW met1 ( 1972560 1353645 ) ( 2261040 * )
NEW met1 ( 2263440 1353645 ) ( 2850960 * )
NEW met1 ( 1972560 1353645 ) M1M2_PR
NEW met2 ( 1972560 1355310 ) via2_FR
NEW met1 ( 2850960 1353645 ) M1M2_PR
NEW met2 ( 1835760 1345690 ) via2_FR
NEW met2 ( 1835760 1355310 ) via2_FR
NEW met2 ( 1483440 1345690 ) via2_FR
NEW met1 ( 1483440 1341435 ) M1M2_PR
NEW met1 ( 1563600 1341435 ) M1M2_PR
NEW met2 ( 1563600 1340510 ) via2_FR
NEW met3 ( 1583520 1340510 ) M3M4_PR_M
NEW met3 ( 1583520 1345690 ) M3M4_PR_M
NEW met2 ( 1302960 1345690 ) via2_FR
NEW met2 ( 1670640 1345690 ) via2_FR
NEW met1 ( 1670640 1339215 ) M1M2_PR
NEW met1 ( 1675440 1339215 ) M1M2_PR
NEW met1 ( 1675440 1343655 ) M1M2_PR
NEW met1 ( 1740240 1343655 ) M1M2_PR
NEW met2 ( 1740240 1345690 ) via2_FR
NEW met2 ( 1404240 1345690 ) via2_FR
NEW met2 ( 1404240 1343470 ) via2_FR
NEW met2 ( 1441680 1343470 ) via2_FR
NEW met2 ( 1441680 1341990 ) via2_FR
NEW met3 ( 1453920 1341990 ) M3M4_PR_M
NEW met3 ( 1453920 1345690 ) M3M4_PR_M
NEW met1 ( 2261040 1353645 ) M1M2_PR
NEW met2 ( 2261040 1353830 ) via2_FR
NEW met2 ( 2263440 1353830 ) via2_FR
NEW met1 ( 2263440 1353645 ) M1M2_PR ;
- la_data_in_user\[107\] ( mprj la_data_in[107] ) ( mgmt_buffers la_data_in_core[107] ) + USE SIGNAL
+ ROUTED met2 ( 1972080 1353830 ) ( * 1359565 )
NEW met1 ( 1972080 1359565 ) ( 1983120 * )
NEW met1 ( 1983120 1359565 ) ( * 1360675 )
NEW met3 ( 1303440 1353830 ) ( 1972080 * )
NEW met2 ( 2868720 1360675 ) ( * 1389350 0 )
NEW met1 ( 1983120 1360675 ) ( 2868720 * )
NEW met2 ( 1303440 1325710 0 ) ( * 1353830 )
NEW met2 ( 1972080 1353830 ) via2_FR
NEW met1 ( 1972080 1359565 ) M1M2_PR
NEW met2 ( 1303440 1353830 ) via2_FR
NEW met1 ( 2868720 1360675 ) M1M2_PR ;
- la_data_in_user\[108\] ( mprj la_data_in[108] ) ( mgmt_buffers la_data_in_core[108] ) + USE SIGNAL
+ ROUTED met3 ( 1389600 1208790 ) ( * 1209530 )
NEW met3 ( 1580640 1208790 ) ( * 1209530 )
NEW met3 ( 1055760 1209530 ) ( 1389600 * )
NEW met3 ( 1272480 1340510 ) ( * 1341250 )
NEW met3 ( 1272480 1341250 ) ( 1305840 * )
NEW met3 ( 1055760 1340510 ) ( 1272480 * )
NEW met3 ( 1389600 1208790 ) ( 1580640 * )
NEW met3 ( 1580640 1209530 ) ( 2881200 * )
NEW met2 ( 2881200 1389350 ) ( 2886480 * 0 )
NEW met2 ( 2881200 1209530 ) ( * 1389350 )
NEW met2 ( 1055760 1209530 ) ( * 1340510 )
NEW met2 ( 1305840 1325710 0 ) ( * 1341250 )
NEW met2 ( 2881200 1209530 ) via2_FR
NEW met2 ( 1055760 1209530 ) via2_FR
NEW met2 ( 1055760 1340510 ) via2_FR
NEW met2 ( 1305840 1341250 ) via2_FR ;
- la_data_in_user\[109\] ( mprj la_data_in[109] ) ( mgmt_buffers la_data_in_core[109] ) + USE SIGNAL
+ ROUTED met2 ( 2904720 1378250 ) ( * 1389350 0 )
NEW met3 ( 1308240 1378250 ) ( 2904720 * )
NEW met2 ( 1308240 1325710 0 ) ( * 1378250 )
NEW met2 ( 1308240 1378250 ) via2_FR
NEW met2 ( 2904720 1378250 ) via2_FR ;
- la_data_in_user\[10\] ( mprj la_data_in[10] ) ( mgmt_buffers la_data_in_core[10] ) + USE SIGNAL
+ ROUTED met2 ( 1138320 1354385 ) ( * 1389350 0 )
NEW met1 ( 1093680 1354385 ) ( 1138320 * )
NEW met2 ( 1092480 1325710 0 ) ( 1093680 * )
NEW met2 ( 1093680 1325710 ) ( * 1354385 )
NEW met1 ( 1138320 1354385 ) M1M2_PR
NEW met1 ( 1093680 1354385 ) M1M2_PR ;
- la_data_in_user\[110\] ( mprj la_data_in[110] ) ( mgmt_buffers la_data_in_core[110] ) + USE SIGNAL
+ ROUTED met2 ( 1901040 1356975 ) ( * 1362710 )
NEW met2 ( 1309920 1325710 0 ) ( 1310160 * )
NEW met2 ( 1310160 1325710 ) ( * 1339030 )
NEW met3 ( 1438560 1338290 ) ( * 1339030 )
NEW met3 ( 1438560 1339030 ) ( 1441440 * )
NEW met3 ( 1441440 1338290 ) ( * 1339030 )
NEW met2 ( 1639440 1338845 ) ( * 1339030 )
NEW met2 ( 2822640 1355125 ) ( * 1355310 )
NEW met3 ( 2822640 1355310 ) ( 2922000 * )
NEW met2 ( 2922000 1355310 ) ( * 1389350 0 )
NEW met2 ( 1337040 1339030 ) ( * 1340695 )
NEW met1 ( 1337040 1340695 ) ( 1340400 * )
NEW met2 ( 1340400 1339030 ) ( * 1340695 )
NEW met3 ( 1340400 1338290 ) ( * 1339030 )
NEW met3 ( 1310160 1339030 ) ( 1337040 * )
NEW met3 ( 1340400 1338290 ) ( 1438560 * )
NEW met2 ( 2014320 1354755 ) ( 2014800 * )
NEW met1 ( 1971600 1354755 ) ( 2014320 * )
NEW met2 ( 1971600 1354755 ) ( * 1362710 )
NEW met3 ( 1901040 1362710 ) ( 1971600 * )
NEW met2 ( 2447760 1355125 ) ( * 1355310 )
NEW met2 ( 2447760 1355310 ) ( 2448240 * )
NEW met2 ( 2448240 1354755 ) ( * 1355310 )
NEW met3 ( 1561440 1338290 ) ( * 1339030 )
NEW met3 ( 1441440 1338290 ) ( 1561440 * )
NEW met3 ( 1561440 1339030 ) ( 1639440 * )
NEW met1 ( 2555760 1354755 ) ( * 1355125 )
NEW met1 ( 2448240 1354755 ) ( 2555760 * )
NEW met2 ( 1832400 1342175 ) ( * 1356605 )
NEW met1 ( 1832400 1356605 ) ( 1864080 * )
NEW met1 ( 1864080 1356605 ) ( * 1356975 )
NEW met1 ( 1864080 1356975 ) ( 1901040 * )
NEW met2 ( 2592240 1355125 ) ( * 1355310 )
NEW met2 ( 2592240 1355310 ) ( 2592720 * )
NEW met2 ( 2592720 1355125 ) ( * 1355310 )
NEW met1 ( 2555760 1355125 ) ( 2592240 * )
NEW met2 ( 1688880 1338845 ) ( * 1342175 )
NEW met1 ( 1639440 1338845 ) ( 1688880 * )
NEW met1 ( 1688880 1342175 ) ( 1832400 * )
NEW met2 ( 2742960 1354385 ) ( * 1355125 )
NEW met1 ( 2742960 1354385 ) ( 2793360 * )
NEW met2 ( 2793360 1354385 ) ( * 1355125 )
NEW met1 ( 2592720 1355125 ) ( 2742960 * )
NEW met1 ( 2793360 1355125 ) ( 2822640 * )
NEW met2 ( 2347440 1355125 ) ( * 1355310 )
NEW met1 ( 2347440 1355125 ) ( 2447760 * )
NEW met1 ( 2094960 1354755 ) ( * 1355125 )
NEW met1 ( 2014800 1354755 ) ( 2094960 * )
NEW met2 ( 2261040 1355125 ) ( * 1355310 )
NEW met3 ( 2261040 1355310 ) ( 2347440 * )
NEW met2 ( 2141040 1354570 ) ( * 1355125 )
NEW met3 ( 2141040 1354570 ) ( 2231760 * )
NEW met2 ( 2231760 1354570 ) ( * 1355125 )
NEW met1 ( 2094960 1355125 ) ( 2141040 * )
NEW met1 ( 2231760 1355125 ) ( 2261040 * )
NEW met1 ( 1901040 1356975 ) M1M2_PR
NEW met2 ( 1901040 1362710 ) via2_FR
NEW met2 ( 1310160 1339030 ) via2_FR
NEW met2 ( 1639440 1339030 ) via2_FR
NEW met1 ( 1639440 1338845 ) M1M2_PR
NEW met1 ( 2822640 1355125 ) M1M2_PR
NEW met2 ( 2822640 1355310 ) via2_FR
NEW met2 ( 2922000 1355310 ) via2_FR
NEW met2 ( 1337040 1339030 ) via2_FR
NEW met1 ( 1337040 1340695 ) M1M2_PR
NEW met1 ( 1340400 1340695 ) M1M2_PR
NEW met2 ( 1340400 1339030 ) via2_FR
NEW met1 ( 2014800 1354755 ) M1M2_PR
NEW met1 ( 2014320 1354755 ) M1M2_PR
NEW met1 ( 1971600 1354755 ) M1M2_PR
NEW met2 ( 1971600 1362710 ) via2_FR
NEW met1 ( 2447760 1355125 ) M1M2_PR
NEW met1 ( 2448240 1354755 ) M1M2_PR
NEW met1 ( 1832400 1342175 ) M1M2_PR
NEW met1 ( 1832400 1356605 ) M1M2_PR
NEW met1 ( 2592240 1355125 ) M1M2_PR
NEW met1 ( 2592720 1355125 ) M1M2_PR
NEW met1 ( 1688880 1338845 ) M1M2_PR
NEW met1 ( 1688880 1342175 ) M1M2_PR
NEW met1 ( 2742960 1355125 ) M1M2_PR
NEW met1 ( 2742960 1354385 ) M1M2_PR
NEW met1 ( 2793360 1354385 ) M1M2_PR
NEW met1 ( 2793360 1355125 ) M1M2_PR
NEW met2 ( 2347440 1355310 ) via2_FR
NEW met1 ( 2347440 1355125 ) M1M2_PR
NEW met1 ( 2261040 1355125 ) M1M2_PR
NEW met2 ( 2261040 1355310 ) via2_FR
NEW met1 ( 2141040 1355125 ) M1M2_PR
NEW met2 ( 2141040 1354570 ) via2_FR
NEW met2 ( 2231760 1354570 ) via2_FR
NEW met1 ( 2231760 1355125 ) M1M2_PR ;
- la_data_in_user\[111\] ( mprj la_data_in[111] ) ( mgmt_buffers la_data_in_core[111] ) + USE SIGNAL
+ ROUTED met2 ( 2041680 1361785 ) ( * 1362710 )
NEW met2 ( 2040720 1362710 ) ( 2041680 * )
NEW met2 ( 2040720 1362525 ) ( * 1362710 )
NEW met1 ( 1973040 1362525 ) ( 2040720 * )
NEW met2 ( 1973040 1362525 ) ( * 1362710 )
NEW met2 ( 1972560 1362710 ) ( 1973040 * )
NEW met2 ( 1972560 1362710 ) ( * 1364930 )
NEW met2 ( 1901520 1364930 ) ( * 1367705 )
NEW met1 ( 1861680 1367705 ) ( 1901520 * )
NEW met1 ( 1861680 1367705 ) ( * 1368075 )
NEW met1 ( 1313520 1368075 ) ( 1861680 * )
NEW met3 ( 1901520 1364930 ) ( 1972560 * )
NEW met2 ( 2939760 1361785 ) ( * 1389350 0 )
NEW met1 ( 2041680 1361785 ) ( 2939760 * )
NEW met2 ( 1312320 1325710 0 ) ( 1313520 * )
NEW met2 ( 1313520 1325710 ) ( * 1368075 )
NEW met1 ( 2041680 1361785 ) M1M2_PR
NEW met1 ( 2040720 1362525 ) M1M2_PR
NEW met1 ( 1973040 1362525 ) M1M2_PR
NEW met2 ( 1972560 1364930 ) via2_FR
NEW met1 ( 1313520 1368075 ) M1M2_PR
NEW met2 ( 1901520 1364930 ) via2_FR
NEW met1 ( 1901520 1367705 ) M1M2_PR
NEW met1 ( 2939760 1361785 ) M1M2_PR ;
- la_data_in_user\[112\] ( mprj la_data_in[112] ) ( mgmt_buffers la_data_in_core[112] ) + USE SIGNAL
+ ROUTED met2 ( 2958000 1362155 ) ( * 1389350 0 )
NEW met2 ( 1972560 1360490 ) ( * 1362155 )
NEW met1 ( 1972560 1362155 ) ( 2041200 * )
NEW met1 ( 2041200 1362155 ) ( * 1362525 )
NEW met1 ( 2041200 1362525 ) ( 2042160 * )
NEW met1 ( 2042160 1362155 ) ( * 1362525 )
NEW met2 ( 1901520 1354385 ) ( * 1360490 )
NEW met3 ( 1901520 1360490 ) ( 1972560 * )
NEW met1 ( 2042160 1362155 ) ( 2958000 * )
NEW met2 ( 1314480 1325710 0 ) ( * 1374550 )
NEW met2 ( 1846320 1354385 ) ( * 1374550 )
NEW met3 ( 1314480 1374550 ) ( 1846320 * )
NEW met1 ( 1846320 1354385 ) ( 1901520 * )
NEW met1 ( 2958000 1362155 ) M1M2_PR
NEW met2 ( 1972560 1360490 ) via2_FR
NEW met1 ( 1972560 1362155 ) M1M2_PR
NEW met2 ( 1314480 1374550 ) via2_FR
NEW met1 ( 1901520 1354385 ) M1M2_PR
NEW met2 ( 1901520 1360490 ) via2_FR
NEW met1 ( 1846320 1354385 ) M1M2_PR
NEW met2 ( 1846320 1374550 ) via2_FR ;
- la_data_in_user\[113\] ( mprj la_data_in[113] ) ( mgmt_buffers la_data_in_core[113] ) + USE SIGNAL
+ ROUTED met2 ( 2975760 1362895 ) ( * 1389350 0 )
NEW met2 ( 1986960 1362895 ) ( * 1367890 )
NEW met2 ( 1914960 1367890 ) ( * 1370110 )
NEW met3 ( 1316880 1370110 ) ( 1914960 * )
NEW met3 ( 1914960 1367890 ) ( 1986960 * )
NEW met1 ( 1986960 1362895 ) ( 2975760 * )
NEW met2 ( 1316880 1325710 0 ) ( * 1370110 )
NEW met1 ( 2975760 1362895 ) M1M2_PR
NEW met1 ( 1986960 1362895 ) M1M2_PR
NEW met2 ( 1986960 1367890 ) via2_FR
NEW met2 ( 1316880 1370110 ) via2_FR
NEW met2 ( 1914960 1370110 ) via2_FR
NEW met2 ( 1914960 1367890 ) via2_FR ;
- la_data_in_user\[114\] ( mprj la_data_in[114] ) ( mgmt_buffers la_data_in_core[114] ) + USE SIGNAL
+ ROUTED met2 ( 2992560 1392310 ) ( 2994000 * 0 )
NEW met3 ( 1318800 1392310 ) ( 2992560 * )
NEW met2 ( 1318800 1325710 0 ) ( * 1392310 )
NEW met2 ( 2992560 1392310 ) via2_FR
NEW met2 ( 1318800 1392310 ) via2_FR ;
- la_data_in_user\[115\] ( mprj la_data_in[115] ) ( mgmt_buffers la_data_in_core[115] ) + USE SIGNAL
+ ROUTED met2 ( 3011280 1377510 ) ( * 1389350 0 )
NEW met3 ( 1322160 1377510 ) ( 3011280 * )
NEW met2 ( 1320960 1325710 0 ) ( 1322160 * )
NEW met2 ( 1322160 1325710 ) ( * 1377510 )
NEW met2 ( 3011280 1377510 ) via2_FR
NEW met2 ( 1322160 1377510 ) via2_FR ;
- la_data_in_user\[116\] ( mprj la_data_in[116] ) ( mgmt_buffers la_data_in_core[116] ) + USE SIGNAL
+ ROUTED met2 ( 3029040 1348650 ) ( * 1389350 0 )
NEW met3 ( 1324560 1348650 ) ( 3029040 * )
NEW met2 ( 1323360 1325710 0 ) ( 1324560 * )
NEW met2 ( 1324560 1325710 ) ( * 1348650 )
NEW met2 ( 3029040 1348650 ) via2_FR
NEW met2 ( 1324560 1348650 ) via2_FR ;
- la_data_in_user\[117\] ( mprj la_data_in[117] ) ( mgmt_buffers la_data_in_core[117] ) + USE SIGNAL
+ ROUTED met2 ( 3047280 1330890 ) ( * 1389350 0 )
NEW met2 ( 1389360 1340510 ) ( * 1343470 )
NEW met2 ( 1578000 1343470 ) ( * 1351610 )
NEW met3 ( 1578000 1351610 ) ( 1590000 * )
NEW met2 ( 1590000 1351610 ) ( * 1353090 )
NEW met2 ( 1842480 1352905 ) ( * 1353090 )
NEW met1 ( 1986480 1334775 ) ( 1992240 * )
NEW met1 ( 1992240 1334775 ) ( * 1335145 )
NEW met1 ( 1992240 1335145 ) ( 1997040 * )
NEW met1 ( 1997040 1334775 ) ( * 1335145 )
NEW met2 ( 1986480 1334775 ) ( * 1339215 )
NEW met3 ( 1325520 1343470 ) ( 1389360 * )
NEW met2 ( 1459440 1340510 ) ( * 1343470 )
NEW met3 ( 1389360 1340510 ) ( 1459440 * )
NEW met3 ( 1459440 1343470 ) ( 1578000 * )
NEW met3 ( 1590000 1353090 ) ( 1842480 * )
NEW met2 ( 1843920 1345690 ) ( * 1352905 )
NEW met3 ( 1843920 1345690 ) ( 1884720 * )
NEW met1 ( 1842480 1352905 ) ( 1843920 * )
NEW met1 ( 1891920 1339215 ) ( 1986480 * )
NEW met2 ( 1325520 1325710 0 ) ( * 1343470 )
NEW met1 ( 1884720 1338105 ) ( 1891920 * )
NEW met2 ( 1884720 1338105 ) ( * 1345690 )
NEW met2 ( 1891920 1338105 ) ( * 1339215 )
NEW met2 ( 2068560 1330890 ) ( * 1334775 )
NEW met1 ( 1997040 1334775 ) ( 2068560 * )
NEW met3 ( 2068560 1330890 ) ( 3047280 * )
NEW met2 ( 3047280 1330890 ) via2_FR
NEW met2 ( 1389360 1343470 ) via2_FR
NEW met2 ( 1389360 1340510 ) via2_FR
NEW met2 ( 1578000 1343470 ) via2_FR
NEW met2 ( 1578000 1351610 ) via2_FR
NEW met2 ( 1590000 1351610 ) via2_FR
NEW met2 ( 1590000 1353090 ) via2_FR
NEW met1 ( 1842480 1352905 ) M1M2_PR
NEW met2 ( 1842480 1353090 ) via2_FR
NEW met1 ( 1986480 1339215 ) M1M2_PR
NEW met1 ( 1986480 1334775 ) M1M2_PR
NEW met2 ( 1325520 1343470 ) via2_FR
NEW met2 ( 1459440 1340510 ) via2_FR
NEW met2 ( 1459440 1343470 ) via2_FR
NEW met1 ( 1891920 1339215 ) M1M2_PR
NEW met1 ( 1843920 1352905 ) M1M2_PR
NEW met2 ( 1843920 1345690 ) via2_FR
NEW met2 ( 1884720 1345690 ) via2_FR
NEW met1 ( 1884720 1338105 ) M1M2_PR
NEW met1 ( 1891920 1338105 ) M1M2_PR
NEW met2 ( 2068560 1330890 ) via2_FR
NEW met1 ( 2068560 1334775 ) M1M2_PR ;
- la_data_in_user\[118\] ( mprj la_data_in[118] ) ( mgmt_buffers la_data_in_core[118] ) + USE SIGNAL
+ ROUTED met2 ( 3065040 1367705 ) ( * 1389350 0 )
NEW met2 ( 1327440 1325710 0 ) ( * 1356050 )
NEW met2 ( 1915920 1354015 ) ( * 1356605 )
NEW met1 ( 1915920 1354015 ) ( 1931760 * )
NEW met2 ( 1931760 1354015 ) ( * 1359750 )
NEW met3 ( 1931760 1359750 ) ( 1981680 * )
NEW met2 ( 1981680 1359750 ) ( * 1367705 )
NEW met1 ( 1981680 1367705 ) ( 3065040 * )
NEW met2 ( 1835760 1356050 ) ( * 1356235 )
NEW met1 ( 1835760 1356235 ) ( 1864560 * )
NEW met1 ( 1864560 1356235 ) ( * 1356605 )
NEW met3 ( 1327440 1356050 ) ( 1835760 * )
NEW met1 ( 1864560 1356605 ) ( 1915920 * )
NEW met1 ( 3065040 1367705 ) M1M2_PR
NEW met2 ( 1327440 1356050 ) via2_FR
NEW met1 ( 1915920 1356605 ) M1M2_PR
NEW met1 ( 1915920 1354015 ) M1M2_PR
NEW met1 ( 1931760 1354015 ) M1M2_PR
NEW met2 ( 1931760 1359750 ) via2_FR
NEW met2 ( 1981680 1359750 ) via2_FR
NEW met1 ( 1981680 1367705 ) M1M2_PR
NEW met2 ( 1835760 1356050 ) via2_FR
NEW met1 ( 1835760 1356235 ) M1M2_PR ;
- la_data_in_user\[119\] ( mprj la_data_in[119] ) ( mgmt_buffers la_data_in_core[119] ) + USE SIGNAL
+ ROUTED met2 ( 3082800 1376770 ) ( * 1389350 0 )
NEW met3 ( 1329840 1376770 ) ( 3082800 * )
NEW met2 ( 1329840 1325710 0 ) ( * 1376770 )
NEW met2 ( 3082800 1376770 ) via2_FR
NEW met2 ( 1329840 1376770 ) via2_FR ;
- la_data_in_user\[11\] ( mprj la_data_in[11] ) ( mgmt_buffers la_data_in_core[11] ) + USE SIGNAL
+ ROUTED met2 ( 1156080 1355495 ) ( * 1389350 0 )
NEW met1 ( 1094640 1355495 ) ( 1156080 * )
NEW met2 ( 1094640 1325710 0 ) ( * 1355495 )
NEW met1 ( 1156080 1355495 ) M1M2_PR
NEW met1 ( 1094640 1355495 ) M1M2_PR ;
- la_data_in_user\[120\] ( mprj la_data_in[120] ) ( mgmt_buffers la_data_in_core[120] ) + USE SIGNAL
+ ROUTED met2 ( 3100560 1347910 ) ( * 1389350 0 )
NEW met3 ( 1333200 1347910 ) ( 3100560 * )
NEW met2 ( 1332000 1325710 0 ) ( 1333200 * )
NEW met2 ( 1333200 1325710 ) ( * 1347910 )
NEW met2 ( 3100560 1347910 ) via2_FR
NEW met2 ( 1333200 1347910 ) via2_FR ;
- la_data_in_user\[121\] ( mprj la_data_in[121] ) ( mgmt_buffers la_data_in_core[121] ) + USE SIGNAL
+ ROUTED met2 ( 3118320 1376030 ) ( * 1389350 0 )
NEW met3 ( 1335600 1376030 ) ( 3118320 * )
NEW met2 ( 1334400 1325710 0 ) ( 1335600 * )
NEW met2 ( 1335600 1325710 ) ( * 1376030 )
NEW met2 ( 3118320 1376030 ) via2_FR
NEW met2 ( 1335600 1376030 ) via2_FR ;
- la_data_in_user\[122\] ( mprj la_data_in[122] ) ( mgmt_buffers la_data_in_core[122] ) + USE SIGNAL
+ ROUTED met2 ( 3136560 1375290 ) ( * 1389350 0 )
NEW met3 ( 1336080 1375290 ) ( 3136560 * )
NEW met2 ( 1336080 1325710 0 ) ( * 1375290 )
NEW met2 ( 3136560 1375290 ) via2_FR
NEW met2 ( 1336080 1375290 ) via2_FR ;
- la_data_in_user\[123\] ( mprj la_data_in[123] ) ( mgmt_buffers la_data_in_core[123] ) + USE SIGNAL
+ ROUTED met2 ( 3154320 1347170 ) ( * 1389350 0 )
NEW met3 ( 1338480 1347170 ) ( 3154320 * )
NEW met2 ( 1338480 1325710 0 ) ( * 1347170 )
NEW met2 ( 3154320 1347170 ) via2_FR
NEW met2 ( 1338480 1347170 ) via2_FR ;
- la_data_in_user\[124\] ( mprj la_data_in[124] ) ( mgmt_buffers la_data_in_core[124] ) + USE SIGNAL
+ ROUTED met2 ( 3171600 1346430 ) ( * 1389350 0 )
NEW met2 ( 1340880 1325710 0 ) ( * 1346430 )
NEW met3 ( 1340880 1346430 ) ( 3171600 * )
NEW met2 ( 3171600 1346430 ) via2_FR
NEW met2 ( 1340880 1346430 ) via2_FR ;
- la_data_in_user\[125\] ( mprj la_data_in[125] ) ( mgmt_buffers la_data_in_core[125] ) + USE SIGNAL
+ ROUTED met2 ( 3189840 1378990 ) ( * 1389350 0 )
NEW met2 ( 1583760 1353645 ) ( * 1356790 )
NEW met2 ( 1342560 1325710 0 ) ( 1343760 * )
NEW met2 ( 1343760 1325710 ) ( * 1353645 )
NEW met1 ( 1343760 1353645 ) ( 1583760 * )
NEW met2 ( 1642800 1356790 ) ( * 1378990 )
NEW met3 ( 1583760 1356790 ) ( 1642800 * )
NEW met3 ( 1642800 1378990 ) ( 3189840 * )
NEW met2 ( 3189840 1378990 ) via2_FR
NEW met1 ( 1343760 1353645 ) M1M2_PR
NEW met1 ( 1583760 1353645 ) M1M2_PR
NEW met2 ( 1583760 1356790 ) via2_FR
NEW met2 ( 1642800 1356790 ) via2_FR
NEW met2 ( 1642800 1378990 ) via2_FR ;
- la_data_in_user\[126\] ( mprj la_data_in[126] ) ( mgmt_buffers la_data_in_core[126] ) + USE SIGNAL
+ ROUTED met2 ( 3207600 1353090 ) ( * 1389350 0 )
NEW met2 ( 1583760 1349390 ) ( * 1352535 )
NEW met2 ( 1344960 1325710 0 ) ( 1346160 * )
NEW met2 ( 1346160 1325710 ) ( * 1349390 )
NEW met3 ( 1346160 1349390 ) ( 1583760 * )
NEW met2 ( 1850160 1352535 ) ( * 1353090 )
NEW met1 ( 1583760 1352535 ) ( 1850160 * )
NEW met3 ( 1850160 1353090 ) ( 3207600 * )
NEW met2 ( 3207600 1353090 ) via2_FR
NEW met2 ( 1346160 1349390 ) via2_FR
NEW met2 ( 1583760 1349390 ) via2_FR
NEW met1 ( 1583760 1352535 ) M1M2_PR
NEW met1 ( 1850160 1352535 ) M1M2_PR
NEW met2 ( 1850160 1353090 ) via2_FR ;
- la_data_in_user\[127\] ( mprj la_data_in[127] ) ( mgmt_buffers la_data_in_core[127] ) + USE SIGNAL
+ ROUTED met2 ( 3225840 1374550 ) ( * 1389350 0 )
NEW met1 ( 1347120 1355865 ) ( 1352400 * )
NEW met2 ( 1352400 1355865 ) ( * 1367705 )
NEW met1 ( 1352400 1367705 ) ( 1357200 * )
NEW met2 ( 1357200 1367705 ) ( * 1371405 )
NEW met1 ( 1357200 1371405 ) ( 1362960 * )
NEW met1 ( 1362960 1371035 ) ( * 1371405 )
NEW met1 ( 1362960 1371035 ) ( 1424400 * )
NEW met1 ( 1424400 1369925 ) ( * 1371035 )
NEW met2 ( 1347120 1325710 0 ) ( * 1355865 )
NEW met2 ( 1892880 1369925 ) ( * 1371035 )
NEW met1 ( 1892880 1371035 ) ( 1901040 * )
NEW met2 ( 1901040 1371035 ) ( * 1374550 )
NEW met1 ( 1424400 1369925 ) ( 1892880 * )
NEW met3 ( 1901040 1374550 ) ( 3225840 * )
NEW met2 ( 3225840 1374550 ) via2_FR
NEW met1 ( 1347120 1355865 ) M1M2_PR
NEW met1 ( 1352400 1355865 ) M1M2_PR
NEW met1 ( 1352400 1367705 ) M1M2_PR
NEW met1 ( 1357200 1367705 ) M1M2_PR
NEW met1 ( 1357200 1371405 ) M1M2_PR
NEW met1 ( 1892880 1369925 ) M1M2_PR
NEW met1 ( 1892880 1371035 ) M1M2_PR
NEW met1 ( 1901040 1371035 ) M1M2_PR
NEW met2 ( 1901040 1374550 ) via2_FR ;
- la_data_in_user\[12\] ( mprj la_data_in[12] ) ( mgmt_buffers la_data_in_core[12] ) + USE SIGNAL
+ ROUTED met2 ( 1173360 1355865 ) ( * 1389350 0 )
NEW met1 ( 1096560 1355865 ) ( 1173360 * )
NEW met2 ( 1096560 1325710 0 ) ( * 1355865 )
NEW met1 ( 1173360 1355865 ) M1M2_PR
NEW met1 ( 1096560 1355865 ) M1M2_PR ;
- la_data_in_user\[13\] ( mprj la_data_in[13] ) ( mgmt_buffers la_data_in_core[13] ) + USE SIGNAL
+ ROUTED met2 ( 1191600 1356605 ) ( * 1389350 0 )
NEW met1 ( 1098960 1356605 ) ( 1191600 * )
NEW met2 ( 1098960 1325710 0 ) ( * 1356605 )
NEW met1 ( 1191600 1356605 ) M1M2_PR
NEW met1 ( 1098960 1356605 ) M1M2_PR ;
- la_data_in_user\[14\] ( mprj la_data_in[14] ) ( mgmt_buffers la_data_in_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 1209360 1356975 ) ( * 1389350 0 )
NEW met1 ( 1101840 1356975 ) ( 1209360 * )
NEW met2 ( 1101120 1325710 0 ) ( 1101840 * )
NEW met2 ( 1101840 1325710 ) ( * 1356975 )
NEW met1 ( 1209360 1356975 ) M1M2_PR
NEW met1 ( 1101840 1356975 ) M1M2_PR ;
- la_data_in_user\[15\] ( mprj la_data_in[15] ) ( mgmt_buffers la_data_in_core[15] ) + USE SIGNAL
+ ROUTED met2 ( 1103040 1325710 0 ) ( 1104240 * )
NEW met2 ( 1104240 1325710 ) ( * 1359195 )
NEW met2 ( 1181040 1359195 ) ( 1181520 * )
NEW met2 ( 1181520 1359195 ) ( * 1368075 )
NEW met1 ( 1181520 1368075 ) ( 1227600 * )
NEW met1 ( 1104240 1359195 ) ( 1181040 * )
NEW met2 ( 1227600 1368075 ) ( * 1389350 0 )
NEW met1 ( 1104240 1359195 ) M1M2_PR
NEW met1 ( 1181040 1359195 ) M1M2_PR
NEW met1 ( 1181520 1368075 ) M1M2_PR
NEW met1 ( 1227600 1368075 ) M1M2_PR ;
- la_data_in_user\[16\] ( mprj la_data_in[16] ) ( mgmt_buffers la_data_in_core[16] ) + USE SIGNAL
+ ROUTED met2 ( 1245360 1364375 ) ( * 1389350 0 )
NEW met1 ( 1105200 1364375 ) ( 1245360 * )
NEW met2 ( 1105200 1325710 0 ) ( * 1364375 )
NEW met1 ( 1105200 1364375 ) M1M2_PR
NEW met1 ( 1245360 1364375 ) M1M2_PR ;
- la_data_in_user\[17\] ( mprj la_data_in[17] ) ( mgmt_buffers la_data_in_core[17] ) + USE SIGNAL
+ ROUTED met2 ( 1256400 1346245 ) ( * 1353645 )
NEW met1 ( 1256400 1353645 ) ( 1261200 * )
NEW met2 ( 1261200 1353645 ) ( * 1389350 )
NEW met2 ( 1261200 1389350 ) ( 1262640 * 0 )
NEW met1 ( 1107600 1346245 ) ( 1256400 * )
NEW met2 ( 1107600 1325710 0 ) ( * 1346245 )
NEW met1 ( 1107600 1346245 ) M1M2_PR
NEW met1 ( 1256400 1346245 ) M1M2_PR
NEW met1 ( 1256400 1353645 ) M1M2_PR
NEW met1 ( 1261200 1353645 ) M1M2_PR ;
- la_data_in_user\[18\] ( mprj la_data_in[18] ) ( mgmt_buffers la_data_in_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 1280880 1352535 ) ( * 1389350 0 )
NEW met1 ( 1110000 1352535 ) ( 1280880 * )
NEW met2 ( 1110000 1325710 0 ) ( * 1352535 )
NEW met1 ( 1110000 1352535 ) M1M2_PR
NEW met1 ( 1280880 1352535 ) M1M2_PR ;
- la_data_in_user\[19\] ( mprj la_data_in[19] ) ( mgmt_buffers la_data_in_core[19] ) + USE SIGNAL
+ ROUTED met2 ( 1298640 1341435 ) ( * 1389350 0 )
NEW met1 ( 1112880 1341435 ) ( 1298640 * )
NEW met2 ( 1111680 1325710 0 ) ( 1112880 * )
NEW met2 ( 1112880 1325710 ) ( * 1341435 )
NEW met1 ( 1112880 1341435 ) M1M2_PR
NEW met1 ( 1298640 1341435 ) M1M2_PR ;
- la_data_in_user\[1\] ( mprj la_data_in[1] ) ( mgmt_buffers la_data_in_core[1] ) + USE SIGNAL
+ ROUTED met2 ( 977520 1353645 ) ( * 1389350 0 )
NEW met2 ( 1072560 1325710 0 ) ( * 1353645 )
NEW met1 ( 1052880 1353645 ) ( 1072560 * )
NEW met1 ( 1052880 1353645 ) ( * 1354015 )
NEW met1 ( 1030800 1354015 ) ( 1052880 * )
NEW met1 ( 1030800 1353645 ) ( * 1354015 )
NEW met1 ( 977520 1353645 ) ( 1030800 * )
NEW met1 ( 977520 1353645 ) M1M2_PR
NEW met1 ( 1072560 1353645 ) M1M2_PR ;
- la_data_in_user\[20\] ( mprj la_data_in[20] ) ( mgmt_buffers la_data_in_core[20] ) + USE SIGNAL
+ ROUTED met2 ( 1316880 1373995 ) ( * 1389350 0 )
NEW met1 ( 1115280 1373995 ) ( 1316880 * )
NEW met2 ( 1114080 1325710 0 ) ( 1115280 * )
NEW met2 ( 1115280 1325710 ) ( * 1373995 )
NEW met1 ( 1115280 1373995 ) M1M2_PR
NEW met1 ( 1316880 1373995 ) M1M2_PR ;
- la_data_in_user\[21\] ( mprj la_data_in[21] ) ( mgmt_buffers la_data_in_core[21] ) + USE SIGNAL
+ ROUTED met2 ( 1334160 1340695 ) ( * 1389350 0 )
NEW met1 ( 1116240 1340695 ) ( 1334160 * )
NEW met2 ( 1116240 1325710 0 ) ( * 1340695 )
NEW met1 ( 1116240 1340695 ) M1M2_PR
NEW met1 ( 1334160 1340695 ) M1M2_PR ;
- la_data_in_user\[22\] ( mprj la_data_in[22] ) ( mgmt_buffers la_data_in_core[22] ) + USE SIGNAL
+ ROUTED met2 ( 1118640 1325710 0 ) ( * 1359935 )
NEW met2 ( 1312080 1359935 ) ( * 1367705 )
NEW met1 ( 1312080 1367705 ) ( 1351920 * )
NEW met2 ( 1351920 1367705 ) ( * 1389350 0 )
NEW met1 ( 1118640 1359935 ) ( 1312080 * )
NEW met1 ( 1118640 1359935 ) M1M2_PR
NEW met1 ( 1312080 1359935 ) M1M2_PR
NEW met1 ( 1312080 1367705 ) M1M2_PR
NEW met1 ( 1351920 1367705 ) M1M2_PR ;
- la_data_in_user\[23\] ( mprj la_data_in[23] ) ( mgmt_buffers la_data_in_core[23] ) + USE SIGNAL
+ ROUTED met2 ( 1370160 1367335 ) ( * 1389350 0 )
NEW met1 ( 1120560 1367335 ) ( 1370160 * )
NEW met2 ( 1120560 1325710 0 ) ( * 1367335 )
NEW met1 ( 1370160 1367335 ) M1M2_PR
NEW met1 ( 1120560 1367335 ) M1M2_PR ;
- la_data_in_user\[24\] ( mprj la_data_in[24] ) ( mgmt_buffers la_data_in_core[24] ) + USE SIGNAL
+ ROUTED met2 ( 1387920 1361415 ) ( * 1389350 0 )
NEW met1 ( 1122960 1361415 ) ( 1387920 * )
NEW met2 ( 1122720 1325710 0 ) ( 1122960 * )
NEW met2 ( 1122960 1325710 ) ( * 1361415 )
NEW met1 ( 1387920 1361415 ) M1M2_PR
NEW met1 ( 1122960 1361415 ) M1M2_PR ;
- la_data_in_user\[25\] ( mprj la_data_in[25] ) ( mgmt_buffers la_data_in_core[25] ) + USE SIGNAL
+ ROUTED met2 ( 1405680 1370295 ) ( * 1389350 0 )
NEW met1 ( 1126320 1370295 ) ( 1405680 * )
NEW met2 ( 1125120 1325710 0 ) ( 1126320 * )
NEW met2 ( 1126320 1325710 ) ( * 1370295 )
NEW met1 ( 1405680 1370295 ) M1M2_PR
NEW met1 ( 1126320 1370295 ) M1M2_PR ;
- la_data_in_user\[26\] ( mprj la_data_in[26] ) ( mgmt_buffers la_data_in_core[26] ) + USE SIGNAL
+ ROUTED met2 ( 1423440 1370665 ) ( * 1389350 0 )
NEW met1 ( 1127280 1370665 ) ( 1423440 * )
NEW met2 ( 1127280 1325710 0 ) ( * 1370665 )
NEW met1 ( 1423440 1370665 ) M1M2_PR
NEW met1 ( 1127280 1370665 ) M1M2_PR ;
- la_data_in_user\[27\] ( mprj la_data_in[27] ) ( mgmt_buffers la_data_in_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 1441200 1343655 ) ( * 1389350 0 )
NEW met1 ( 1129200 1343655 ) ( 1441200 * )
NEW met2 ( 1129200 1325710 0 ) ( * 1343655 )
NEW met1 ( 1129200 1343655 ) M1M2_PR
NEW met1 ( 1441200 1343655 ) M1M2_PR ;
- la_data_in_user\[28\] ( mprj la_data_in[28] ) ( mgmt_buffers la_data_in_core[28] ) + USE SIGNAL
+ ROUTED met2 ( 1459440 1373255 ) ( * 1389350 0 )
NEW met1 ( 1131600 1373255 ) ( 1459440 * )
NEW met2 ( 1131600 1325710 0 ) ( * 1373255 )
NEW met1 ( 1131600 1373255 ) M1M2_PR
NEW met1 ( 1459440 1373255 ) M1M2_PR ;
- la_data_in_user\[29\] ( mprj la_data_in[29] ) ( mgmt_buffers la_data_in_core[29] ) + USE SIGNAL
+ ROUTED met2 ( 1477200 1349205 ) ( * 1389350 0 )
NEW met1 ( 1134960 1349205 ) ( 1477200 * )
NEW met2 ( 1133760 1325710 0 ) ( 1134960 * )
NEW met2 ( 1134960 1325710 ) ( * 1349205 )
NEW met1 ( 1134960 1349205 ) M1M2_PR
NEW met1 ( 1477200 1349205 ) M1M2_PR ;
- la_data_in_user\[2\] ( mprj la_data_in[2] ) ( mgmt_buffers la_data_in_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1074960 1325710 0 ) ( * 1332925 )
NEW met1 ( 995760 1332925 ) ( 1074960 * )
NEW met2 ( 995760 1332925 ) ( * 1389350 0 )
NEW met1 ( 1074960 1332925 ) M1M2_PR
NEW met1 ( 995760 1332925 ) M1M2_PR ;
- la_data_in_user\[30\] ( mprj la_data_in[30] ) ( mgmt_buffers la_data_in_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 1494960 1379175 ) ( * 1389350 0 )
NEW met1 ( 1137360 1379175 ) ( 1494960 * )
NEW met2 ( 1136160 1325710 0 ) ( 1137360 * )
NEW met2 ( 1137360 1325710 ) ( * 1379175 )
NEW met1 ( 1137360 1379175 ) M1M2_PR
NEW met1 ( 1494960 1379175 ) M1M2_PR ;
- la_data_in_user\[31\] ( mprj la_data_in[31] ) ( mgmt_buffers la_data_in_core[31] ) + USE SIGNAL
+ ROUTED met2 ( 1137840 1325710 0 ) ( * 1347355 )
NEW met2 ( 1512720 1347355 ) ( * 1389350 0 )
NEW met1 ( 1137840 1347355 ) ( 1512720 * )
NEW met1 ( 1137840 1347355 ) M1M2_PR
NEW met1 ( 1512720 1347355 ) M1M2_PR ;
- la_data_in_user\[32\] ( mprj la_data_in[32] ) ( mgmt_buffers la_data_in_core[32] ) + USE SIGNAL
+ ROUTED met2 ( 1140240 1325710 0 ) ( * 1375845 )
NEW met2 ( 1530480 1375845 ) ( * 1389350 0 )
NEW met1 ( 1140240 1375845 ) ( 1530480 * )
NEW met1 ( 1140240 1375845 ) M1M2_PR
NEW met1 ( 1530480 1375845 ) M1M2_PR ;
- la_data_in_user\[33\] ( mprj la_data_in[33] ) ( mgmt_buffers la_data_in_core[33] ) + USE SIGNAL
+ ROUTED met2 ( 1548720 1346615 ) ( * 1389350 0 )
NEW met2 ( 1142640 1325710 0 ) ( * 1346615 )
NEW met1 ( 1142640 1346615 ) ( 1548720 * )
NEW met1 ( 1142640 1346615 ) M1M2_PR
NEW met1 ( 1548720 1346615 ) M1M2_PR ;
- la_data_in_user\[34\] ( mprj la_data_in[34] ) ( mgmt_buffers la_data_in_core[34] ) + USE SIGNAL
+ ROUTED met2 ( 1566480 1375105 ) ( * 1389350 0 )
NEW met2 ( 1144320 1325710 0 ) ( 1145520 * )
NEW met2 ( 1145520 1325710 ) ( * 1375105 )
NEW met1 ( 1145520 1375105 ) ( 1566480 * )
NEW met1 ( 1145520 1375105 ) M1M2_PR
NEW met1 ( 1566480 1375105 ) M1M2_PR ;
- la_data_in_user\[35\] ( mprj la_data_in[35] ) ( mgmt_buffers la_data_in_core[35] ) + USE SIGNAL
+ ROUTED met2 ( 1581840 1344395 ) ( * 1389350 )
NEW met2 ( 1581840 1389350 ) ( 1583760 * 0 )
NEW met2 ( 1146720 1325710 0 ) ( 1147920 * )
NEW met2 ( 1147920 1325710 ) ( * 1344395 )
NEW met1 ( 1147920 1344395 ) ( 1581840 * )
NEW met1 ( 1147920 1344395 ) M1M2_PR
NEW met1 ( 1581840 1344395 ) M1M2_PR ;
- la_data_in_user\[36\] ( mprj la_data_in[36] ) ( mgmt_buffers la_data_in_core[36] ) + USE SIGNAL
+ ROUTED met2 ( 1600560 1368815 ) ( * 1389350 )
NEW met2 ( 1600560 1389350 ) ( 1602000 * 0 )
NEW met2 ( 1148880 1325710 0 ) ( * 1368815 )
NEW met1 ( 1148880 1368815 ) ( 1600560 * )
NEW met1 ( 1148880 1368815 ) M1M2_PR
NEW met1 ( 1600560 1368815 ) M1M2_PR ;
- la_data_in_user\[37\] ( mprj la_data_in[37] ) ( mgmt_buffers la_data_in_core[37] ) + USE SIGNAL
+ ROUTED met1 ( 1582320 1344395 ) ( * 1344765 )
NEW met1 ( 1582320 1344395 ) ( 1591440 * )
NEW met2 ( 1591440 1344395 ) ( * 1350870 )
NEW met2 ( 1591440 1350870 ) ( 1592880 * )
NEW met2 ( 1592880 1349945 ) ( * 1350870 )
NEW met2 ( 1151280 1325710 0 ) ( * 1344765 )
NEW met1 ( 1151280 1344765 ) ( 1582320 * )
NEW met2 ( 1616880 1349945 ) ( * 1350870 )
NEW met2 ( 1616880 1350870 ) ( 1617840 * )
NEW met2 ( 1617840 1350870 ) ( * 1389350 )
NEW met2 ( 1617840 1389350 ) ( 1619760 * 0 )
NEW met1 ( 1592880 1349945 ) ( 1616880 * )
NEW met1 ( 1151280 1344765 ) M1M2_PR
NEW met1 ( 1591440 1344395 ) M1M2_PR
NEW met1 ( 1592880 1349945 ) M1M2_PR
NEW met1 ( 1616880 1349945 ) M1M2_PR ;
- la_data_in_user\[38\] ( mprj la_data_in[38] ) ( mgmt_buffers la_data_in_core[38] ) + USE SIGNAL
+ ROUTED met2 ( 1598640 1367335 ) ( * 1369185 )
NEW met1 ( 1598640 1367335 ) ( 1637520 * )
NEW met2 ( 1637520 1367335 ) ( * 1389350 0 )
NEW met2 ( 1153200 1325710 0 ) ( * 1369185 )
NEW met1 ( 1153200 1369185 ) ( 1598640 * )
NEW met1 ( 1153200 1369185 ) M1M2_PR
NEW met1 ( 1598640 1369185 ) M1M2_PR
NEW met1 ( 1598640 1367335 ) M1M2_PR
NEW met1 ( 1637520 1367335 ) M1M2_PR ;
- la_data_in_user\[39\] ( mprj la_data_in[39] ) ( mgmt_buffers la_data_in_core[39] ) + USE SIGNAL
+ ROUTED met2 ( 1155360 1325710 0 ) ( 1156560 * )
NEW met2 ( 1156560 1325710 ) ( * 1352905 )
NEW met2 ( 1642320 1351425 ) ( * 1352905 )
NEW met1 ( 1642320 1351425 ) ( 1655760 * )
NEW met2 ( 1655760 1351425 ) ( * 1389350 0 )
NEW met1 ( 1156560 1352905 ) ( 1642320 * )
NEW met1 ( 1156560 1352905 ) M1M2_PR
NEW met1 ( 1642320 1352905 ) M1M2_PR
NEW met1 ( 1642320 1351425 ) M1M2_PR
NEW met1 ( 1655760 1351425 ) M1M2_PR ;
- la_data_in_user\[3\] ( mprj la_data_in[3] ) ( mgmt_buffers la_data_in_core[3] ) + USE SIGNAL
+ ROUTED met1 ( 1026000 1355495 ) ( * 1355865 )
NEW met1 ( 1013040 1355865 ) ( 1026000 * )
NEW met2 ( 1013040 1355865 ) ( * 1389350 0 )
NEW met2 ( 1051920 1355495 ) ( * 1359195 )
NEW met1 ( 1051920 1359195 ) ( 1077360 * )
NEW met1 ( 1026000 1355495 ) ( 1051920 * )
NEW met2 ( 1077360 1325710 0 ) ( * 1359195 )
NEW met1 ( 1013040 1355865 ) M1M2_PR
NEW met1 ( 1051920 1355495 ) M1M2_PR
NEW met1 ( 1051920 1359195 ) M1M2_PR
NEW met1 ( 1077360 1359195 ) M1M2_PR ;
- la_data_in_user\[40\] ( mprj la_data_in[40] ) ( mgmt_buffers la_data_in_core[40] ) + USE SIGNAL
+ ROUTED met2 ( 1627440 1367705 ) ( * 1368445 )
NEW met2 ( 1157760 1325710 0 ) ( 1158960 * )
NEW met2 ( 1158960 1325710 ) ( * 1368445 )
NEW met1 ( 1158960 1368445 ) ( 1627440 * )
NEW met2 ( 1673040 1367705 ) ( * 1389350 0 )
NEW met1 ( 1627440 1367705 ) ( 1673040 * )
NEW met1 ( 1158960 1368445 ) M1M2_PR
NEW met1 ( 1627440 1368445 ) M1M2_PR
NEW met1 ( 1627440 1367705 ) M1M2_PR
NEW met1 ( 1673040 1367705 ) M1M2_PR ;
- la_data_in_user\[41\] ( mprj la_data_in[41] ) ( mgmt_buffers la_data_in_core[41] ) + USE SIGNAL
+ ROUTED met2 ( 1159920 1325710 0 ) ( * 1381765 )
NEW met2 ( 1691280 1381765 ) ( * 1389350 0 )
NEW met1 ( 1159920 1381765 ) ( 1691280 * )
NEW met1 ( 1159920 1381765 ) M1M2_PR
NEW met1 ( 1691280 1381765 ) M1M2_PR ;
- la_data_in_user\[42\] ( mprj la_data_in[42] ) ( mgmt_buffers la_data_in_core[42] ) + USE SIGNAL
+ ROUTED met2 ( 1161840 1325710 0 ) ( * 1381395 )
NEW met2 ( 1709040 1381395 ) ( * 1389350 0 )
NEW met1 ( 1161840 1381395 ) ( 1709040 * )
NEW met1 ( 1161840 1381395 ) M1M2_PR
NEW met1 ( 1709040 1381395 ) M1M2_PR ;
- la_data_in_user\[43\] ( mprj la_data_in[43] ) ( mgmt_buffers la_data_in_core[43] ) + USE SIGNAL
+ ROUTED met2 ( 1164240 1325710 0 ) ( * 1351425 )
NEW met2 ( 1641840 1351425 ) ( * 1354015 )
NEW met1 ( 1641840 1354015 ) ( 1656240 * )
NEW met2 ( 1656240 1351425 ) ( * 1354015 )
NEW met1 ( 1656240 1351425 ) ( 1699440 * )
NEW met2 ( 1699440 1351425 ) ( * 1354015 )
NEW met1 ( 1699440 1354015 ) ( 1726800 * )
NEW met2 ( 1726800 1354015 ) ( * 1389350 0 )
NEW met1 ( 1164240 1351425 ) ( 1641840 * )
NEW met1 ( 1164240 1351425 ) M1M2_PR
NEW met1 ( 1641840 1351425 ) M1M2_PR
NEW met1 ( 1641840 1354015 ) M1M2_PR
NEW met1 ( 1656240 1354015 ) M1M2_PR
NEW met1 ( 1656240 1351425 ) M1M2_PR
NEW met1 ( 1699440 1351425 ) M1M2_PR
NEW met1 ( 1699440 1354015 ) M1M2_PR
NEW met1 ( 1726800 1354015 ) M1M2_PR ;
- la_data_in_user\[44\] ( mprj la_data_in[44] ) ( mgmt_buffers la_data_in_core[44] ) + USE SIGNAL
+ ROUTED met2 ( 1745040 1379915 ) ( * 1389350 0 )
NEW met2 ( 1166400 1325710 0 ) ( 1167600 * )
NEW met2 ( 1167600 1325710 ) ( * 1379915 )
NEW met1 ( 1167600 1379915 ) ( 1745040 * )
NEW met1 ( 1167600 1379915 ) M1M2_PR
NEW met1 ( 1745040 1379915 ) M1M2_PR ;
- la_data_in_user\[45\] ( mprj la_data_in[45] ) ( mgmt_buffers la_data_in_core[45] ) + USE SIGNAL
+ ROUTED met2 ( 1762320 1351055 ) ( * 1389350 0 )
NEW met2 ( 1168800 1325710 0 ) ( 1170000 * )
NEW met2 ( 1170000 1325710 ) ( * 1351055 )
NEW met1 ( 1170000 1351055 ) ( 1762320 * )
NEW met1 ( 1170000 1351055 ) M1M2_PR
NEW met1 ( 1762320 1351055 ) M1M2_PR ;
- la_data_in_user\[46\] ( mprj la_data_in[46] ) ( mgmt_buffers la_data_in_core[46] ) + USE SIGNAL
+ ROUTED met2 ( 1780560 1378435 ) ( * 1389350 0 )
NEW met2 ( 1170480 1325710 0 ) ( * 1378435 )
NEW met1 ( 1170480 1378435 ) ( 1780560 * )
NEW met1 ( 1170480 1378435 ) M1M2_PR
NEW met1 ( 1780560 1378435 ) M1M2_PR ;
- la_data_in_user\[47\] ( mprj la_data_in[47] ) ( mgmt_buffers la_data_in_core[47] ) + USE SIGNAL
+ ROUTED met2 ( 1798320 1350315 ) ( * 1389350 0 )
NEW met2 ( 1172880 1325710 0 ) ( * 1350315 )
NEW met1 ( 1172880 1350315 ) ( 1798320 * )
NEW met1 ( 1172880 1350315 ) M1M2_PR
NEW met1 ( 1798320 1350315 ) M1M2_PR ;
- la_data_in_user\[48\] ( mprj la_data_in[48] ) ( mgmt_buffers la_data_in_core[48] ) + USE SIGNAL
+ ROUTED met2 ( 1816080 1376955 ) ( * 1389350 0 )
NEW met2 ( 1175280 1325710 0 ) ( * 1376955 )
NEW met1 ( 1175280 1376955 ) ( 1816080 * )
NEW met1 ( 1175280 1376955 ) M1M2_PR
NEW met1 ( 1816080 1376955 ) M1M2_PR ;
- la_data_in_user\[49\] ( mprj la_data_in[49] ) ( mgmt_buffers la_data_in_core[49] ) + USE SIGNAL
+ ROUTED met2 ( 1788240 1348465 ) ( * 1351425 )
NEW met1 ( 1788240 1351425 ) ( 1833840 * )
NEW met2 ( 1833840 1351425 ) ( * 1389350 0 )
NEW met2 ( 1177440 1325710 0 ) ( 1178640 * )
NEW met2 ( 1178640 1325710 ) ( * 1348465 )
NEW met1 ( 1178640 1348465 ) ( 1788240 * )
NEW met1 ( 1178640 1348465 ) M1M2_PR
NEW met1 ( 1788240 1348465 ) M1M2_PR
NEW met1 ( 1788240 1351425 ) M1M2_PR
NEW met1 ( 1833840 1351425 ) M1M2_PR ;
- la_data_in_user\[4\] ( mprj la_data_in[4] ) ( mgmt_buffers la_data_in_core[4] ) + USE SIGNAL
+ ROUTED met2 ( 1031280 1353645 ) ( * 1389350 0 )
NEW met2 ( 1052400 1353645 ) ( * 1355495 )
NEW met1 ( 1052400 1355495 ) ( 1077840 * )
NEW met1 ( 1031280 1353645 ) ( 1052400 * )
NEW met2 ( 1077840 1325710 ) ( 1079040 * 0 )
NEW met2 ( 1077840 1325710 ) ( * 1355495 )
NEW met1 ( 1031280 1353645 ) M1M2_PR
NEW met1 ( 1052400 1353645 ) M1M2_PR
NEW met1 ( 1052400 1355495 ) M1M2_PR
NEW met1 ( 1077840 1355495 ) M1M2_PR ;
- la_data_in_user\[50\] ( mprj la_data_in[50] ) ( mgmt_buffers la_data_in_core[50] ) + USE SIGNAL
+ ROUTED met2 ( 1179360 1325710 0 ) ( 1180080 * )
NEW met2 ( 1180080 1325710 ) ( * 1376585 )
NEW met2 ( 1851600 1376585 ) ( * 1389350 0 )
NEW met1 ( 1180080 1376585 ) ( 1851600 * )
NEW met1 ( 1180080 1376585 ) M1M2_PR
NEW met1 ( 1851600 1376585 ) M1M2_PR ;
- la_data_in_user\[51\] ( mprj la_data_in[51] ) ( mgmt_buffers la_data_in_core[51] ) + USE SIGNAL
+ ROUTED met2 ( 1792560 1347725 ) ( * 1351055 )
NEW met2 ( 1181520 1325710 0 ) ( * 1347725 )
NEW met1 ( 1181520 1347725 ) ( 1792560 * )
NEW met2 ( 1867440 1351055 ) ( * 1389350 )
NEW met2 ( 1867440 1389350 ) ( 1869360 * 0 )
NEW met1 ( 1792560 1351055 ) ( 1867440 * )
NEW met1 ( 1181520 1347725 ) M1M2_PR
NEW met1 ( 1792560 1347725 ) M1M2_PR
NEW met1 ( 1792560 1351055 ) M1M2_PR
NEW met1 ( 1867440 1351055 ) M1M2_PR ;
- la_data_in_user\[52\] ( mprj la_data_in[52] ) ( mgmt_buffers la_data_in_core[52] ) + USE SIGNAL
+ ROUTED met2 ( 1183920 1325710 0 ) ( * 1375475 )
NEW met2 ( 1887600 1375475 ) ( * 1389350 0 )
NEW met1 ( 1183920 1375475 ) ( 1887600 * )
NEW met1 ( 1183920 1375475 ) M1M2_PR
NEW met1 ( 1887600 1375475 ) M1M2_PR ;
- la_data_in_user\[53\] ( mprj la_data_in[53] ) ( mgmt_buffers la_data_in_core[53] ) + USE SIGNAL
+ ROUTED met2 ( 1793040 1342545 ) ( * 1348465 )
NEW met2 ( 1185840 1325710 0 ) ( * 1342545 )
NEW met1 ( 1185840 1342545 ) ( 1793040 * )
NEW met2 ( 1878480 1348465 ) ( * 1362710 )
NEW met3 ( 1878480 1362710 ) ( 1898160 * )
NEW met2 ( 1898160 1362710 ) ( * 1383985 )
NEW met2 ( 1898160 1383985 ) ( 1900080 * )
NEW met2 ( 1900080 1383985 ) ( * 1389350 )
NEW met2 ( 1900080 1389350 ) ( 1905360 * 0 )
NEW met1 ( 1793040 1348465 ) ( 1878480 * )
NEW met1 ( 1185840 1342545 ) M1M2_PR
NEW met1 ( 1793040 1342545 ) M1M2_PR
NEW met1 ( 1793040 1348465 ) M1M2_PR
NEW met1 ( 1878480 1348465 ) M1M2_PR
NEW met2 ( 1878480 1362710 ) via2_FR
NEW met2 ( 1898160 1362710 ) via2_FR ;
- la_data_in_user\[54\] ( mprj la_data_in[54] ) ( mgmt_buffers la_data_in_core[54] ) + USE SIGNAL
+ ROUTED met2 ( 1188000 1325710 0 ) ( 1189200 * )
NEW met2 ( 1189200 1325710 ) ( * 1371775 )
NEW met2 ( 1861680 1371775 ) ( * 1373995 )
NEW met1 ( 1861680 1373995 ) ( 1923120 * )
NEW met2 ( 1923120 1373995 ) ( * 1389350 0 )
NEW met1 ( 1189200 1371775 ) ( 1861680 * )
NEW met1 ( 1189200 1371775 ) M1M2_PR
NEW met1 ( 1861680 1371775 ) M1M2_PR
NEW met1 ( 1861680 1373995 ) M1M2_PR
NEW met1 ( 1923120 1373995 ) M1M2_PR ;
- la_data_in_user\[55\] ( mprj la_data_in[55] ) ( mgmt_buffers la_data_in_core[55] ) + USE SIGNAL
+ ROUTED met2 ( 1190400 1325710 0 ) ( 1191600 * )
NEW met2 ( 1191600 1325710 ) ( * 1342915 )
NEW met3 ( 1869840 1382690 ) ( 1939440 * )
NEW met2 ( 1939440 1382690 ) ( * 1389350 )
NEW met2 ( 1939440 1389350 ) ( 1940880 * 0 )
NEW met2 ( 1833840 1342915 ) ( * 1349945 )
NEW met1 ( 1833840 1349945 ) ( 1868880 * )
NEW met2 ( 1868880 1349945 ) ( * 1367150 )
NEW met2 ( 1868880 1367150 ) ( 1869840 * )
NEW met1 ( 1191600 1342915 ) ( 1833840 * )
NEW met2 ( 1869840 1367150 ) ( * 1382690 )
NEW met1 ( 1191600 1342915 ) M1M2_PR
NEW met2 ( 1869840 1382690 ) via2_FR
NEW met2 ( 1939440 1382690 ) via2_FR
NEW met1 ( 1833840 1342915 ) M1M2_PR
NEW met1 ( 1833840 1349945 ) M1M2_PR
NEW met1 ( 1868880 1349945 ) M1M2_PR ;
- la_data_in_user\[56\] ( mprj la_data_in[56] ) ( mgmt_buffers la_data_in_core[56] ) + USE SIGNAL
+ ROUTED met2 ( 1958640 1372145 ) ( * 1389350 0 )
NEW met2 ( 1192560 1325710 0 ) ( * 1372145 )
NEW met1 ( 1192560 1372145 ) ( 1958640 * )
NEW met1 ( 1192560 1372145 ) M1M2_PR
NEW met1 ( 1958640 1372145 ) M1M2_PR ;
- la_data_in_user\[57\] ( mprj la_data_in[57] ) ( mgmt_buffers la_data_in_core[57] ) + USE SIGNAL
+ ROUTED met2 ( 1194480 1325710 0 ) ( * 1343285 )
NEW met2 ( 1891920 1342915 ) ( * 1343285 )
NEW met2 ( 1891920 1342915 ) ( 1892400 * )
NEW met1 ( 1194480 1343285 ) ( 1891920 * )
NEW met2 ( 1892400 1336625 ) ( * 1342915 )
NEW met2 ( 1929360 1336625 ) ( * 1371775 )
NEW met1 ( 1929360 1371775 ) ( 1976880 * )
NEW met2 ( 1976880 1371775 ) ( * 1389350 0 )
NEW met1 ( 1892400 1336625 ) ( 1929360 * )
NEW met1 ( 1194480 1343285 ) M1M2_PR
NEW met1 ( 1891920 1343285 ) M1M2_PR
NEW met1 ( 1892400 1336625 ) M1M2_PR
NEW met1 ( 1929360 1336625 ) M1M2_PR
NEW met1 ( 1929360 1371775 ) M1M2_PR
NEW met1 ( 1976880 1371775 ) M1M2_PR ;
- la_data_in_user\[58\] ( mprj la_data_in[58] ) ( mgmt_buffers la_data_in_core[58] ) + USE SIGNAL
+ ROUTED met2 ( 1994640 1372515 ) ( * 1389350 0 )
NEW met2 ( 1196880 1325710 0 ) ( * 1372515 )
NEW met1 ( 1196880 1372515 ) ( 1994640 * )
NEW met1 ( 1196880 1372515 ) M1M2_PR
NEW met1 ( 1994640 1372515 ) M1M2_PR ;
- la_data_in_user\[59\] ( mprj la_data_in[59] ) ( mgmt_buffers la_data_in_core[59] ) + USE SIGNAL
+ ROUTED met2 ( 1199040 1325710 0 ) ( 1200240 * )
NEW met2 ( 1200240 1325710 ) ( * 1344025 )
NEW met2 ( 1892880 1342915 ) ( * 1344025 )
NEW met1 ( 1200240 1344025 ) ( 1892880 * )
NEW met2 ( 1942320 1342915 ) ( * 1385465 )
NEW met1 ( 1942320 1385465 ) ( 2012400 * )
NEW met2 ( 2012400 1385465 ) ( * 1389350 0 )
NEW met1 ( 1892880 1342915 ) ( 1942320 * )
NEW met1 ( 1200240 1344025 ) M1M2_PR
NEW met1 ( 1892880 1344025 ) M1M2_PR
NEW met1 ( 1892880 1342915 ) M1M2_PR
NEW met1 ( 1942320 1342915 ) M1M2_PR
NEW met1 ( 1942320 1385465 ) M1M2_PR
NEW met1 ( 2012400 1385465 ) M1M2_PR ;
- la_data_in_user\[5\] ( mprj la_data_in[5] ) ( mgmt_buffers la_data_in_core[5] ) + USE SIGNAL
+ ROUTED met1 ( 1049040 1361415 ) ( 1080720 * )
NEW met2 ( 1049040 1361415 ) ( * 1389350 0 )
NEW met2 ( 1080720 1325710 ) ( 1081440 * 0 )
NEW met2 ( 1080720 1325710 ) ( * 1361415 )
NEW met1 ( 1080720 1361415 ) M1M2_PR
NEW met1 ( 1049040 1361415 ) M1M2_PR ;
- la_data_in_user\[60\] ( mprj la_data_in[60] ) ( mgmt_buffers la_data_in_core[60] ) + USE SIGNAL
+ ROUTED met2 ( 2030160 1372885 ) ( * 1389350 0 )
NEW met2 ( 1201440 1325710 0 ) ( 1202640 * )
NEW met2 ( 1202640 1325710 ) ( * 1372885 )
NEW met1 ( 1202640 1372885 ) ( 2030160 * )
NEW met1 ( 1202640 1372885 ) M1M2_PR
NEW met1 ( 2030160 1372885 ) M1M2_PR ;
- la_data_in_user\[61\] ( mprj la_data_in[61] ) ( mgmt_buffers la_data_in_core[61] ) + USE SIGNAL
+ ROUTED met2 ( 1203360 1325710 0 ) ( 1204560 * )
NEW met2 ( 1204560 1325710 ) ( * 1345135 )
NEW met2 ( 2047920 1345135 ) ( * 1389350 0 )
NEW met1 ( 1204560 1345135 ) ( 2047920 * )
NEW met1 ( 1204560 1345135 ) M1M2_PR
NEW met1 ( 2047920 1345135 ) M1M2_PR ;
- la_data_in_user\[62\] ( mprj la_data_in[62] ) ( mgmt_buffers la_data_in_core[62] ) + USE SIGNAL
+ ROUTED met2 ( 1205520 1325710 0 ) ( * 1339215 )
NEW met1 ( 1061520 1339215 ) ( 1205520 * )
NEW met2 ( 2063280 1193990 ) ( * 1237650 )
NEW met2 ( 2061360 1237650 ) ( 2063280 * )
NEW met3 ( 1056240 1193990 ) ( 2063280 * )
NEW met2 ( 2061360 1389350 ) ( 2066160 * 0 )
NEW met1 ( 1056240 1324785 ) ( 1061520 * )
NEW met2 ( 1056240 1193990 ) ( * 1324785 )
NEW met2 ( 1061520 1324785 ) ( * 1339215 )
NEW met2 ( 2061360 1237650 ) ( * 1389350 )
NEW met1 ( 1205520 1339215 ) M1M2_PR
NEW met2 ( 1056240 1193990 ) via2_FR
NEW met1 ( 1061520 1339215 ) M1M2_PR
NEW met2 ( 2063280 1193990 ) via2_FR
NEW met1 ( 1056240 1324785 ) M1M2_PR
NEW met1 ( 1061520 1324785 ) M1M2_PR ;
- la_data_in_user\[63\] ( mprj la_data_in[63] ) ( mgmt_buffers la_data_in_core[63] ) + USE SIGNAL
+ ROUTED met2 ( 1207920 1325710 0 ) ( * 1345505 )
NEW met2 ( 2083440 1345505 ) ( * 1389350 0 )
NEW met1 ( 1207920 1345505 ) ( 2083440 * )
NEW met1 ( 1207920 1345505 ) M1M2_PR
NEW met1 ( 2083440 1345505 ) M1M2_PR ;
- la_data_in_user\[64\] ( mprj la_data_in[64] ) ( mgmt_buffers la_data_in_core[64] ) + USE SIGNAL
+ ROUTED met2 ( 1210080 1325710 0 ) ( 1211280 * )
NEW met2 ( 1211280 1325710 ) ( * 1373625 )
NEW met2 ( 2101200 1373625 ) ( * 1389350 0 )
NEW met1 ( 1211280 1373625 ) ( 2101200 * )
NEW met1 ( 1211280 1373625 ) M1M2_PR
NEW met1 ( 2101200 1373625 ) M1M2_PR ;
- la_data_in_user\[65\] ( mprj la_data_in[65] ) ( mgmt_buffers la_data_in_core[65] ) + USE SIGNAL
+ ROUTED met2 ( 1212000 1325710 0 ) ( 1213200 * )
NEW met2 ( 1213200 1325710 ) ( * 1345875 )
NEW met2 ( 2119440 1345875 ) ( * 1389350 0 )
NEW met1 ( 1213200 1345875 ) ( 2119440 * )
NEW met1 ( 1213200 1345875 ) M1M2_PR
NEW met1 ( 2119440 1345875 ) M1M2_PR ;
- la_data_in_user\[66\] ( mprj la_data_in[66] ) ( mgmt_buffers la_data_in_core[66] ) + USE SIGNAL
+ ROUTED met2 ( 1214400 1325710 0 ) ( 1215120 * )
NEW met2 ( 1215120 1325710 ) ( * 1374365 )
NEW met2 ( 2137200 1374365 ) ( * 1389350 0 )
NEW met1 ( 1215120 1374365 ) ( 2137200 * )
NEW met1 ( 1215120 1374365 ) M1M2_PR
NEW met1 ( 2137200 1374365 ) M1M2_PR ;
- la_data_in_user\[67\] ( mprj la_data_in[67] ) ( mgmt_buffers la_data_in_core[67] ) + USE SIGNAL
+ ROUTED met2 ( 2155440 1353275 ) ( * 1389350 0 )
NEW met2 ( 1216560 1325710 0 ) ( * 1353275 )
NEW met1 ( 1216560 1353275 ) ( 2155440 * )
NEW met1 ( 1216560 1353275 ) M1M2_PR
NEW met1 ( 2155440 1353275 ) M1M2_PR ;
- la_data_in_user\[68\] ( mprj la_data_in[68] ) ( mgmt_buffers la_data_in_core[68] ) + USE SIGNAL
+ ROUTED met2 ( 2172720 1382135 ) ( * 1389350 0 )
NEW met2 ( 1218960 1325710 0 ) ( * 1382135 )
NEW met1 ( 1218960 1382135 ) ( 2172720 * )
NEW met1 ( 1218960 1382135 ) M1M2_PR
NEW met1 ( 2172720 1382135 ) M1M2_PR ;
- la_data_in_user\[69\] ( mprj la_data_in[69] ) ( mgmt_buffers la_data_in_core[69] ) + USE SIGNAL
+ ROUTED met2 ( 2190480 1339955 ) ( * 1389350 0 )
NEW met2 ( 1220880 1325710 0 ) ( * 1332185 )
NEW met1 ( 1220880 1332185 ) ( 1309680 * )
NEW met2 ( 1309680 1332185 ) ( * 1339955 )
NEW met2 ( 1309680 1339955 ) ( 1310160 * )
NEW met2 ( 1620240 1339955 ) ( * 1341065 )
NEW met1 ( 1620240 1341065 ) ( 1622640 * )
NEW met2 ( 1622640 1341065 ) ( * 1342175 )
NEW met2 ( 1622640 1342175 ) ( 1625040 * )
NEW met2 ( 1625040 1342175 ) ( * 1354015 )
NEW met1 ( 1625040 1354015 ) ( 1641360 * )
NEW met2 ( 1641360 1350870 ) ( * 1354015 )
NEW met2 ( 1641360 1350870 ) ( 1641840 * )
NEW met2 ( 1641840 1339955 ) ( * 1350870 )
NEW met1 ( 1310160 1339955 ) ( 1620240 * )
NEW met1 ( 1641840 1339955 ) ( 2190480 * )
NEW met1 ( 2190480 1339955 ) M1M2_PR
NEW met1 ( 1220880 1332185 ) M1M2_PR
NEW met1 ( 1309680 1332185 ) M1M2_PR
NEW met1 ( 1310160 1339955 ) M1M2_PR
NEW met1 ( 1620240 1339955 ) M1M2_PR
NEW met1 ( 1620240 1341065 ) M1M2_PR
NEW met1 ( 1622640 1341065 ) M1M2_PR
NEW met1 ( 1625040 1354015 ) M1M2_PR
NEW met1 ( 1641360 1354015 ) M1M2_PR
NEW met1 ( 1641840 1339955 ) M1M2_PR ;
- la_data_in_user\[6\] ( mprj la_data_in[6] ) ( mgmt_buffers la_data_in_core[6] ) + USE SIGNAL
+ ROUTED met1 ( 1066800 1364375 ) ( 1083600 * )
NEW met2 ( 1066800 1364375 ) ( * 1389350 0 )
NEW met2 ( 1083600 1325710 0 ) ( * 1364375 )
NEW met1 ( 1083600 1364375 ) M1M2_PR
NEW met1 ( 1066800 1364375 ) M1M2_PR ;
- la_data_in_user\[70\] ( mprj la_data_in[70] ) ( mgmt_buffers la_data_in_core[70] ) + USE SIGNAL
+ ROUTED met2 ( 2208720 1381025 ) ( * 1389350 0 )
NEW met2 ( 1223040 1325710 0 ) ( 1223760 * )
NEW met2 ( 1223760 1325710 ) ( * 1381025 )
NEW met1 ( 1223760 1381025 ) ( 2208720 * )
NEW met1 ( 1223760 1381025 ) M1M2_PR
NEW met1 ( 2208720 1381025 ) M1M2_PR ;
- la_data_in_user\[71\] ( mprj la_data_in[71] ) ( mgmt_buffers la_data_in_core[71] ) + USE SIGNAL
+ ROUTED met2 ( 2226480 1351795 ) ( * 1389350 0 )
NEW met2 ( 1225440 1325710 0 ) ( 1226640 * )
NEW met2 ( 1226640 1325710 ) ( * 1351795 )
NEW met1 ( 1226640 1351795 ) ( 2226480 * )
NEW met1 ( 1226640 1351795 ) M1M2_PR
NEW met1 ( 2226480 1351795 ) M1M2_PR ;
- la_data_in_user\[72\] ( mprj la_data_in[72] ) ( mgmt_buffers la_data_in_core[72] ) + USE SIGNAL
+ ROUTED met2 ( 2244240 1380655 ) ( * 1389350 0 )
NEW met2 ( 1227120 1325710 0 ) ( * 1380655 )
NEW met1 ( 1227120 1380655 ) ( 2244240 * )
NEW met1 ( 1227120 1380655 ) M1M2_PR
NEW met1 ( 2244240 1380655 ) M1M2_PR ;
- la_data_in_user\[73\] ( mprj la_data_in[73] ) ( mgmt_buffers la_data_in_core[73] ) + USE SIGNAL
+ ROUTED met2 ( 1229520 1325710 0 ) ( * 1350685 )
NEW met1 ( 1229520 1350685 ) ( 2262000 * )
NEW met2 ( 2262000 1350685 ) ( * 1389350 0 )
NEW met1 ( 1229520 1350685 ) M1M2_PR
NEW met1 ( 2262000 1350685 ) M1M2_PR ;
- la_data_in_user\[74\] ( mprj la_data_in[74] ) ( mgmt_buffers la_data_in_core[74] ) + USE SIGNAL
+ ROUTED met2 ( 1231920 1325710 0 ) ( * 1380285 )
NEW met2 ( 2279760 1380285 ) ( * 1389350 0 )
NEW met1 ( 1231920 1380285 ) ( 2279760 * )
NEW met1 ( 1231920 1380285 ) M1M2_PR
NEW met1 ( 2279760 1380285 ) M1M2_PR ;
- la_data_in_user\[75\] ( mprj la_data_in[75] ) ( mgmt_buffers la_data_in_core[75] ) + USE SIGNAL
+ ROUTED met2 ( 1234080 1325710 0 ) ( 1235280 * )
NEW met2 ( 1235280 1325710 ) ( * 1349575 )
NEW met2 ( 2298000 1349575 ) ( * 1389350 0 )
NEW met1 ( 1235280 1349575 ) ( 2298000 * )
NEW met1 ( 1235280 1349575 ) M1M2_PR
NEW met1 ( 2298000 1349575 ) M1M2_PR ;
- la_data_in_user\[76\] ( mprj la_data_in[76] ) ( mgmt_buffers la_data_in_core[76] ) + USE SIGNAL
+ ROUTED met2 ( 1236000 1325710 0 ) ( 1237200 * )
NEW met2 ( 1237200 1325710 ) ( * 1379545 )
NEW met2 ( 2315760 1379545 ) ( * 1389350 0 )
NEW met1 ( 1237200 1379545 ) ( 2315760 * )
NEW met1 ( 1237200 1379545 ) M1M2_PR
NEW met1 ( 2315760 1379545 ) M1M2_PR ;
- la_data_in_user\[77\] ( mprj la_data_in[77] ) ( mgmt_buffers la_data_in_core[77] ) + USE SIGNAL
+ ROUTED met2 ( 1238160 1325710 0 ) ( * 1348835 )
NEW met2 ( 2333040 1348835 ) ( * 1389350 0 )
NEW met1 ( 1238160 1348835 ) ( 2333040 * )
NEW met1 ( 1238160 1348835 ) M1M2_PR
NEW met1 ( 2333040 1348835 ) M1M2_PR ;
- la_data_in_user\[78\] ( mprj la_data_in[78] ) ( mgmt_buffers la_data_in_core[78] ) + USE SIGNAL
+ ROUTED met2 ( 2351280 1378805 ) ( * 1389350 0 )
NEW met1 ( 1240560 1378805 ) ( 2351280 * )
NEW met2 ( 1240560 1325710 0 ) ( * 1378805 )
NEW met1 ( 2351280 1378805 ) M1M2_PR
NEW met1 ( 1240560 1378805 ) M1M2_PR ;
- la_data_in_user\[79\] ( mprj la_data_in[79] ) ( mgmt_buffers la_data_in_core[79] ) + USE SIGNAL
+ ROUTED met2 ( 2369040 1348095 ) ( * 1389350 0 )
NEW met1 ( 1242960 1348095 ) ( 2369040 * )
NEW met2 ( 1242960 1325710 0 ) ( * 1348095 )
NEW met1 ( 2369040 1348095 ) M1M2_PR
NEW met1 ( 1242960 1348095 ) M1M2_PR ;
- la_data_in_user\[7\] ( mprj la_data_in[7] ) ( mgmt_buffers la_data_in_core[7] ) + USE SIGNAL
+ ROUTED met2 ( 1084560 1325710 ) ( 1086000 * 0 )
NEW met2 ( 1084560 1325710 ) ( * 1389350 0 ) ;
- la_data_in_user\[80\] ( mprj la_data_in[80] ) ( mgmt_buffers la_data_in_core[80] ) + USE SIGNAL
+ ROUTED met2 ( 2387280 1378065 ) ( * 1389350 0 )
NEW met1 ( 1245840 1378065 ) ( 2387280 * )
NEW met2 ( 1244640 1325710 0 ) ( 1245840 * )
NEW met2 ( 1245840 1325710 ) ( * 1378065 )
NEW met1 ( 2387280 1378065 ) M1M2_PR
NEW met1 ( 1245840 1378065 ) M1M2_PR ;
- la_data_in_user\[81\] ( mprj la_data_in[81] ) ( mgmt_buffers la_data_in_core[81] ) + USE SIGNAL
+ ROUTED met2 ( 1247040 1325710 0 ) ( 1248240 * )
NEW met2 ( 1248240 1325710 ) ( * 1346985 )
NEW met2 ( 2406960 1346985 ) ( * 1389350 )
NEW met2 ( 2405040 1389350 0 ) ( 2406960 * )
NEW met1 ( 1248240 1346985 ) ( 2406960 * )
NEW met1 ( 1248240 1346985 ) M1M2_PR
NEW met1 ( 2406960 1346985 ) M1M2_PR ;
- la_data_in_user\[82\] ( mprj la_data_in[82] ) ( mgmt_buffers la_data_in_core[82] ) + USE SIGNAL
+ ROUTED met2 ( 2422320 1377695 ) ( * 1389350 0 )
NEW met1 ( 1249200 1377695 ) ( 2422320 * )
NEW met2 ( 1249200 1325710 0 ) ( * 1377695 )
NEW met1 ( 2422320 1377695 ) M1M2_PR
NEW met1 ( 1249200 1377695 ) M1M2_PR ;
- la_data_in_user\[83\] ( mprj la_data_in[83] ) ( mgmt_buffers la_data_in_core[83] ) + USE SIGNAL
+ ROUTED met2 ( 2440560 1341250 ) ( * 1389350 0 )
NEW met3 ( 1251600 1342730 ) ( 1306800 * )
NEW met2 ( 1306800 1341250 ) ( * 1342730 )
NEW met3 ( 1306800 1341250 ) ( 2440560 * )
NEW met2 ( 1251600 1325710 0 ) ( * 1342730 )
NEW met2 ( 2440560 1341250 ) via2_FR
NEW met2 ( 1251600 1342730 ) via2_FR
NEW met2 ( 1306800 1342730 ) via2_FR
NEW met2 ( 1306800 1341250 ) via2_FR ;
- la_data_in_user\[84\] ( mprj la_data_in[84] ) ( mgmt_buffers la_data_in_core[84] ) + USE SIGNAL
+ ROUTED met2 ( 2458320 1370850 ) ( * 1389350 0 )
NEW met3 ( 1253520 1370850 ) ( 2458320 * )
NEW met2 ( 1253520 1325710 0 ) ( * 1370850 )
NEW met2 ( 1253520 1370850 ) via2_FR
NEW met2 ( 2458320 1370850 ) via2_FR ;
- la_data_in_user\[85\] ( mprj la_data_in[85] ) ( mgmt_buffers la_data_in_core[85] ) + USE SIGNAL
+ ROUTED met2 ( 2476080 1346245 ) ( * 1389350 0 )
NEW met1 ( 1256880 1346245 ) ( 2476080 * )
NEW met2 ( 1255680 1325710 0 ) ( 1256880 * )
NEW met2 ( 1256880 1325710 ) ( * 1346245 )
NEW met1 ( 1256880 1346245 ) M1M2_PR
NEW met1 ( 2476080 1346245 ) M1M2_PR ;
- la_data_in_user\[86\] ( mprj la_data_in[86] ) ( mgmt_buffers la_data_in_core[86] ) + USE SIGNAL
+ ROUTED met2 ( 2494320 1371590 ) ( * 1389350 0 )
NEW met3 ( 1259280 1371590 ) ( 2494320 * )
NEW met2 ( 1258080 1325710 0 ) ( 1259280 * )
NEW met2 ( 1259280 1325710 ) ( * 1371590 )
NEW met2 ( 1259280 1371590 ) via2_FR
NEW met2 ( 2494320 1371590 ) via2_FR ;
- la_data_in_user\[87\] ( mprj la_data_in[87] ) ( mgmt_buffers la_data_in_core[87] ) + USE SIGNAL
+ ROUTED met3 ( 1260240 1344210 ) ( 1308000 * )
NEW met3 ( 1308000 1342730 ) ( * 1344210 )
NEW met2 ( 2511600 1342730 ) ( * 1389350 0 )
NEW met3 ( 1308000 1342730 ) ( 2511600 * )
NEW met2 ( 1260240 1325710 0 ) ( * 1344210 )
NEW met2 ( 1260240 1344210 ) via2_FR
NEW met2 ( 2511600 1342730 ) via2_FR ;
- la_data_in_user\[88\] ( mprj la_data_in[88] ) ( mgmt_buffers la_data_in_core[88] ) + USE SIGNAL
+ ROUTED met2 ( 2529840 1372330 ) ( * 1389350 0 )
NEW met3 ( 1262160 1372330 ) ( 2529840 * )
NEW met2 ( 1262160 1325710 0 ) ( * 1372330 )
NEW met2 ( 1262160 1372330 ) via2_FR
NEW met2 ( 2529840 1372330 ) via2_FR ;
- la_data_in_user\[89\] ( mprj la_data_in[89] ) ( mgmt_buffers la_data_in_core[89] ) + USE SIGNAL
+ ROUTED met3 ( 1264560 1344950 ) ( 1308960 * )
NEW met3 ( 1308960 1344210 ) ( * 1344950 )
NEW met2 ( 2547600 1344210 ) ( * 1389350 0 )
NEW met2 ( 1264560 1325710 0 ) ( * 1344950 )
NEW met3 ( 1308960 1344210 ) ( 2547600 * )
NEW met2 ( 1264560 1344950 ) via2_FR
NEW met2 ( 2547600 1344210 ) via2_FR ;
- la_data_in_user\[8\] ( mprj la_data_in[8] ) ( mgmt_buffers la_data_in_core[8] ) + USE SIGNAL
+ ROUTED met1 ( 1087920 1364375 ) ( 1102320 * )
NEW met2 ( 1102320 1364375 ) ( * 1389350 0 )
NEW met2 ( 1087920 1325710 0 ) ( * 1364375 )
NEW met1 ( 1087920 1364375 ) M1M2_PR
NEW met1 ( 1102320 1364375 ) M1M2_PR ;
- la_data_in_user\[90\] ( mprj la_data_in[90] ) ( mgmt_buffers la_data_in_core[90] ) + USE SIGNAL
+ ROUTED met2 ( 2565360 1352350 ) ( * 1389350 0 )
NEW met3 ( 1266960 1352350 ) ( 2565360 * )
NEW met2 ( 1266720 1325710 0 ) ( 1266960 * )
NEW met2 ( 1266960 1325710 ) ( * 1352350 )
NEW met2 ( 2565360 1352350 ) via2_FR
NEW met2 ( 1266960 1352350 ) via2_FR ;
- la_data_in_user\[91\] ( mprj la_data_in[91] ) ( mgmt_buffers la_data_in_core[91] ) + USE SIGNAL
+ ROUTED met2 ( 2583120 1373070 ) ( * 1389350 0 )
NEW met3 ( 1269840 1373070 ) ( 2583120 * )
NEW met2 ( 1268640 1325710 0 ) ( 1269840 * )
NEW met2 ( 1269840 1325710 ) ( * 1373070 )
NEW met2 ( 2583120 1373070 ) via2_FR
NEW met2 ( 1269840 1373070 ) via2_FR ;
- la_data_in_user\[92\] ( mprj la_data_in[92] ) ( mgmt_buffers la_data_in_core[92] ) + USE SIGNAL
+ ROUTED met2 ( 2600880 1373810 ) ( * 1389350 0 )
NEW met3 ( 1270800 1373810 ) ( 2600880 * )
NEW met2 ( 1270800 1325710 0 ) ( * 1373810 )
NEW met2 ( 2600880 1373810 ) via2_FR
NEW met2 ( 1270800 1373810 ) via2_FR ;
- la_data_in_user\[93\] ( mprj la_data_in[93] ) ( mgmt_buffers la_data_in_core[93] ) + USE SIGNAL
+ ROUTED met2 ( 2619120 1383985 ) ( * 1389350 0 )
NEW met2 ( 1273200 1325710 0 ) ( * 1339770 )
NEW met1 ( 1918800 1383985 ) ( 2619120 * )
NEW met3 ( 1273200 1339770 ) ( 1886160 * )
NEW met2 ( 1886640 1339770 ) ( * 1356050 )
NEW met3 ( 1886640 1356050 ) ( 1889760 * )
NEW met4 ( 1889760 1356050 ) ( * 1356975 )
NEW met4 ( 1889760 1356975 ) ( 1891680 * )
NEW met4 ( 1891680 1356975 ) ( * 1360490 )
NEW met3 ( 1891680 1360490 ) ( 1895280 * )
NEW met2 ( 1895280 1358825 ) ( * 1360490 )
NEW met1 ( 1895280 1358825 ) ( 1918800 * )
NEW met2 ( 1886160 1339770 ) ( 1886640 * )
NEW met2 ( 1918800 1358825 ) ( * 1383985 )
NEW met1 ( 2619120 1383985 ) M1M2_PR
NEW met2 ( 1273200 1339770 ) via2_FR
NEW met1 ( 1918800 1383985 ) M1M2_PR
NEW met2 ( 1886160 1339770 ) via2_FR
NEW met2 ( 1886640 1356050 ) via2_FR
NEW met3 ( 1889760 1356050 ) M3M4_PR_M
NEW met3 ( 1891680 1360490 ) M3M4_PR_M
NEW met2 ( 1895280 1360490 ) via2_FR
NEW met1 ( 1895280 1358825 ) M1M2_PR
NEW met1 ( 1918800 1358825 ) M1M2_PR ;
- la_data_in_user\[94\] ( mprj la_data_in[94] ) ( mgmt_buffers la_data_in_core[94] ) + USE SIGNAL
+ ROUTED met3 ( 1640160 1338290 ) ( * 1339030 )
NEW met2 ( 2636880 1326450 ) ( * 1389350 0 )
NEW met2 ( 2064240 1326450 ) ( * 1338475 )
NEW met3 ( 2064240 1326450 ) ( 2636880 * )
NEW met2 ( 1275600 1325710 0 ) ( * 1330335 )
NEW met1 ( 1275600 1330335 ) ( 1293360 * )
NEW met2 ( 1293360 1330335 ) ( * 1338845 )
NEW met4 ( 1461600 1336995 ) ( * 1340510 )
NEW met2 ( 1337520 1338105 ) ( * 1338845 )
NEW met1 ( 1337520 1338105 ) ( 1338960 * )
NEW met2 ( 1338960 1338105 ) ( * 1339030 )
NEW met3 ( 1338960 1339030 ) ( 1339680 * )
NEW met4 ( 1339680 1336995 ) ( * 1339030 )
NEW met1 ( 1293360 1338845 ) ( 1337520 * )
NEW met5 ( 1339680 1336995 ) ( 1461600 * )
NEW met4 ( 1562400 1338290 ) ( * 1340510 )
NEW met3 ( 1461600 1340510 ) ( 1562400 * )
NEW met3 ( 1562400 1338290 ) ( 1640160 * )
NEW met4 ( 1883040 1339030 ) ( * 1340325 )
NEW met4 ( 1883040 1340325 ) ( 1884960 * )
NEW met4 ( 1884960 1338290 ) ( * 1340325 )
NEW met3 ( 1640160 1339030 ) ( 1883040 * )
NEW met3 ( 1988640 1338290 ) ( * 1339770 )
NEW met3 ( 1988640 1339770 ) ( 2008560 * )
NEW met2 ( 2008560 1338475 ) ( * 1339770 )
NEW met3 ( 1884960 1338290 ) ( 1988640 * )
NEW met1 ( 2008560 1338475 ) ( 2064240 * )
NEW met2 ( 2636880 1326450 ) via2_FR
NEW met2 ( 2064240 1326450 ) via2_FR
NEW met1 ( 2064240 1338475 ) M1M2_PR
NEW met1 ( 1275600 1330335 ) M1M2_PR
NEW met1 ( 1293360 1330335 ) M1M2_PR
NEW met1 ( 1293360 1338845 ) M1M2_PR
NEW met4 ( 1461600 1336995 ) via4_FR
NEW met3 ( 1461600 1340510 ) M3M4_PR_M
NEW met1 ( 1337520 1338845 ) M1M2_PR
NEW met1 ( 1337520 1338105 ) M1M2_PR
NEW met1 ( 1338960 1338105 ) M1M2_PR
NEW met2 ( 1338960 1339030 ) via2_FR
NEW met3 ( 1339680 1339030 ) M3M4_PR_M
NEW met4 ( 1339680 1336995 ) via4_FR
NEW met3 ( 1562400 1340510 ) M3M4_PR_M
NEW met3 ( 1562400 1338290 ) M3M4_PR_M
NEW met3 ( 1883040 1339030 ) M3M4_PR_M
NEW met3 ( 1884960 1338290 ) M3M4_PR_M
NEW met2 ( 2008560 1339770 ) via2_FR
NEW met1 ( 2008560 1338475 ) M1M2_PR ;
- la_data_in_user\[95\] ( mprj la_data_in[95] ) ( mgmt_buffers la_data_in_core[95] ) + USE SIGNAL
+ ROUTED met2 ( 1945680 1324230 ) ( * 1324415 )
NEW met2 ( 2063760 1324415 ) ( * 1325710 )
NEW met1 ( 1945680 1324415 ) ( 2063760 * )
NEW met3 ( 2063760 1325710 ) ( 2654640 * )
NEW met2 ( 2654640 1325710 ) ( * 1389350 0 )
NEW met2 ( 1278480 1325525 ) ( * 1325710 )
NEW met2 ( 1277280 1325710 0 ) ( 1278480 * )
NEW met2 ( 1338000 1324230 ) ( * 1325525 )
NEW met1 ( 1278480 1325525 ) ( 1338000 * )
NEW met3 ( 1338000 1324230 ) ( 1945680 * )
NEW met2 ( 1945680 1324230 ) via2_FR
NEW met1 ( 1945680 1324415 ) M1M2_PR
NEW met1 ( 2063760 1324415 ) M1M2_PR
NEW met2 ( 2063760 1325710 ) via2_FR
NEW met2 ( 2654640 1325710 ) via2_FR
NEW met1 ( 1278480 1325525 ) M1M2_PR
NEW met2 ( 1338000 1324230 ) via2_FR
NEW met1 ( 1338000 1325525 ) M1M2_PR ;
- la_data_in_user\[96\] ( mprj la_data_in[96] ) ( mgmt_buffers la_data_in_core[96] ) + USE SIGNAL
+ ROUTED met2 ( 1994160 1355125 ) ( * 1355310 )
NEW met2 ( 1994160 1355310 ) ( 1995120 * )
NEW met2 ( 1995120 1355310 ) ( * 1355495 )
NEW met1 ( 1928400 1355125 ) ( 1994160 * )
NEW met2 ( 2672400 1355495 ) ( * 1389350 0 )
NEW met2 ( 1442640 1327375 ) ( * 1339030 )
NEW met2 ( 1928400 1324785 ) ( * 1355125 )
NEW met2 ( 1279680 1325710 0 ) ( 1280880 * )
NEW met2 ( 1280880 1325710 ) ( * 1337365 )
NEW met4 ( 1682400 1338290 ) ( * 1340510 )
NEW met3 ( 1682160 1340510 ) ( 1682400 * )
NEW met2 ( 1682160 1340325 ) ( * 1340510 )
NEW met1 ( 1638960 1340325 ) ( 1682160 * )
NEW met2 ( 1638960 1338845 ) ( * 1340325 )
NEW met2 ( 2405520 1355310 ) ( * 1355495 )
NEW met2 ( 2405520 1355310 ) ( 2406000 * )
NEW met2 ( 2406000 1355310 ) ( * 1355495 )
NEW met1 ( 2406000 1355495 ) ( 2672400 * )
NEW met2 ( 1345200 1327375 ) ( * 1337365 )
NEW met1 ( 1280880 1337365 ) ( 1345200 * )
NEW met1 ( 1345200 1327375 ) ( 1442640 * )
NEW met2 ( 1560720 1338845 ) ( * 1339030 )
NEW met3 ( 1542240 1339030 ) ( 1560720 * )
NEW met4 ( 1542240 1339030 ) ( * 1340325 )
NEW met4 ( 1539360 1340325 ) ( 1542240 * )
NEW met4 ( 1539360 1339030 ) ( * 1340325 )
NEW met3 ( 1442640 1339030 ) ( 1539360 * )
NEW met1 ( 1560720 1338845 ) ( 1638960 * )
NEW met2 ( 1826160 1324785 ) ( * 1336995 )
NEW met1 ( 1826160 1324785 ) ( 1928400 * )
NEW met2 ( 1736400 1336995 ) ( * 1345690 )
NEW met3 ( 1736160 1345690 ) ( 1736400 * )
NEW met4 ( 1736160 1338290 ) ( * 1345690 )
NEW met3 ( 1682400 1338290 ) ( 1736160 * )
NEW met1 ( 1736400 1336995 ) ( 1826160 * )
NEW met2 ( 2246640 1355495 ) ( * 1356050 )
NEW met3 ( 2246640 1356050 ) ( 2248080 * )
NEW met2 ( 2248080 1355495 ) ( * 1356050 )
NEW met1 ( 1995120 1355495 ) ( 2246640 * )
NEW met1 ( 2248080 1355495 ) ( 2405520 * )
NEW met1 ( 1994160 1355125 ) M1M2_PR
NEW met1 ( 1995120 1355495 ) M1M2_PR
NEW met1 ( 1928400 1355125 ) M1M2_PR
NEW met1 ( 2672400 1355495 ) M1M2_PR
NEW met1 ( 1442640 1327375 ) M1M2_PR
NEW met2 ( 1442640 1339030 ) via2_FR
NEW met1 ( 1928400 1324785 ) M1M2_PR
NEW met1 ( 1280880 1337365 ) M1M2_PR
NEW met3 ( 1682400 1338290 ) M3M4_PR_M
NEW met3 ( 1682400 1340510 ) M3M4_PR_M
NEW met2 ( 1682160 1340510 ) via2_FR
NEW met1 ( 1682160 1340325 ) M1M2_PR
NEW met1 ( 1638960 1340325 ) M1M2_PR
NEW met1 ( 1638960 1338845 ) M1M2_PR
NEW met1 ( 2405520 1355495 ) M1M2_PR
NEW met1 ( 2406000 1355495 ) M1M2_PR
NEW met1 ( 1345200 1337365 ) M1M2_PR
NEW met1 ( 1345200 1327375 ) M1M2_PR
NEW met1 ( 1560720 1338845 ) M1M2_PR
NEW met2 ( 1560720 1339030 ) via2_FR
NEW met3 ( 1542240 1339030 ) M3M4_PR_M
NEW met3 ( 1539360 1339030 ) M3M4_PR_M
NEW met1 ( 1826160 1336995 ) M1M2_PR
NEW met1 ( 1826160 1324785 ) M1M2_PR
NEW met1 ( 1736400 1336995 ) M1M2_PR
NEW met2 ( 1736400 1345690 ) via2_FR
NEW met3 ( 1736160 1345690 ) M3M4_PR_M
NEW met3 ( 1736160 1338290 ) M3M4_PR_M
NEW met1 ( 2246640 1355495 ) M1M2_PR
NEW met2 ( 2246640 1356050 ) via2_FR
NEW met2 ( 2248080 1356050 ) via2_FR
NEW met1 ( 2248080 1355495 ) M1M2_PR
NEW met3 ( 1682400 1340510 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1736400 1345690 ) RECT ( 0 -150 380 150 ) ;
- la_data_in_user\[97\] ( mprj la_data_in[97] ) ( mgmt_buffers la_data_in_core[97] ) + USE SIGNAL
+ ROUTED met2 ( 1341360 1324785 ) ( * 1339030 )
NEW met2 ( 1743120 1324785 ) ( * 1335515 )
NEW met2 ( 1283280 1324785 ) ( * 1324970 )
NEW met2 ( 1281840 1324970 0 ) ( 1283280 * )
NEW met1 ( 1283280 1324785 ) ( 1341360 * )
NEW met3 ( 1886880 1339030 ) ( * 1339770 )
NEW met2 ( 2063280 1324785 ) ( * 1324970 )
NEW met3 ( 2063280 1324970 ) ( 2690160 * )
NEW met2 ( 2690160 1324970 ) ( * 1389350 0 )
NEW met2 ( 1437840 1324785 ) ( * 1339030 )
NEW met3 ( 1341360 1339030 ) ( 1437840 * )
NEW met2 ( 1998000 1324785 ) ( * 1342915 )
NEW met1 ( 1977360 1342915 ) ( 1998000 * )
NEW met2 ( 1977360 1339770 ) ( * 1342915 )
NEW met3 ( 1886880 1339770 ) ( 1977360 * )
NEW met1 ( 1998000 1324785 ) ( 2063280 * )
NEW met1 ( 1546320 1324415 ) ( * 1324785 )
NEW met1 ( 1437840 1324785 ) ( 1546320 * )
NEW met1 ( 1626000 1324415 ) ( * 1324785 )
NEW met1 ( 1546320 1324415 ) ( 1626000 * )
NEW met1 ( 1626000 1324785 ) ( 1743120 * )
NEW met1 ( 1836240 1335515 ) ( * 1335885 )
NEW met1 ( 1836240 1335885 ) ( 1885200 * )
NEW met2 ( 1885200 1335885 ) ( * 1339030 )
NEW met1 ( 1743120 1335515 ) ( 1836240 * )
NEW met3 ( 1885200 1339030 ) ( 1886880 * )
NEW met1 ( 1341360 1324785 ) M1M2_PR
NEW met2 ( 1341360 1339030 ) via2_FR
NEW met1 ( 1743120 1324785 ) M1M2_PR
NEW met1 ( 1743120 1335515 ) M1M2_PR
NEW met1 ( 1283280 1324785 ) M1M2_PR
NEW met1 ( 2063280 1324785 ) M1M2_PR
NEW met2 ( 2063280 1324970 ) via2_FR
NEW met2 ( 2690160 1324970 ) via2_FR
NEW met1 ( 1437840 1324785 ) M1M2_PR
NEW met2 ( 1437840 1339030 ) via2_FR
NEW met1 ( 1998000 1324785 ) M1M2_PR
NEW met1 ( 1998000 1342915 ) M1M2_PR
NEW met1 ( 1977360 1342915 ) M1M2_PR
NEW met2 ( 1977360 1339770 ) via2_FR
NEW met1 ( 1885200 1335885 ) M1M2_PR
NEW met2 ( 1885200 1339030 ) via2_FR ;
- la_data_in_user\[98\] ( mprj la_data_in[98] ) ( mgmt_buffers la_data_in_core[98] ) + USE SIGNAL
+ ROUTED met2 ( 1891440 1367150 ) ( * 1369370 )
NEW met3 ( 1284240 1369370 ) ( 1891440 * )
NEW met2 ( 2707920 1354385 ) ( * 1389350 0 )
NEW met2 ( 1284240 1325710 0 ) ( * 1369370 )
NEW met2 ( 1964400 1354385 ) ( * 1367150 )
NEW met3 ( 1891440 1367150 ) ( 1964400 * )
NEW met2 ( 2261040 1354385 ) ( * 1354570 )
NEW met3 ( 2261040 1354570 ) ( 2262480 * )
NEW met2 ( 2262480 1354385 ) ( * 1354570 )
NEW met1 ( 1964400 1354385 ) ( 2261040 * )
NEW met1 ( 2262480 1354385 ) ( 2707920 * )
NEW met2 ( 1284240 1369370 ) via2_FR
NEW met2 ( 1891440 1367150 ) via2_FR
NEW met2 ( 1891440 1369370 ) via2_FR
NEW met1 ( 2707920 1354385 ) M1M2_PR
NEW met1 ( 1964400 1354385 ) M1M2_PR
NEW met2 ( 1964400 1367150 ) via2_FR
NEW met1 ( 2261040 1354385 ) M1M2_PR
NEW met2 ( 2261040 1354570 ) via2_FR
NEW met2 ( 2262480 1354570 ) via2_FR
NEW met1 ( 2262480 1354385 ) M1M2_PR ;
- la_data_in_user\[99\] ( mprj la_data_in[99] ) ( mgmt_buffers la_data_in_core[99] ) + USE SIGNAL
+ ROUTED met2 ( 1588080 1340510 ) ( * 1344950 )
NEW met2 ( 2726160 1355865 ) ( * 1389350 0 )
NEW met2 ( 1286160 1325710 0 ) ( 1286640 * )
NEW met2 ( 1286640 1325710 ) ( * 1340510 )
NEW met2 ( 1681200 1338475 ) ( * 1340510 )
NEW met3 ( 1588080 1340510 ) ( 1681200 * )
NEW met2 ( 1786800 1338475 ) ( * 1356605 )
NEW met1 ( 1786800 1356605 ) ( 1810800 * )
NEW met1 ( 1810800 1355865 ) ( * 1356605 )
NEW met1 ( 1810800 1355865 ) ( 1885680 * )
NEW met2 ( 1885680 1355865 ) ( 1886160 * )
NEW met1 ( 1681200 1338475 ) ( 1786800 * )
NEW met2 ( 1388400 1340510 ) ( * 1341065 )
NEW met1 ( 1388400 1341065 ) ( 1411440 * )
NEW met2 ( 1411440 1341065 ) ( * 1344950 )
NEW met3 ( 1286640 1340510 ) ( 1388400 * )
NEW met3 ( 1411440 1344950 ) ( 1588080 * )
NEW met2 ( 2158320 1354755 ) ( * 1355865 )
NEW met2 ( 2158320 1354755 ) ( 2159280 * )
NEW met2 ( 2159280 1354755 ) ( * 1355865 )
NEW met1 ( 2159280 1355865 ) ( 2726160 * )
NEW met1 ( 1886160 1355865 ) ( 2158320 * )
NEW met2 ( 1588080 1344950 ) via2_FR
NEW met2 ( 1588080 1340510 ) via2_FR
NEW met2 ( 1286640 1340510 ) via2_FR
NEW met1 ( 2726160 1355865 ) M1M2_PR
NEW met1 ( 1681200 1338475 ) M1M2_PR
NEW met2 ( 1681200 1340510 ) via2_FR
NEW met1 ( 1786800 1338475 ) M1M2_PR
NEW met1 ( 1786800 1356605 ) M1M2_PR
NEW met1 ( 1885680 1355865 ) M1M2_PR
NEW met1 ( 1886160 1355865 ) M1M2_PR
NEW met2 ( 1388400 1340510 ) via2_FR
NEW met1 ( 1388400 1341065 ) M1M2_PR
NEW met1 ( 1411440 1341065 ) M1M2_PR
NEW met2 ( 1411440 1344950 ) via2_FR
NEW met1 ( 2158320 1355865 ) M1M2_PR
NEW met1 ( 2159280 1355865 ) M1M2_PR ;
- la_data_in_user\[9\] ( mprj la_data_in[9] ) ( mgmt_buffers la_data_in_core[9] ) + USE SIGNAL
+ ROUTED met1 ( 1091280 1361415 ) ( 1120080 * )
NEW met2 ( 1120080 1361415 ) ( * 1389350 0 )
NEW met2 ( 1090080 1325710 0 ) ( 1091280 * )
NEW met2 ( 1091280 1325710 ) ( * 1361415 )
NEW met1 ( 1091280 1361415 ) M1M2_PR
NEW met1 ( 1120080 1361415 ) M1M2_PR ;
- la_data_out_mprj\[0\] ( soc la_output[0] ) ( mgmt_buffers la_data_out_mprj[0] ) + USE SIGNAL
+ ROUTED met2 ( 1062000 1175490 ) ( * 1232470 0 )
NEW met2 ( 1674960 1167350 ) ( * 1175490 )
NEW met2 ( 1674960 1167350 ) ( 1676160 * 0 )
NEW met3 ( 1062000 1175490 ) ( 1674960 * )
NEW met2 ( 1062000 1175490 ) via2_FR
NEW met2 ( 1674960 1175490 ) via2_FR ;
- la_data_out_mprj\[100\] ( soc la_output[100] ) ( mgmt_buffers la_data_out_mprj[100] ) + USE SIGNAL
+ ROUTED met2 ( 1201440 1167350 0 ) ( 1202640 * )
NEW met2 ( 1202640 1167350 ) ( * 1194915 )
NEW met2 ( 1278480 1194915 ) ( * 1232470 )
NEW met2 ( 1278480 1232470 ) ( 1279680 * 0 )
NEW met1 ( 1202640 1194915 ) ( 1278480 * )
NEW met1 ( 1202640 1194915 ) M1M2_PR
NEW met1 ( 1278480 1194915 ) M1M2_PR ;
- la_data_out_mprj\[101\] ( soc la_output[101] ) ( mgmt_buffers la_data_out_mprj[101] ) + USE SIGNAL
+ ROUTED met2 ( 1281840 1220445 ) ( * 1232470 0 )
NEW met2 ( 1676880 1167350 ) ( 1678080 * 0 )
NEW met2 ( 1676880 1167350 ) ( * 1220445 )
NEW met1 ( 1281840 1220445 ) ( 1676880 * )
NEW met1 ( 1281840 1220445 ) M1M2_PR
NEW met1 ( 1676880 1220445 ) M1M2_PR ;
- la_data_out_mprj\[102\] ( soc la_output[102] ) ( mgmt_buffers la_data_out_mprj[102] ) + USE SIGNAL
+ ROUTED met2 ( 1199520 1167350 0 ) ( 1200720 * )
NEW met2 ( 1200720 1167350 ) ( * 1194175 )
NEW met2 ( 1284240 1194175 ) ( * 1232470 0 )
NEW met1 ( 1200720 1194175 ) ( 1284240 * )
NEW met1 ( 1200720 1194175 ) M1M2_PR
NEW met1 ( 1284240 1194175 ) M1M2_PR ;
- la_data_out_mprj\[103\] ( soc la_output[103] ) ( mgmt_buffers la_data_out_mprj[103] ) + USE SIGNAL
+ ROUTED met2 ( 1286640 1177895 ) ( * 1232470 )
NEW met2 ( 1286160 1232470 0 ) ( 1286640 * )
NEW met2 ( 1679760 1167350 0 ) ( * 1177895 )
NEW met1 ( 1286640 1177895 ) ( 1679760 * )
NEW met1 ( 1286640 1177895 ) M1M2_PR
NEW met1 ( 1679760 1177895 ) M1M2_PR ;
- la_data_out_mprj\[104\] ( soc la_output[104] ) ( mgmt_buffers la_data_out_mprj[104] ) + USE SIGNAL
+ ROUTED met2 ( 1197840 1167350 0 ) ( * 1194545 )
NEW met2 ( 1287120 1194545 ) ( * 1232470 )
NEW met2 ( 1287120 1232470 ) ( 1288320 * 0 )
NEW met1 ( 1197840 1194545 ) ( 1287120 * )
NEW met1 ( 1197840 1194545 ) M1M2_PR
NEW met1 ( 1287120 1194545 ) M1M2_PR ;
- la_data_out_mprj\[105\] ( soc la_output[105] ) ( mgmt_buffers la_data_out_mprj[105] ) + USE SIGNAL
+ ROUTED met2 ( 1291920 1220075 ) ( * 1232470 )
NEW met2 ( 1290720 1232470 0 ) ( 1291920 * )
NEW met2 ( 1681680 1167350 0 ) ( * 1220075 )
NEW met1 ( 1291920 1220075 ) ( 1681680 * )
NEW met1 ( 1291920 1220075 ) M1M2_PR
NEW met1 ( 1681680 1220075 ) M1M2_PR ;
- la_data_out_mprj\[106\] ( soc la_output[106] ) ( mgmt_buffers la_data_out_mprj[106] ) + USE SIGNAL
+ ROUTED met2 ( 1195920 1167350 0 ) ( * 1207495 )
NEW met2 ( 1292880 1207495 ) ( * 1232470 0 )
NEW met1 ( 1195920 1207495 ) ( 1292880 * )
NEW met1 ( 1195920 1207495 ) M1M2_PR
NEW met1 ( 1292880 1207495 ) M1M2_PR ;
- la_data_out_mprj\[107\] ( soc la_output[107] ) ( mgmt_buffers la_data_out_mprj[107] ) + USE SIGNAL
+ ROUTED met2 ( 1294800 1178635 ) ( * 1232470 0 )
NEW met2 ( 1683600 1167350 0 ) ( * 1178635 )
NEW met1 ( 1294800 1178635 ) ( 1683600 * )
NEW met1 ( 1294800 1178635 ) M1M2_PR
NEW met1 ( 1683600 1178635 ) M1M2_PR ;
- la_data_out_mprj\[108\] ( soc la_output[108] ) ( mgmt_buffers la_data_out_mprj[108] ) + USE SIGNAL
+ ROUTED met2 ( 1194000 1167350 0 ) ( * 1187145 )
NEW met2 ( 1297200 1187145 ) ( * 1232470 0 )
NEW met1 ( 1194000 1187145 ) ( 1297200 * )
NEW met1 ( 1194000 1187145 ) M1M2_PR
NEW met1 ( 1297200 1187145 ) M1M2_PR ;
- la_data_out_mprj\[109\] ( soc la_output[109] ) ( mgmt_buffers la_data_out_mprj[109] ) + USE SIGNAL
+ ROUTED met2 ( 1590480 1214895 ) ( * 1220815 )
NEW met2 ( 1300560 1220815 ) ( * 1232470 )
NEW met2 ( 1299360 1232470 0 ) ( 1300560 * )
NEW met1 ( 1300560 1220815 ) ( 1590480 * )
NEW met2 ( 1685280 1167350 0 ) ( 1685520 * )
NEW met2 ( 1685520 1167350 ) ( * 1214895 )
NEW met1 ( 1590480 1214895 ) ( 1685520 * )
NEW met1 ( 1590480 1220815 ) M1M2_PR
NEW met1 ( 1590480 1214895 ) M1M2_PR
NEW met1 ( 1300560 1220815 ) M1M2_PR
NEW met1 ( 1685520 1214895 ) M1M2_PR ;
- la_data_out_mprj\[10\] ( soc la_output[10] ) ( mgmt_buffers la_data_out_mprj[10] ) + USE SIGNAL
+ ROUTED met2 ( 1191120 1167350 ) ( 1192320 * 0 )
NEW met2 ( 1191120 1167350 ) ( * 1195285 )
NEW met1 ( 1181040 1195285 ) ( 1191120 * )
NEW met2 ( 1181040 1195285 ) ( * 1204535 )
NEW met2 ( 1083600 1204535 ) ( * 1232470 0 )
NEW met1 ( 1083600 1204535 ) ( 1181040 * )
NEW met1 ( 1191120 1195285 ) M1M2_PR
NEW met1 ( 1181040 1195285 ) M1M2_PR
NEW met1 ( 1181040 1204535 ) M1M2_PR
NEW met1 ( 1083600 1204535 ) M1M2_PR ;
- la_data_out_mprj\[110\] ( soc la_output[110] ) ( mgmt_buffers la_data_out_mprj[110] ) + USE SIGNAL
+ ROUTED met2 ( 1302960 1177525 ) ( * 1232470 )
NEW met2 ( 1301760 1232470 0 ) ( 1302960 * )
NEW met2 ( 1686000 1167350 ) ( 1687200 * 0 )
NEW met2 ( 1686000 1167350 ) ( * 1177525 )
NEW met1 ( 1302960 1177525 ) ( 1686000 * )
NEW met1 ( 1302960 1177525 ) M1M2_PR
NEW met1 ( 1686000 1177525 ) M1M2_PR ;
- la_data_out_mprj\[111\] ( soc la_output[111] ) ( mgmt_buffers la_data_out_mprj[111] ) + USE SIGNAL
+ ROUTED met2 ( 1303440 1208975 ) ( * 1232470 0 )
NEW met2 ( 1190400 1167350 0 ) ( * 1168645 )
NEW met1 ( 1190400 1168645 ) ( 1195440 * )
NEW met2 ( 1195440 1168645 ) ( * 1208975 )
NEW met1 ( 1195440 1208975 ) ( 1303440 * )
NEW met1 ( 1303440 1208975 ) M1M2_PR
NEW met1 ( 1190400 1168645 ) M1M2_PR
NEW met1 ( 1195440 1168645 ) M1M2_PR
NEW met1 ( 1195440 1208975 ) M1M2_PR ;
- la_data_out_mprj\[112\] ( soc la_output[112] ) ( mgmt_buffers la_data_out_mprj[112] ) + USE SIGNAL
+ ROUTED met2 ( 1590960 1216005 ) ( * 1219705 )
NEW met2 ( 1305840 1219705 ) ( * 1232470 0 )
NEW met1 ( 1305840 1219705 ) ( 1590960 * )
NEW met2 ( 1687920 1167350 ) ( 1689120 * 0 )
NEW met2 ( 1687920 1167350 ) ( * 1216005 )
NEW met1 ( 1590960 1216005 ) ( 1687920 * )
NEW met1 ( 1590960 1219705 ) M1M2_PR
NEW met1 ( 1590960 1216005 ) M1M2_PR
NEW met1 ( 1305840 1219705 ) M1M2_PR
NEW met1 ( 1687920 1216005 ) M1M2_PR ;
- la_data_out_mprj\[113\] ( soc la_output[113] ) ( mgmt_buffers la_data_out_mprj[113] ) + USE SIGNAL
+ ROUTED met2 ( 1188480 1167350 0 ) ( 1189680 * )
NEW met2 ( 1189680 1167350 ) ( * 1201205 )
NEW met2 ( 1308240 1201205 ) ( * 1232470 0 )
NEW met1 ( 1189680 1201205 ) ( 1308240 * )
NEW met1 ( 1189680 1201205 ) M1M2_PR
NEW met1 ( 1308240 1201205 ) M1M2_PR ;
- la_data_out_mprj\[114\] ( soc la_output[114] ) ( mgmt_buffers la_data_out_mprj[114] ) + USE SIGNAL
+ ROUTED met2 ( 1690800 1167350 0 ) ( * 1178265 )
NEW met1 ( 1309680 1178265 ) ( 1690800 * )
NEW met2 ( 1309680 1230990 ) ( 1309920 * )
NEW met2 ( 1309920 1230990 ) ( * 1232470 0 )
NEW met2 ( 1309680 1178265 ) ( * 1230990 )
NEW met1 ( 1309680 1178265 ) M1M2_PR
NEW met1 ( 1690800 1178265 ) M1M2_PR ;
- la_data_out_mprj\[115\] ( soc la_output[115] ) ( mgmt_buffers la_data_out_mprj[115] ) + USE SIGNAL
+ ROUTED met2 ( 1186800 1167350 0 ) ( * 1200465 )
NEW met2 ( 1311120 1200465 ) ( * 1232470 )
NEW met2 ( 1311120 1232470 ) ( 1312320 * 0 )
NEW met1 ( 1186800 1200465 ) ( 1311120 * )
NEW met1 ( 1186800 1200465 ) M1M2_PR
NEW met1 ( 1311120 1200465 ) M1M2_PR ;
- la_data_out_mprj\[116\] ( soc la_output[116] ) ( mgmt_buffers la_data_out_mprj[116] ) + USE SIGNAL
+ ROUTED met2 ( 1389840 1215635 ) ( * 1221555 )
NEW met2 ( 1314480 1215635 ) ( * 1232470 0 )
NEW met1 ( 1314480 1215635 ) ( 1389840 * )
NEW met2 ( 1598640 1213415 ) ( * 1223035 )
NEW met1 ( 1598640 1213415 ) ( 1692720 * )
NEW met2 ( 1692720 1167350 0 ) ( * 1213415 )
NEW met2 ( 1533840 1221555 ) ( * 1223035 )
NEW met1 ( 1389840 1221555 ) ( 1533840 * )
NEW met1 ( 1533840 1223035 ) ( 1598640 * )
NEW met1 ( 1389840 1215635 ) M1M2_PR
NEW met1 ( 1389840 1221555 ) M1M2_PR
NEW met1 ( 1314480 1215635 ) M1M2_PR
NEW met1 ( 1598640 1223035 ) M1M2_PR
NEW met1 ( 1598640 1213415 ) M1M2_PR
NEW met1 ( 1692720 1213415 ) M1M2_PR
NEW met1 ( 1533840 1221555 ) M1M2_PR
NEW met1 ( 1533840 1223035 ) M1M2_PR ;
- la_data_out_mprj\[117\] ( soc la_output[117] ) ( mgmt_buffers la_data_out_mprj[117] ) + USE SIGNAL
+ ROUTED met2 ( 1184880 1167350 0 ) ( * 1200835 )
NEW met2 ( 1316880 1200835 ) ( * 1232470 0 )
NEW met1 ( 1184880 1200835 ) ( 1316880 * )
NEW met1 ( 1184880 1200835 ) M1M2_PR
NEW met1 ( 1316880 1200835 ) M1M2_PR ;
- la_data_out_mprj\[118\] ( soc la_output[118] ) ( mgmt_buffers la_data_out_mprj[118] ) + USE SIGNAL
+ ROUTED met2 ( 1318800 1179005 ) ( * 1232470 0 )
NEW met2 ( 1694640 1167350 0 ) ( * 1179005 )
NEW met1 ( 1318800 1179005 ) ( 1694640 * )
NEW met1 ( 1318800 1179005 ) M1M2_PR
NEW met1 ( 1694640 1179005 ) M1M2_PR ;
- la_data_out_mprj\[119\] ( soc la_output[119] ) ( mgmt_buffers la_data_out_mprj[119] ) + USE SIGNAL
+ ROUTED met2 ( 1182960 1167350 0 ) ( * 1199725 )
NEW met2 ( 1319760 1200095 ) ( * 1232470 )
NEW met2 ( 1319760 1232470 ) ( 1320960 * 0 )
NEW met1 ( 1238160 1199355 ) ( * 1199725 )
NEW met1 ( 1238160 1199355 ) ( 1244400 * )
NEW met1 ( 1244400 1199355 ) ( * 1200095 )
NEW met1 ( 1182960 1199725 ) ( 1238160 * )
NEW met1 ( 1244400 1200095 ) ( 1319760 * )
NEW met1 ( 1182960 1199725 ) M1M2_PR
NEW met1 ( 1319760 1200095 ) M1M2_PR ;
- la_data_out_mprj\[11\] ( soc la_output[11] ) ( mgmt_buffers la_data_out_mprj[11] ) + USE SIGNAL
+ ROUTED met2 ( 1138800 1211195 ) ( * 1211750 )
NEW met2 ( 1551120 1211750 ) ( * 1212490 )
NEW met2 ( 1551120 1212490 ) ( 1552560 * )
NEW met3 ( 1552560 1212490 ) ( 1640880 * )
NEW met2 ( 1640880 1212490 ) ( * 1212675 )
NEW met2 ( 1136880 1210270 ) ( * 1211195 )
NEW met3 ( 1086000 1210270 ) ( 1136880 * )
NEW met2 ( 1086000 1210270 ) ( * 1232470 0 )
NEW met1 ( 1136880 1211195 ) ( 1138800 * )
NEW met3 ( 1138800 1211750 ) ( 1551120 * )
NEW met2 ( 1695120 1167350 ) ( 1696320 * 0 )
NEW met2 ( 1695120 1167350 ) ( * 1211750 )
NEW met3 ( 1642800 1211750 ) ( 1695120 * )
NEW met2 ( 1642800 1211750 ) ( * 1212675 )
NEW met1 ( 1640880 1212675 ) ( 1642800 * )
NEW met1 ( 1138800 1211195 ) M1M2_PR
NEW met2 ( 1138800 1211750 ) via2_FR
NEW met2 ( 1551120 1211750 ) via2_FR
NEW met2 ( 1552560 1212490 ) via2_FR
NEW met2 ( 1640880 1212490 ) via2_FR
NEW met1 ( 1640880 1212675 ) M1M2_PR
NEW met1 ( 1136880 1211195 ) M1M2_PR
NEW met2 ( 1136880 1210270 ) via2_FR
NEW met2 ( 1086000 1210270 ) via2_FR
NEW met2 ( 1695120 1211750 ) via2_FR
NEW met2 ( 1642800 1211750 ) via2_FR
NEW met1 ( 1642800 1212675 ) M1M2_PR ;
- la_data_out_mprj\[120\] ( soc la_output[120] ) ( mgmt_buffers la_data_out_mprj[120] ) + USE SIGNAL
+ ROUTED met2 ( 1181280 1167350 0 ) ( 1182480 * )
NEW met2 ( 1182480 1167350 ) ( * 1208235 )
NEW met2 ( 1322160 1208235 ) ( * 1232470 )
NEW met2 ( 1322160 1232470 ) ( 1323360 * 0 )
NEW met1 ( 1182480 1208235 ) ( 1322160 * )
NEW met1 ( 1182480 1208235 ) M1M2_PR
NEW met1 ( 1322160 1208235 ) M1M2_PR ;
- la_data_out_mprj\[121\] ( soc la_output[121] ) ( mgmt_buffers la_data_out_mprj[121] ) + USE SIGNAL
+ ROUTED met2 ( 1325520 1179745 ) ( * 1232470 0 )
NEW met2 ( 1697040 1167350 ) ( 1698240 * 0 )
NEW met2 ( 1697040 1167350 ) ( * 1179745 )
NEW met1 ( 1325520 1179745 ) ( 1697040 * )
NEW met1 ( 1325520 1179745 ) M1M2_PR
NEW met1 ( 1697040 1179745 ) M1M2_PR ;
- la_data_out_mprj\[122\] ( soc la_output[122] ) ( mgmt_buffers la_data_out_mprj[122] ) + USE SIGNAL
+ ROUTED met2 ( 1179360 1167350 0 ) ( 1180560 * )
NEW met2 ( 1180560 1167350 ) ( * 1177155 )
NEW met2 ( 1327440 1177155 ) ( * 1232470 0 )
NEW met1 ( 1180560 1177155 ) ( 1327440 * )
NEW met1 ( 1180560 1177155 ) M1M2_PR
NEW met1 ( 1327440 1177155 ) M1M2_PR ;
- la_data_out_mprj\[123\] ( soc la_output[123] ) ( mgmt_buffers la_data_out_mprj[123] ) + USE SIGNAL
+ ROUTED met2 ( 1389360 1216375 ) ( * 1221925 )
NEW met2 ( 1552560 1215635 ) ( * 1221925 )
NEW met2 ( 1329840 1216375 ) ( * 1232470 0 )
NEW met1 ( 1329840 1216375 ) ( 1389360 * )
NEW met1 ( 1389360 1221925 ) ( 1552560 * )
NEW met2 ( 1699440 1167350 ) ( 1700160 * 0 )
NEW met2 ( 1699440 1167350 ) ( * 1215635 )
NEW met1 ( 1552560 1215635 ) ( 1699440 * )
NEW met1 ( 1389360 1216375 ) M1M2_PR
NEW met1 ( 1389360 1221925 ) M1M2_PR
NEW met1 ( 1552560 1221925 ) M1M2_PR
NEW met1 ( 1552560 1215635 ) M1M2_PR
NEW met1 ( 1329840 1216375 ) M1M2_PR
NEW met1 ( 1699440 1215635 ) M1M2_PR ;
- la_data_out_mprj\[124\] ( soc la_output[124] ) ( mgmt_buffers la_data_out_mprj[124] ) + USE SIGNAL
+ ROUTED met2 ( 1177440 1167350 0 ) ( 1178640 * )
NEW met2 ( 1178640 1167350 ) ( * 1179375 )
NEW met2 ( 1330800 1179375 ) ( * 1232470 )
NEW met2 ( 1330800 1232470 ) ( 1332000 * 0 )
NEW met1 ( 1178640 1179375 ) ( 1330800 * )
NEW met1 ( 1178640 1179375 ) M1M2_PR
NEW met1 ( 1330800 1179375 ) M1M2_PR ;
- la_data_out_mprj\[125\] ( soc la_output[125] ) ( mgmt_buffers la_data_out_mprj[125] ) + USE SIGNAL
+ ROUTED met2 ( 1335600 1179375 ) ( * 1232470 )
NEW met2 ( 1334400 1232470 0 ) ( 1335600 * )
NEW met2 ( 1701840 1167350 0 ) ( * 1179375 )
NEW met1 ( 1335600 1179375 ) ( 1701840 * )
NEW met1 ( 1335600 1179375 ) M1M2_PR
NEW met1 ( 1701840 1179375 ) M1M2_PR ;
- la_data_out_mprj\[126\] ( soc la_output[126] ) ( mgmt_buffers la_data_out_mprj[126] ) + USE SIGNAL
+ ROUTED met2 ( 1175760 1167350 0 ) ( * 1221185 )
NEW met2 ( 1336080 1221185 ) ( * 1232470 0 )
NEW met1 ( 1175760 1221185 ) ( 1336080 * )
NEW met1 ( 1175760 1221185 ) M1M2_PR
NEW met1 ( 1336080 1221185 ) M1M2_PR ;
- la_data_out_mprj\[127\] ( soc la_output[127] ) ( mgmt_buffers la_data_out_mprj[127] ) + USE SIGNAL
+ ROUTED met2 ( 1591440 1216375 ) ( * 1221185 )
NEW met2 ( 1703760 1167350 0 ) ( * 1216375 )
NEW met1 ( 1591440 1216375 ) ( 1703760 * )
NEW met2 ( 1383120 1221185 ) ( * 1232655 )
NEW met1 ( 1339920 1232655 ) ( 1383120 * )
NEW met2 ( 1339920 1232470 ) ( * 1232655 )
NEW met2 ( 1338480 1232470 0 ) ( 1339920 * )
NEW met1 ( 1383120 1221185 ) ( 1591440 * )
NEW met1 ( 1591440 1221185 ) M1M2_PR
NEW met1 ( 1591440 1216375 ) M1M2_PR
NEW met1 ( 1703760 1216375 ) M1M2_PR
NEW met1 ( 1383120 1221185 ) M1M2_PR
NEW met1 ( 1383120 1232655 ) M1M2_PR
NEW met1 ( 1339920 1232655 ) M1M2_PR ;
- la_data_out_mprj\[12\] ( soc la_output[12] ) ( mgmt_buffers la_data_out_mprj[12] ) + USE SIGNAL
+ ROUTED met2 ( 1173840 1167350 0 ) ( * 1200835 )
NEW met2 ( 1087920 1200835 ) ( * 1232470 0 )
NEW met1 ( 1087920 1200835 ) ( 1173840 * )
NEW met1 ( 1173840 1200835 ) M1M2_PR
NEW met1 ( 1087920 1200835 ) M1M2_PR ;
- la_data_out_mprj\[13\] ( soc la_output[13] ) ( mgmt_buffers la_data_out_mprj[13] ) + USE SIGNAL
+ ROUTED met2 ( 1091280 1176230 ) ( * 1232470 )
NEW met2 ( 1090080 1232470 0 ) ( 1091280 * )
NEW met2 ( 1705680 1167350 0 ) ( * 1176230 )
NEW met3 ( 1091280 1176230 ) ( 1705680 * )
NEW met2 ( 1091280 1176230 ) via2_FR
NEW met2 ( 1705680 1176230 ) via2_FR ;
- la_data_out_mprj\[14\] ( soc la_output[14] ) ( mgmt_buffers la_data_out_mprj[14] ) + USE SIGNAL
+ ROUTED met2 ( 1171920 1167350 0 ) ( * 1209345 )
NEW met2 ( 1093680 1209345 ) ( * 1232470 )
NEW met2 ( 1092480 1232470 0 ) ( 1093680 * )
NEW met1 ( 1093680 1209345 ) ( 1171920 * )
NEW met1 ( 1171920 1209345 ) M1M2_PR
NEW met1 ( 1093680 1209345 ) M1M2_PR ;
- la_data_out_mprj\[15\] ( soc la_output[15] ) ( mgmt_buffers la_data_out_mprj[15] ) + USE SIGNAL
+ ROUTED met3 ( 1188000 1213230 ) ( * 1213970 )
NEW met2 ( 1094640 1213970 ) ( * 1232470 0 )
NEW met3 ( 1094640 1213970 ) ( 1188000 * )
NEW met2 ( 1706160 1167350 ) ( * 1213230 )
NEW met2 ( 1706160 1167350 ) ( 1707360 * 0 )
NEW met3 ( 1188000 1213230 ) ( 1706160 * )
NEW met2 ( 1094640 1213970 ) via2_FR
NEW met2 ( 1706160 1213230 ) via2_FR ;
- la_data_out_mprj\[16\] ( soc la_output[16] ) ( mgmt_buffers la_data_out_mprj[16] ) + USE SIGNAL
+ ROUTED met2 ( 1169040 1167350 ) ( 1170240 * 0 )
NEW met2 ( 1169040 1167350 ) ( * 1208605 )
NEW met2 ( 1096560 1208605 ) ( * 1232470 0 )
NEW met1 ( 1096560 1208605 ) ( 1169040 * )
NEW met1 ( 1169040 1208605 ) M1M2_PR
NEW met1 ( 1096560 1208605 ) M1M2_PR ;
- la_data_out_mprj\[17\] ( soc la_output[17] ) ( mgmt_buffers la_data_out_mprj[17] ) + USE SIGNAL
+ ROUTED met2 ( 1098960 1178450 ) ( * 1232470 0 )
NEW met2 ( 1708080 1167350 ) ( * 1178450 )
NEW met2 ( 1708080 1167350 ) ( 1709280 * 0 )
NEW met3 ( 1098960 1178450 ) ( 1708080 * )
NEW met2 ( 1098960 1178450 ) via2_FR
NEW met2 ( 1708080 1178450 ) via2_FR ;
- la_data_out_mprj\[18\] ( soc la_output[18] ) ( mgmt_buffers la_data_out_mprj[18] ) + USE SIGNAL
+ ROUTED met2 ( 1167120 1167350 ) ( 1168320 * 0 )
NEW met2 ( 1167120 1167350 ) ( * 1204905 )
NEW met2 ( 1102320 1204905 ) ( * 1232470 )
NEW met2 ( 1101120 1232470 0 ) ( 1102320 * )
NEW met1 ( 1102320 1204905 ) ( 1167120 * )
NEW met1 ( 1167120 1204905 ) M1M2_PR
NEW met1 ( 1102320 1204905 ) M1M2_PR ;
- la_data_out_mprj\[19\] ( soc la_output[19] ) ( mgmt_buffers la_data_out_mprj[19] ) + USE SIGNAL
+ ROUTED met2 ( 1187280 1213230 ) ( * 1213970 )
NEW met2 ( 1187280 1213970 ) ( 1188720 * )
NEW met2 ( 1104240 1213230 ) ( * 1232470 )
NEW met2 ( 1103040 1232470 0 ) ( 1104240 * )
NEW met3 ( 1104240 1213230 ) ( 1187280 * )
NEW met3 ( 1643040 1213970 ) ( * 1214710 )
NEW met3 ( 1643040 1214710 ) ( 1710480 * )
NEW met2 ( 1710480 1167350 ) ( * 1214710 )
NEW met2 ( 1710480 1167350 ) ( 1711200 * 0 )
NEW met2 ( 1575120 1213415 ) ( * 1213970 )
NEW met1 ( 1575120 1213415 ) ( 1583760 * )
NEW met2 ( 1583760 1213415 ) ( * 1213970 )
NEW met3 ( 1188720 1213970 ) ( 1575120 * )
NEW met3 ( 1583760 1213970 ) ( 1643040 * )
NEW met2 ( 1187280 1213230 ) via2_FR
NEW met2 ( 1188720 1213970 ) via2_FR
NEW met2 ( 1104240 1213230 ) via2_FR
NEW met2 ( 1710480 1214710 ) via2_FR
NEW met2 ( 1575120 1213970 ) via2_FR
NEW met1 ( 1575120 1213415 ) M1M2_PR
NEW met1 ( 1583760 1213415 ) M1M2_PR
NEW met2 ( 1583760 1213970 ) via2_FR ;
- la_data_out_mprj\[1\] ( soc la_output[1] ) ( mgmt_buffers la_data_out_mprj[1] ) + USE SIGNAL
+ ROUTED met2 ( 1166400 1167350 0 ) ( 1166640 * )
NEW met2 ( 1166640 1167350 ) ( * 1203055 )
NEW met2 ( 1063920 1203055 ) ( * 1232470 0 )
NEW met1 ( 1063920 1203055 ) ( 1166640 * )
NEW met1 ( 1166640 1203055 ) M1M2_PR
NEW met1 ( 1063920 1203055 ) M1M2_PR ;
- la_data_out_mprj\[20\] ( soc la_output[20] ) ( mgmt_buffers la_data_out_mprj[20] ) + USE SIGNAL
+ ROUTED met2 ( 1106640 1179190 ) ( * 1232470 )
NEW met2 ( 1105200 1232470 0 ) ( 1106640 * )
NEW met2 ( 1712880 1167350 0 ) ( * 1179190 )
NEW met3 ( 1106640 1179190 ) ( 1712880 * )
NEW met2 ( 1106640 1179190 ) via2_FR
NEW met2 ( 1712880 1179190 ) via2_FR ;
- la_data_out_mprj\[21\] ( soc la_output[21] ) ( mgmt_buffers la_data_out_mprj[21] ) + USE SIGNAL
+ ROUTED met2 ( 1164720 1167350 0 ) ( * 1208235 )
NEW met2 ( 1107600 1208235 ) ( * 1232470 0 )
NEW met1 ( 1107600 1208235 ) ( 1164720 * )
NEW met1 ( 1164720 1208235 ) M1M2_PR
NEW met1 ( 1107600 1208235 ) M1M2_PR ;
- la_data_out_mprj\[22\] ( soc la_output[22] ) ( mgmt_buffers la_data_out_mprj[22] ) + USE SIGNAL
+ ROUTED met2 ( 1238160 1211935 ) ( * 1212490 )
NEW met3 ( 1551840 1211750 ) ( * 1212490 )
NEW met2 ( 1238160 1212490 ) ( 1238640 * )
NEW met3 ( 1238640 1212490 ) ( 1551840 * )
NEW met4 ( 1642080 1211750 ) ( * 1213785 )
NEW met4 ( 1642080 1213785 ) ( 1644000 * )
NEW met4 ( 1644000 1213785 ) ( * 1213970 )
NEW met3 ( 1644000 1213970 ) ( 1714800 * )
NEW met2 ( 1714800 1167350 0 ) ( * 1213970 )
NEW met3 ( 1551840 1211750 ) ( 1642080 * )
NEW met2 ( 1110000 1211935 ) ( * 1232470 0 )
NEW met1 ( 1110000 1211935 ) ( 1238160 * )
NEW met1 ( 1238160 1211935 ) M1M2_PR
NEW met2 ( 1238640 1212490 ) via2_FR
NEW met3 ( 1642080 1211750 ) M3M4_PR_M
NEW met3 ( 1644000 1213970 ) M3M4_PR_M
NEW met2 ( 1714800 1213970 ) via2_FR
NEW met1 ( 1110000 1211935 ) M1M2_PR ;
- la_data_out_mprj\[23\] ( soc la_output[23] ) ( mgmt_buffers la_data_out_mprj[23] ) + USE SIGNAL
+ ROUTED met2 ( 1162800 1167350 0 ) ( * 1200465 )
NEW met2 ( 1112880 1200465 ) ( * 1232470 )
NEW met2 ( 1111680 1232470 0 ) ( 1112880 * )
NEW met1 ( 1112880 1200465 ) ( 1162800 * )
NEW met1 ( 1162800 1200465 ) M1M2_PR
NEW met1 ( 1112880 1200465 ) M1M2_PR ;
- la_data_out_mprj\[24\] ( soc la_output[24] ) ( mgmt_buffers la_data_out_mprj[24] ) + USE SIGNAL
+ ROUTED met2 ( 1115280 1177710 ) ( * 1232470 )
NEW met2 ( 1114080 1232470 0 ) ( 1115280 * )
NEW met2 ( 1716720 1167350 0 ) ( * 1177710 )
NEW met3 ( 1115280 1177710 ) ( 1716720 * )
NEW met2 ( 1115280 1177710 ) via2_FR
NEW met2 ( 1716720 1177710 ) via2_FR ;
- la_data_out_mprj\[25\] ( soc la_output[25] ) ( mgmt_buffers la_data_out_mprj[25] ) + USE SIGNAL
+ ROUTED met2 ( 1160880 1167350 0 ) ( * 1207495 )
NEW met2 ( 1116240 1207495 ) ( * 1232470 0 )
NEW met1 ( 1116240 1207495 ) ( 1160880 * )
NEW met1 ( 1160880 1207495 ) M1M2_PR
NEW met1 ( 1116240 1207495 ) M1M2_PR ;
- la_data_out_mprj\[26\] ( soc la_output[26] ) ( mgmt_buffers la_data_out_mprj[26] ) + USE SIGNAL
+ ROUTED met2 ( 1118640 1211010 ) ( * 1232470 0 )
NEW met2 ( 1717200 1167350 ) ( * 1211010 )
NEW met2 ( 1717200 1167350 ) ( 1718400 * 0 )
NEW met3 ( 1118640 1211010 ) ( 1717200 * )
NEW met2 ( 1118640 1211010 ) via2_FR
NEW met2 ( 1717200 1211010 ) via2_FR ;
- la_data_out_mprj\[27\] ( soc la_output[27] ) ( mgmt_buffers la_data_out_mprj[27] ) + USE SIGNAL
+ ROUTED met2 ( 1158000 1167350 ) ( 1159200 * 0 )
NEW met2 ( 1158000 1167350 ) ( * 1196395 )
NEW met2 ( 1120560 1196395 ) ( * 1232470 0 )
NEW met1 ( 1120560 1196395 ) ( 1158000 * )
NEW met1 ( 1158000 1196395 ) M1M2_PR
NEW met1 ( 1120560 1196395 ) M1M2_PR ;
- la_data_out_mprj\[28\] ( soc la_output[28] ) ( mgmt_buffers la_data_out_mprj[28] ) + USE SIGNAL
+ ROUTED met2 ( 1719120 1167350 ) ( * 1176970 )
NEW met2 ( 1719120 1167350 ) ( 1720320 * 0 )
NEW met3 ( 1122480 1176970 ) ( 1719120 * )
NEW met2 ( 1122480 1230990 ) ( 1122720 * )
NEW met2 ( 1122720 1230990 ) ( * 1232470 0 )
NEW met2 ( 1122480 1176970 ) ( * 1230990 )
NEW met2 ( 1122480 1176970 ) via2_FR
NEW met2 ( 1719120 1176970 ) via2_FR ;
- la_data_out_mprj\[29\] ( soc la_output[29] ) ( mgmt_buffers la_data_out_mprj[29] ) + USE SIGNAL
+ ROUTED met2 ( 1156080 1167350 ) ( 1157280 * 0 )
NEW met2 ( 1156080 1167350 ) ( * 1205275 )
NEW met2 ( 1126320 1205275 ) ( * 1232470 )
NEW met2 ( 1125120 1232470 0 ) ( 1126320 * )
NEW met1 ( 1126320 1205275 ) ( 1156080 * )
NEW met1 ( 1156080 1205275 ) M1M2_PR
NEW met1 ( 1126320 1205275 ) M1M2_PR ;
- la_data_out_mprj\[2\] ( soc la_output[2] ) ( mgmt_buffers la_data_out_mprj[2] ) + USE SIGNAL
+ ROUTED met2 ( 1066320 1214710 ) ( * 1232470 0 )
NEW met2 ( 1721520 1167350 ) ( * 1211750 )
NEW met2 ( 1721520 1167350 ) ( 1722240 * 0 )
NEW met2 ( 1625520 1214525 ) ( * 1214710 )
NEW met1 ( 1625520 1214525 ) ( 1698000 * )
NEW met2 ( 1698000 1211750 ) ( * 1214525 )
NEW met3 ( 1698000 1211750 ) ( 1721520 * )
NEW met2 ( 1532400 1214710 ) ( * 1214895 )
NEW met1 ( 1532400 1214895 ) ( 1583760 * )
NEW met2 ( 1583760 1214710 ) ( * 1214895 )
NEW met3 ( 1066320 1214710 ) ( 1532400 * )
NEW met3 ( 1583760 1214710 ) ( 1625520 * )
NEW met2 ( 1066320 1214710 ) via2_FR
NEW met2 ( 1721520 1211750 ) via2_FR
NEW met2 ( 1625520 1214710 ) via2_FR
NEW met1 ( 1625520 1214525 ) M1M2_PR
NEW met1 ( 1698000 1214525 ) M1M2_PR
NEW met2 ( 1698000 1211750 ) via2_FR
NEW met2 ( 1532400 1214710 ) via2_FR
NEW met1 ( 1532400 1214895 ) M1M2_PR
NEW met1 ( 1583760 1214895 ) M1M2_PR
NEW met2 ( 1583760 1214710 ) via2_FR ;
- la_data_out_mprj\[30\] ( soc la_output[30] ) ( mgmt_buffers la_data_out_mprj[30] ) + USE SIGNAL
+ ROUTED met2 ( 1154640 1167350 ) ( 1155360 * 0 )
NEW met2 ( 1154640 1167350 ) ( * 1206015 )
NEW met2 ( 1127280 1206015 ) ( * 1232470 0 )
NEW met1 ( 1127280 1206015 ) ( 1154640 * )
NEW met1 ( 1154640 1206015 ) M1M2_PR
NEW met1 ( 1127280 1206015 ) M1M2_PR ;
- la_data_out_mprj\[31\] ( soc la_output[31] ) ( mgmt_buffers la_data_out_mprj[31] ) + USE SIGNAL
+ ROUTED met2 ( 1129200 1180670 ) ( * 1232470 0 )
NEW met2 ( 1723920 1167350 0 ) ( * 1180670 )
NEW met3 ( 1129200 1180670 ) ( 1723920 * )
NEW met2 ( 1129200 1180670 ) via2_FR
NEW met2 ( 1723920 1180670 ) via2_FR ;
- la_data_out_mprj\[32\] ( soc la_output[32] ) ( mgmt_buffers la_data_out_mprj[32] ) + USE SIGNAL
+ ROUTED met2 ( 1153680 1167350 0 ) ( * 1206385 )
NEW met2 ( 1131600 1206385 ) ( * 1232470 0 )
NEW met1 ( 1131600 1206385 ) ( 1153680 * )
NEW met1 ( 1153680 1206385 ) M1M2_PR
NEW met1 ( 1131600 1206385 ) M1M2_PR ;
- la_data_out_mprj\[33\] ( soc la_output[33] ) ( mgmt_buffers la_data_out_mprj[33] ) + USE SIGNAL
+ ROUTED met2 ( 1134000 1217115 ) ( * 1232470 )
NEW met2 ( 1133760 1232470 0 ) ( 1134000 * )
NEW met2 ( 1725840 1167350 0 ) ( * 1217115 )
NEW met1 ( 1134000 1217115 ) ( 1725840 * )
NEW met1 ( 1134000 1217115 ) M1M2_PR
NEW met1 ( 1725840 1217115 ) M1M2_PR ;
- la_data_out_mprj\[34\] ( soc la_output[34] ) ( mgmt_buffers la_data_out_mprj[34] ) + USE SIGNAL
+ ROUTED met2 ( 1151760 1167350 0 ) ( * 1197875 )
NEW met1 ( 1136400 1197875 ) ( 1151760 * )
NEW met2 ( 1136160 1230990 ) ( 1136400 * )
NEW met2 ( 1136160 1230990 ) ( * 1232470 0 )
NEW met2 ( 1136400 1197875 ) ( * 1230990 )
NEW met1 ( 1151760 1197875 ) M1M2_PR
NEW met1 ( 1136400 1197875 ) M1M2_PR ;
- la_data_out_mprj\[35\] ( soc la_output[35] ) ( mgmt_buffers la_data_out_mprj[35] ) + USE SIGNAL
+ ROUTED met2 ( 1139280 1173455 ) ( * 1232470 )
NEW met2 ( 1137840 1232470 0 ) ( 1139280 * )
NEW met2 ( 1727760 1167350 0 ) ( * 1173455 )
NEW met1 ( 1139280 1173455 ) ( 1727760 * )
NEW met1 ( 1139280 1173455 ) M1M2_PR
NEW met1 ( 1727760 1173455 ) M1M2_PR ;
- la_data_out_mprj\[36\] ( soc la_output[36] ) ( mgmt_buffers la_data_out_mprj[36] ) + USE SIGNAL
+ ROUTED met2 ( 1149840 1167350 0 ) ( * 1198615 )
NEW met1 ( 1140240 1198615 ) ( 1149840 * )
NEW met2 ( 1140240 1198615 ) ( * 1232470 0 )
NEW met1 ( 1149840 1198615 ) M1M2_PR
NEW met1 ( 1140240 1198615 ) M1M2_PR ;
- la_data_out_mprj\[37\] ( soc la_output[37] ) ( mgmt_buffers la_data_out_mprj[37] ) + USE SIGNAL
+ ROUTED met2 ( 1142640 1216745 ) ( * 1232470 0 )
NEW met2 ( 1728240 1167350 ) ( 1729440 * 0 )
NEW met2 ( 1728240 1167350 ) ( * 1216745 )
NEW met1 ( 1142640 1216745 ) ( 1728240 * )
NEW met1 ( 1142640 1216745 ) M1M2_PR
NEW met1 ( 1728240 1216745 ) M1M2_PR ;
- la_data_out_mprj\[38\] ( soc la_output[38] ) ( mgmt_buffers la_data_out_mprj[38] ) + USE SIGNAL
+ ROUTED met2 ( 1146960 1167350 ) ( 1148160 * 0 )
NEW met2 ( 1146960 1167350 ) ( * 1208790 )
NEW met2 ( 1146000 1208790 ) ( 1146960 * )
NEW met2 ( 1146000 1208790 ) ( * 1232470 )
NEW met2 ( 1144320 1232470 0 ) ( 1146000 * ) ;
- la_data_out_mprj\[39\] ( soc la_output[39] ) ( mgmt_buffers la_data_out_mprj[39] ) + USE SIGNAL
+ ROUTED met2 ( 1147920 1179930 ) ( * 1232470 )
NEW met2 ( 1146720 1232470 0 ) ( 1147920 * )
NEW met2 ( 1730160 1167350 ) ( * 1179930 )
NEW met2 ( 1730160 1167350 ) ( 1731360 * 0 )
NEW met3 ( 1147920 1179930 ) ( 1730160 * )
NEW met2 ( 1147920 1179930 ) via2_FR
NEW met2 ( 1730160 1179930 ) via2_FR ;
- la_data_out_mprj\[3\] ( soc la_output[3] ) ( mgmt_buffers la_data_out_mprj[3] ) + USE SIGNAL
+ ROUTED met2 ( 1069680 1207865 ) ( * 1232470 )
NEW met2 ( 1068480 1232470 0 ) ( 1069680 * )
NEW met2 ( 1146240 1167350 0 ) ( * 1168830 )
NEW met2 ( 1146000 1168830 ) ( 1146240 * )
NEW met2 ( 1146000 1168830 ) ( * 1207865 )
NEW met1 ( 1069680 1207865 ) ( 1146000 * )
NEW met1 ( 1069680 1207865 ) M1M2_PR
NEW met1 ( 1146000 1207865 ) M1M2_PR ;
- la_data_out_mprj\[40\] ( soc la_output[40] ) ( mgmt_buffers la_data_out_mprj[40] ) + USE SIGNAL
+ ROUTED met2 ( 1148880 1217485 ) ( * 1232470 0 )
NEW met2 ( 1732080 1167350 ) ( 1733280 * 0 )
NEW met2 ( 1732080 1167350 ) ( * 1217485 )
NEW met1 ( 1148880 1217485 ) ( 1732080 * )
NEW met1 ( 1148880 1217485 ) M1M2_PR
NEW met1 ( 1732080 1217485 ) M1M2_PR ;
- la_data_out_mprj\[41\] ( soc la_output[41] ) ( mgmt_buffers la_data_out_mprj[41] ) + USE SIGNAL
+ ROUTED met2 ( 1144320 1167350 0 ) ( 1145520 * )
NEW met2 ( 1145520 1167350 ) ( * 1198245 )
NEW met1 ( 1145520 1198245 ) ( 1151280 * )
NEW met2 ( 1151280 1198245 ) ( * 1232470 0 )
NEW met1 ( 1145520 1198245 ) M1M2_PR
NEW met1 ( 1151280 1198245 ) M1M2_PR ;
- la_data_out_mprj\[42\] ( soc la_output[42] ) ( mgmt_buffers la_data_out_mprj[42] ) + USE SIGNAL
+ ROUTED met2 ( 1153200 1173825 ) ( * 1232470 0 )
NEW met2 ( 1734960 1167350 0 ) ( * 1173825 )
NEW met1 ( 1153200 1173825 ) ( 1734960 * )
NEW met1 ( 1153200 1173825 ) M1M2_PR
NEW met1 ( 1734960 1173825 ) M1M2_PR ;
- la_data_out_mprj\[43\] ( soc la_output[43] ) ( mgmt_buffers la_data_out_mprj[43] ) + USE SIGNAL
+ ROUTED met2 ( 1142640 1167350 0 ) ( * 1194915 )
NEW met1 ( 1142640 1194915 ) ( 1154160 * )
NEW met2 ( 1154160 1194915 ) ( * 1232470 )
NEW met2 ( 1154160 1232470 ) ( 1155360 * 0 )
NEW met1 ( 1142640 1194915 ) M1M2_PR
NEW met1 ( 1154160 1194915 ) M1M2_PR ;
- la_data_out_mprj\[44\] ( soc la_output[44] ) ( mgmt_buffers la_data_out_mprj[44] ) + USE SIGNAL
+ ROUTED met2 ( 1158960 1218225 ) ( * 1232470 )
NEW met2 ( 1157760 1232470 0 ) ( 1158960 * )
NEW met2 ( 1736880 1167350 0 ) ( * 1218225 )
NEW met1 ( 1158960 1218225 ) ( 1736880 * )
NEW met1 ( 1158960 1218225 ) M1M2_PR
NEW met1 ( 1736880 1218225 ) M1M2_PR ;
- la_data_out_mprj\[45\] ( soc la_output[45] ) ( mgmt_buffers la_data_out_mprj[45] ) + USE SIGNAL
+ ROUTED met2 ( 1140720 1167350 0 ) ( * 1194545 )
NEW met1 ( 1140720 1194545 ) ( 1159920 * )
NEW met2 ( 1159920 1194545 ) ( * 1232470 0 )
NEW met1 ( 1140720 1194545 ) M1M2_PR
NEW met1 ( 1159920 1194545 ) M1M2_PR ;
- la_data_out_mprj\[46\] ( soc la_output[46] ) ( mgmt_buffers la_data_out_mprj[46] ) + USE SIGNAL
+ ROUTED met2 ( 1193520 1171790 ) ( * 1203795 )
NEW met1 ( 1161840 1203795 ) ( 1193520 * )
NEW met2 ( 1161840 1203795 ) ( * 1232470 0 )
NEW met2 ( 1738800 1167350 0 ) ( * 1171790 )
NEW met3 ( 1193520 1171790 ) ( 1738800 * )
NEW met2 ( 1193520 1171790 ) via2_FR
NEW met1 ( 1193520 1203795 ) M1M2_PR
NEW met1 ( 1161840 1203795 ) M1M2_PR
NEW met2 ( 1738800 1171790 ) via2_FR ;
- la_data_out_mprj\[47\] ( soc la_output[47] ) ( mgmt_buffers la_data_out_mprj[47] ) + USE SIGNAL
+ ROUTED met2 ( 1138800 1167350 0 ) ( * 1192695 )
NEW met1 ( 1138800 1192695 ) ( 1164240 * )
NEW met2 ( 1164240 1192695 ) ( * 1232470 0 )
NEW met1 ( 1138800 1192695 ) M1M2_PR
NEW met1 ( 1164240 1192695 ) M1M2_PR ;
- la_data_out_mprj\[48\] ( soc la_output[48] ) ( mgmt_buffers la_data_out_mprj[48] ) + USE SIGNAL
+ ROUTED met2 ( 1194960 1208975 ) ( * 1209715 )
NEW met1 ( 1167600 1208975 ) ( 1194960 * )
NEW met2 ( 1167600 1208975 ) ( * 1232470 )
NEW met2 ( 1166400 1232470 0 ) ( 1167600 * )
NEW met2 ( 1739280 1167350 ) ( 1740480 * 0 )
NEW met2 ( 1739280 1167350 ) ( * 1209715 )
NEW met1 ( 1194960 1209715 ) ( 1739280 * )
NEW met1 ( 1194960 1209715 ) M1M2_PR
NEW met1 ( 1194960 1208975 ) M1M2_PR
NEW met1 ( 1167600 1208975 ) M1M2_PR
NEW met1 ( 1739280 1209715 ) M1M2_PR ;
- la_data_out_mprj\[49\] ( soc la_output[49] ) ( mgmt_buffers la_data_out_mprj[49] ) + USE SIGNAL
+ ROUTED met2 ( 1168080 1232470 ) ( 1168800 * 0 )
NEW met2 ( 1136880 1166610 ) ( 1137120 * 0 )
NEW met2 ( 1136880 1166610 ) ( * 1187145 )
NEW met1 ( 1136880 1187145 ) ( 1168080 * )
NEW met2 ( 1168080 1187145 ) ( * 1232470 )
NEW met1 ( 1136880 1187145 ) M1M2_PR
NEW met1 ( 1168080 1187145 ) M1M2_PR ;
- la_data_out_mprj\[4\] ( soc la_output[4] ) ( mgmt_buffers la_data_out_mprj[4] ) + USE SIGNAL
+ ROUTED met2 ( 1071120 1174750 ) ( * 1232470 )
NEW met2 ( 1070400 1232470 0 ) ( 1071120 * )
NEW met2 ( 1741200 1167350 ) ( * 1174750 )
NEW met2 ( 1741200 1167350 ) ( 1742400 * 0 )
NEW met3 ( 1071120 1174750 ) ( 1741200 * )
NEW met2 ( 1071120 1174750 ) via2_FR
NEW met2 ( 1741200 1174750 ) via2_FR ;
- la_data_out_mprj\[50\] ( soc la_output[50] ) ( mgmt_buffers la_data_out_mprj[50] ) + USE SIGNAL
+ ROUTED met2 ( 1170480 1193805 ) ( * 1232470 0 )
NEW met2 ( 1135200 1167350 0 ) ( 1136400 * )
NEW met2 ( 1136400 1167350 ) ( * 1193805 )
NEW met1 ( 1136400 1193805 ) ( 1170480 * )
NEW met1 ( 1170480 1193805 ) M1M2_PR
NEW met1 ( 1136400 1193805 ) M1M2_PR ;
- la_data_out_mprj\[51\] ( soc la_output[51] ) ( mgmt_buffers la_data_out_mprj[51] ) + USE SIGNAL
+ ROUTED met1 ( 1205520 1210085 ) ( * 1210455 )
NEW met1 ( 1194480 1210085 ) ( 1205520 * )
NEW met1 ( 1194480 1209345 ) ( * 1210085 )
NEW met1 ( 1172880 1209345 ) ( 1194480 * )
NEW met2 ( 1172880 1209345 ) ( * 1232470 0 )
NEW met2 ( 1743600 1167350 ) ( 1744320 * 0 )
NEW met2 ( 1743600 1167350 ) ( * 1210455 )
NEW met1 ( 1205520 1210455 ) ( 1743600 * )
NEW met1 ( 1172880 1209345 ) M1M2_PR
NEW met1 ( 1743600 1210455 ) M1M2_PR ;
- la_data_out_mprj\[52\] ( soc la_output[52] ) ( mgmt_buffers la_data_out_mprj[52] ) + USE SIGNAL
+ ROUTED met2 ( 1175280 1183445 ) ( * 1232470 0 )
NEW met2 ( 1133280 1167350 0 ) ( 1134480 * )
NEW met2 ( 1134480 1167350 ) ( * 1183445 )
NEW met1 ( 1134480 1183445 ) ( 1175280 * )
NEW met1 ( 1175280 1183445 ) M1M2_PR
NEW met1 ( 1134480 1183445 ) M1M2_PR ;
- la_data_out_mprj\[53\] ( soc la_output[53] ) ( mgmt_buffers la_data_out_mprj[53] ) + USE SIGNAL
+ ROUTED met2 ( 1194960 1172530 ) ( * 1196025 )
NEW met1 ( 1177680 1196025 ) ( 1194960 * )
NEW met2 ( 1177680 1196025 ) ( * 1232470 )
NEW met2 ( 1177440 1232470 0 ) ( 1177680 * )
NEW met2 ( 1746000 1167350 0 ) ( * 1172530 )
NEW met3 ( 1194960 1172530 ) ( 1746000 * )
NEW met2 ( 1194960 1172530 ) via2_FR
NEW met1 ( 1194960 1196025 ) M1M2_PR
NEW met1 ( 1177680 1196025 ) M1M2_PR
NEW met2 ( 1746000 1172530 ) via2_FR ;
- la_data_out_mprj\[54\] ( soc la_output[54] ) ( mgmt_buffers la_data_out_mprj[54] ) + USE SIGNAL
+ ROUTED met2 ( 1178160 1193435 ) ( * 1232470 )
NEW met2 ( 1178160 1232470 ) ( 1179360 * 0 )
NEW met2 ( 1131600 1167350 0 ) ( * 1193435 )
NEW met1 ( 1131600 1193435 ) ( 1178160 * )
NEW met1 ( 1178160 1193435 ) M1M2_PR
NEW met1 ( 1131600 1193435 ) M1M2_PR ;
- la_data_out_mprj\[55\] ( soc la_output[55] ) ( mgmt_buffers la_data_out_mprj[55] ) + USE SIGNAL
+ ROUTED met2 ( 1181520 1217855 ) ( * 1232470 0 )
NEW met2 ( 1746480 1167350 ) ( 1747920 * 0 )
NEW met2 ( 1746480 1167350 ) ( * 1217855 )
NEW met1 ( 1181520 1217855 ) ( 1746480 * )
NEW met1 ( 1181520 1217855 ) M1M2_PR
NEW met1 ( 1746480 1217855 ) M1M2_PR ;
- la_data_out_mprj\[56\] ( soc la_output[56] ) ( mgmt_buffers la_data_out_mprj[56] ) + USE SIGNAL
+ ROUTED met2 ( 1183920 1185295 ) ( * 1232470 0 )
NEW met2 ( 1129680 1167350 0 ) ( * 1185295 )
NEW met1 ( 1129680 1185295 ) ( 1183920 * )
NEW met1 ( 1183920 1185295 ) M1M2_PR
NEW met1 ( 1129680 1185295 ) M1M2_PR ;
- la_data_out_mprj\[57\] ( soc la_output[57] ) ( mgmt_buffers la_data_out_mprj[57] ) + USE SIGNAL
+ ROUTED met2 ( 1208400 1176045 ) ( * 1195655 )
NEW met1 ( 1185840 1195655 ) ( 1208400 * )
NEW met2 ( 1185840 1195655 ) ( * 1232470 0 )
NEW met2 ( 1749840 1167350 0 ) ( * 1176045 )
NEW met1 ( 1208400 1176045 ) ( 1749840 * )
NEW met1 ( 1208400 1176045 ) M1M2_PR
NEW met1 ( 1208400 1195655 ) M1M2_PR
NEW met1 ( 1185840 1195655 ) M1M2_PR
NEW met1 ( 1749840 1176045 ) M1M2_PR ;
- la_data_out_mprj\[58\] ( soc la_output[58] ) ( mgmt_buffers la_data_out_mprj[58] ) + USE SIGNAL
+ ROUTED met2 ( 1186320 1181965 ) ( * 1233950 )
NEW met2 ( 1186320 1233950 ) ( 1188000 * 0 )
NEW met2 ( 1127760 1167350 0 ) ( * 1181965 )
NEW met1 ( 1127760 1181965 ) ( 1186320 * )
NEW met1 ( 1186320 1181965 ) M1M2_PR
NEW met1 ( 1127760 1181965 ) M1M2_PR ;
- la_data_out_mprj\[59\] ( soc la_output[59] ) ( mgmt_buffers la_data_out_mprj[59] ) + USE SIGNAL
+ ROUTED met2 ( 1191600 1210825 ) ( * 1232470 )
NEW met2 ( 1190400 1232470 0 ) ( 1191600 * )
NEW met2 ( 1750320 1167350 ) ( 1751520 * 0 )
NEW met2 ( 1750320 1167350 ) ( * 1210825 )
NEW met1 ( 1191600 1210825 ) ( 1750320 * )
NEW met1 ( 1191600 1210825 ) M1M2_PR
NEW met1 ( 1750320 1210825 ) M1M2_PR ;
- la_data_out_mprj\[5\] ( soc la_output[5] ) ( mgmt_buffers la_data_out_mprj[5] ) + USE SIGNAL
+ ROUTED met2 ( 1125840 1167350 ) ( 1126080 * 0 )
NEW met2 ( 1125840 1167350 ) ( * 1198615 )
NEW met1 ( 1072560 1198615 ) ( 1125840 * )
NEW met2 ( 1072560 1198615 ) ( * 1232470 0 )
NEW met1 ( 1125840 1198615 ) M1M2_PR
NEW met1 ( 1072560 1198615 ) M1M2_PR ;
- la_data_out_mprj\[60\] ( soc la_output[60] ) ( mgmt_buffers la_data_out_mprj[60] ) + USE SIGNAL
+ ROUTED met2 ( 1209360 1175305 ) ( * 1195285 )
NEW met1 ( 1192560 1195285 ) ( 1209360 * )
NEW met2 ( 1192560 1195285 ) ( * 1232470 0 )
NEW met2 ( 1752240 1167350 ) ( 1753440 * 0 )
NEW met2 ( 1752240 1167350 ) ( * 1175305 )
NEW met1 ( 1209360 1175305 ) ( 1752240 * )
NEW met1 ( 1209360 1175305 ) M1M2_PR
NEW met1 ( 1209360 1195285 ) M1M2_PR
NEW met1 ( 1192560 1195285 ) M1M2_PR
NEW met1 ( 1752240 1175305 ) M1M2_PR ;
- la_data_out_mprj\[61\] ( soc la_output[61] ) ( mgmt_buffers la_data_out_mprj[61] ) + USE SIGNAL
+ ROUTED met2 ( 1194480 1188995 ) ( * 1232470 0 )
NEW met2 ( 1124160 1167350 0 ) ( 1125360 * )
NEW met2 ( 1125360 1167350 ) ( * 1188995 )
NEW met1 ( 1125360 1188995 ) ( 1194480 * )
NEW met1 ( 1194480 1188995 ) M1M2_PR
NEW met1 ( 1125360 1188995 ) M1M2_PR ;
- la_data_out_mprj\[62\] ( soc la_output[62] ) ( mgmt_buffers la_data_out_mprj[62] ) + USE SIGNAL
+ ROUTED met2 ( 1196880 1218595 ) ( * 1232470 0 )
NEW met2 ( 1754160 1167350 ) ( 1755360 * 0 )
NEW met2 ( 1754160 1167350 ) ( * 1218595 )
NEW met1 ( 1196880 1218595 ) ( 1754160 * )
NEW met1 ( 1196880 1218595 ) M1M2_PR
NEW met1 ( 1754160 1218595 ) M1M2_PR ;
- la_data_out_mprj\[63\] ( soc la_output[63] ) ( mgmt_buffers la_data_out_mprj[63] ) + USE SIGNAL
+ ROUTED met2 ( 1198320 1184925 ) ( * 1232470 )
NEW met2 ( 1198320 1232470 ) ( 1199040 * 0 )
NEW met2 ( 1122240 1167350 0 ) ( 1122960 * )
NEW met2 ( 1122960 1167350 ) ( * 1184925 )
NEW met1 ( 1122960 1184925 ) ( 1198320 * )
NEW met1 ( 1198320 1184925 ) M1M2_PR
NEW met1 ( 1122960 1184925 ) M1M2_PR ;
- la_data_out_mprj\[64\] ( soc la_output[64] ) ( mgmt_buffers la_data_out_mprj[64] ) + USE SIGNAL
+ ROUTED met2 ( 1220880 1174195 ) ( * 1196765 )
NEW met1 ( 1201680 1196765 ) ( 1220880 * )
NEW met2 ( 1757040 1167350 0 ) ( * 1174195 )
NEW met1 ( 1220880 1174195 ) ( 1757040 * )
NEW met2 ( 1201440 1230990 ) ( 1201680 * )
NEW met2 ( 1201440 1230990 ) ( * 1232470 0 )
NEW met2 ( 1201680 1196765 ) ( * 1230990 )
NEW met1 ( 1220880 1174195 ) M1M2_PR
NEW met1 ( 1220880 1196765 ) M1M2_PR
NEW met1 ( 1201680 1196765 ) M1M2_PR
NEW met1 ( 1757040 1174195 ) M1M2_PR ;
- la_data_out_mprj\[65\] ( soc la_output[65] ) ( mgmt_buffers la_data_out_mprj[65] ) + USE SIGNAL
+ ROUTED met2 ( 1120560 1167350 0 ) ( * 1189365 )
NEW met2 ( 1203120 1189365 ) ( * 1232470 )
NEW met2 ( 1203120 1232470 ) ( 1203360 * 0 )
NEW met1 ( 1120560 1189365 ) ( 1203120 * )
NEW met1 ( 1120560 1189365 ) M1M2_PR
NEW met1 ( 1203120 1189365 ) M1M2_PR ;
- la_data_out_mprj\[66\] ( soc la_output[66] ) ( mgmt_buffers la_data_out_mprj[66] ) + USE SIGNAL
+ ROUTED met2 ( 1207440 1210085 ) ( * 1232470 )
NEW met2 ( 1205520 1232470 0 ) ( 1207440 * )
NEW met2 ( 1758960 1167350 0 ) ( * 1210085 )
NEW met1 ( 1207440 1210085 ) ( 1758960 * )
NEW met1 ( 1207440 1210085 ) M1M2_PR
NEW met1 ( 1758960 1210085 ) M1M2_PR ;
- la_data_out_mprj\[67\] ( soc la_output[67] ) ( mgmt_buffers la_data_out_mprj[67] ) + USE SIGNAL
+ ROUTED met2 ( 1207920 1184555 ) ( * 1232470 0 )
NEW met2 ( 1118640 1167350 0 ) ( * 1184555 )
NEW met1 ( 1118640 1184555 ) ( 1207920 * )
NEW met1 ( 1207920 1184555 ) M1M2_PR
NEW met1 ( 1118640 1184555 ) M1M2_PR ;
- la_data_out_mprj\[68\] ( soc la_output[68] ) ( mgmt_buffers la_data_out_mprj[68] ) + USE SIGNAL
+ ROUTED met2 ( 1210320 1175675 ) ( * 1232470 )
NEW met2 ( 1210080 1232470 0 ) ( 1210320 * )
NEW met2 ( 1760880 1167350 0 ) ( * 1175675 )
NEW met1 ( 1210320 1175675 ) ( 1760880 * )
NEW met1 ( 1210320 1175675 ) M1M2_PR
NEW met1 ( 1760880 1175675 ) M1M2_PR ;
- la_data_out_mprj\[69\] ( soc la_output[69] ) ( mgmt_buffers la_data_out_mprj[69] ) + USE SIGNAL
+ ROUTED met2 ( 1116720 1167350 0 ) ( * 1189735 )
NEW met2 ( 1210800 1189735 ) ( * 1233210 )
NEW met2 ( 1210800 1233210 ) ( 1212000 * 0 )
NEW met1 ( 1116720 1189735 ) ( 1210800 * )
NEW met1 ( 1116720 1189735 ) M1M2_PR
NEW met1 ( 1210800 1189735 ) M1M2_PR ;
- la_data_out_mprj\[6\] ( soc la_output[6] ) ( mgmt_buffers la_data_out_mprj[6] ) + USE SIGNAL
+ ROUTED met2 ( 1761360 1167350 ) ( 1762560 * 0 )
NEW met2 ( 1074960 1215450 ) ( * 1232470 0 )
NEW met2 ( 1761360 1167350 ) ( * 1215450 )
NEW met2 ( 1281840 1215265 ) ( * 1215450 )
NEW met2 ( 1281840 1215265 ) ( 1282800 * )
NEW met2 ( 1282800 1215265 ) ( * 1215450 )
NEW met2 ( 1282800 1215450 ) ( 1283760 * )
NEW met3 ( 1074960 1215450 ) ( 1281840 * )
NEW met3 ( 1283760 1215450 ) ( 1761360 * )
NEW met2 ( 1074960 1215450 ) via2_FR
NEW met2 ( 1761360 1215450 ) via2_FR
NEW met2 ( 1281840 1215450 ) via2_FR
NEW met2 ( 1283760 1215450 ) via2_FR ;
- la_data_out_mprj\[70\] ( soc la_output[70] ) ( mgmt_buffers la_data_out_mprj[70] ) + USE SIGNAL
+ ROUTED met2 ( 1213200 1190475 ) ( * 1232470 )
NEW met2 ( 1213200 1232470 ) ( 1214400 * 0 )
NEW met2 ( 1115040 1167350 0 ) ( 1116240 * )
NEW met2 ( 1116240 1167350 ) ( * 1190475 )
NEW met1 ( 1116240 1190475 ) ( 1213200 * )
NEW met1 ( 1213200 1190475 ) M1M2_PR
NEW met1 ( 1116240 1190475 ) M1M2_PR ;
- la_data_out_mprj\[71\] ( soc la_output[71] ) ( mgmt_buffers la_data_out_mprj[71] ) + USE SIGNAL
+ ROUTED met2 ( 1763280 1167350 ) ( 1764480 * 0 )
NEW met2 ( 1763280 1167350 ) ( * 1174565 )
NEW met1 ( 1216560 1174565 ) ( 1763280 * )
NEW met2 ( 1216560 1174565 ) ( * 1232470 0 )
NEW met1 ( 1216560 1174565 ) M1M2_PR
NEW met1 ( 1763280 1174565 ) M1M2_PR ;
- la_data_out_mprj\[72\] ( soc la_output[72] ) ( mgmt_buffers la_data_out_mprj[72] ) + USE SIGNAL
+ ROUTED met2 ( 1218960 1190845 ) ( * 1232470 0 )
NEW met2 ( 1113120 1167350 0 ) ( 1114320 * )
NEW met2 ( 1114320 1167350 ) ( * 1190845 )
NEW met1 ( 1114320 1190845 ) ( 1218960 * )
NEW met1 ( 1218960 1190845 ) M1M2_PR
NEW met1 ( 1114320 1190845 ) M1M2_PR ;
- la_data_out_mprj\[73\] ( soc la_output[73] ) ( mgmt_buffers la_data_out_mprj[73] ) + USE SIGNAL
+ ROUTED met2 ( 1765200 1167350 ) ( 1766400 * 0 )
NEW met2 ( 1765200 1167350 ) ( * 1211195 )
NEW met2 ( 1220880 1211195 ) ( * 1232470 0 )
NEW met1 ( 1220880 1211195 ) ( 1765200 * )
NEW met1 ( 1765200 1211195 ) M1M2_PR
NEW met1 ( 1220880 1211195 ) M1M2_PR ;
- la_data_out_mprj\[74\] ( soc la_output[74] ) ( mgmt_buffers la_data_out_mprj[74] ) + USE SIGNAL
+ ROUTED met2 ( 1231440 1176785 ) ( * 1195655 )
NEW met1 ( 1223280 1195655 ) ( 1231440 * )
NEW met2 ( 1768080 1167350 0 ) ( * 1176785 )
NEW met1 ( 1231440 1176785 ) ( 1768080 * )
NEW met2 ( 1223040 1230990 ) ( 1223280 * )
NEW met2 ( 1223040 1230990 ) ( * 1232470 0 )
NEW met2 ( 1223280 1195655 ) ( * 1230990 )
NEW met1 ( 1231440 1176785 ) M1M2_PR
NEW met1 ( 1231440 1195655 ) M1M2_PR
NEW met1 ( 1223280 1195655 ) M1M2_PR
NEW met1 ( 1768080 1176785 ) M1M2_PR ;
- la_data_out_mprj\[75\] ( soc la_output[75] ) ( mgmt_buffers la_data_out_mprj[75] ) + USE SIGNAL
+ ROUTED met2 ( 1224240 1191215 ) ( * 1232470 )
NEW met2 ( 1224240 1232470 ) ( 1225440 * 0 )
NEW met2 ( 1109520 1167350 0 ) ( * 1191215 )
NEW met1 ( 1109520 1191215 ) ( 1224240 * )
NEW met1 ( 1224240 1191215 ) M1M2_PR
NEW met1 ( 1109520 1191215 ) M1M2_PR ;
- la_data_out_mprj\[76\] ( soc la_output[76] ) ( mgmt_buffers la_data_out_mprj[76] ) + USE SIGNAL
+ ROUTED met2 ( 1227120 1218965 ) ( * 1232470 0 )
NEW met2 ( 1768560 1167350 ) ( 1770000 * 0 )
NEW met2 ( 1768560 1167350 ) ( * 1218965 )
NEW met1 ( 1227120 1218965 ) ( 1768560 * )
NEW met1 ( 1227120 1218965 ) M1M2_PR
NEW met1 ( 1768560 1218965 ) M1M2_PR ;
- la_data_out_mprj\[77\] ( soc la_output[77] ) ( mgmt_buffers la_data_out_mprj[77] ) + USE SIGNAL
+ ROUTED met2 ( 1229520 1186775 ) ( * 1232470 0 )
NEW met2 ( 1107600 1167350 0 ) ( * 1186775 )
NEW met1 ( 1107600 1186775 ) ( 1229520 * )
NEW met1 ( 1229520 1186775 ) M1M2_PR
NEW met1 ( 1107600 1186775 ) M1M2_PR ;
- la_data_out_mprj\[78\] ( soc la_output[78] ) ( mgmt_buffers la_data_out_mprj[78] ) + USE SIGNAL
+ ROUTED met2 ( 1232400 1174935 ) ( * 1232470 )
NEW met2 ( 1231920 1232470 0 ) ( 1232400 * )
NEW met2 ( 1771920 1167350 0 ) ( * 1174935 )
NEW met1 ( 1232400 1174935 ) ( 1771920 * )
NEW met1 ( 1232400 1174935 ) M1M2_PR
NEW met1 ( 1771920 1174935 ) M1M2_PR ;
- la_data_out_mprj\[79\] ( soc la_output[79] ) ( mgmt_buffers la_data_out_mprj[79] ) + USE SIGNAL
+ ROUTED met2 ( 1232880 1191955 ) ( * 1232470 )
NEW met2 ( 1232880 1232470 ) ( 1234080 * 0 )
NEW met2 ( 1105680 1167350 0 ) ( * 1191955 )
NEW met1 ( 1105680 1191955 ) ( 1232880 * )
NEW met1 ( 1232880 1191955 ) M1M2_PR
NEW met1 ( 1105680 1191955 ) M1M2_PR ;
- la_data_out_mprj\[7\] ( soc la_output[7] ) ( mgmt_buffers la_data_out_mprj[7] ) + USE SIGNAL
+ ROUTED met2 ( 1107120 1208975 ) ( * 1216190 )
NEW met1 ( 1077360 1208975 ) ( 1107120 * )
NEW met2 ( 1077360 1208975 ) ( * 1232470 0 )
NEW met2 ( 1141200 1210270 ) ( * 1216190 )
NEW met3 ( 1107120 1216190 ) ( 1141200 * )
NEW met3 ( 1141200 1210270 ) ( 1773360 * )
NEW met2 ( 1773600 1167350 0 ) ( * 1168830 )
NEW met2 ( 1773360 1168830 ) ( 1773600 * )
NEW met2 ( 1773360 1168830 ) ( * 1210270 )
NEW met2 ( 1107120 1216190 ) via2_FR
NEW met1 ( 1107120 1208975 ) M1M2_PR
NEW met1 ( 1077360 1208975 ) M1M2_PR
NEW met2 ( 1141200 1216190 ) via2_FR
NEW met2 ( 1141200 1210270 ) via2_FR
NEW met2 ( 1773360 1210270 ) via2_FR ;
- la_data_out_mprj\[80\] ( soc la_output[80] ) ( mgmt_buffers la_data_out_mprj[80] ) + USE SIGNAL
+ ROUTED met2 ( 1234800 1188625 ) ( * 1232470 )
NEW met2 ( 1234800 1232470 ) ( 1236000 * 0 )
NEW met2 ( 1104000 1167350 0 ) ( 1105200 * )
NEW met2 ( 1105200 1167350 ) ( * 1188625 )
NEW met1 ( 1105200 1188625 ) ( 1234800 * )
NEW met1 ( 1234800 1188625 ) M1M2_PR
NEW met1 ( 1105200 1188625 ) M1M2_PR ;
- la_data_out_mprj\[81\] ( soc la_output[81] ) ( mgmt_buffers la_data_out_mprj[81] ) + USE SIGNAL
+ ROUTED met2 ( 1774320 1167350 ) ( 1775520 * 0 )
NEW met2 ( 1774320 1167350 ) ( * 1176415 )
NEW met2 ( 1252080 1176415 ) ( * 1206755 )
NEW met1 ( 1237200 1206755 ) ( 1252080 * )
NEW met1 ( 1252080 1176415 ) ( 1774320 * )
NEW met2 ( 1237200 1220815 ) ( 1238160 * )
NEW met2 ( 1238160 1220815 ) ( * 1232470 0 )
NEW met2 ( 1237200 1206755 ) ( * 1220815 )
NEW met1 ( 1237200 1206755 ) M1M2_PR
NEW met1 ( 1774320 1176415 ) M1M2_PR
NEW met1 ( 1252080 1206755 ) M1M2_PR
NEW met1 ( 1252080 1176415 ) M1M2_PR ;
- la_data_out_mprj\[82\] ( soc la_output[82] ) ( mgmt_buffers la_data_out_mprj[82] ) + USE SIGNAL
+ ROUTED met2 ( 1187280 1200095 ) ( * 1204535 )
NEW met2 ( 1102080 1167350 0 ) ( 1103280 * )
NEW met2 ( 1103280 1167350 ) ( * 1200095 )
NEW met1 ( 1103280 1200095 ) ( 1187280 * )
NEW met2 ( 1240560 1204535 ) ( * 1232470 0 )
NEW met1 ( 1187280 1204535 ) ( 1240560 * )
NEW met1 ( 1187280 1200095 ) M1M2_PR
NEW met1 ( 1187280 1204535 ) M1M2_PR
NEW met1 ( 1103280 1200095 ) M1M2_PR
NEW met1 ( 1240560 1204535 ) M1M2_PR ;
- la_data_out_mprj\[83\] ( soc la_output[83] ) ( mgmt_buffers la_data_out_mprj[83] ) + USE SIGNAL
+ ROUTED met2 ( 1367760 1209345 ) ( * 1211935 )
NEW met1 ( 1367760 1211935 ) ( 1439280 * )
NEW met1 ( 1439280 1211565 ) ( * 1211935 )
NEW met2 ( 1776240 1167350 ) ( 1777440 * 0 )
NEW met2 ( 1776240 1167350 ) ( * 1211565 )
NEW met1 ( 1242960 1209345 ) ( 1367760 * )
NEW met1 ( 1439280 1211565 ) ( 1776240 * )
NEW met2 ( 1242960 1209345 ) ( * 1232470 0 )
NEW met1 ( 1367760 1209345 ) M1M2_PR
NEW met1 ( 1367760 1211935 ) M1M2_PR
NEW met1 ( 1776240 1211565 ) M1M2_PR
NEW met1 ( 1242960 1209345 ) M1M2_PR ;
- la_data_out_mprj\[84\] ( soc la_output[84] ) ( mgmt_buffers la_data_out_mprj[84] ) + USE SIGNAL
+ ROUTED met2 ( 1100160 1167350 0 ) ( 1101360 * )
NEW met2 ( 1101360 1167350 ) ( * 1202315 )
NEW met2 ( 1243440 1202315 ) ( * 1232470 )
NEW met2 ( 1243440 1232470 ) ( 1244640 * 0 )
NEW met1 ( 1101360 1202315 ) ( 1243440 * )
NEW met1 ( 1101360 1202315 ) M1M2_PR
NEW met1 ( 1243440 1202315 ) M1M2_PR ;
- la_data_out_mprj\[85\] ( soc la_output[85] ) ( mgmt_buffers la_data_out_mprj[85] ) + USE SIGNAL
+ ROUTED met2 ( 1248240 1226735 ) ( * 1232470 )
NEW met2 ( 1247040 1232470 0 ) ( 1248240 * )
NEW met1 ( 1691760 1226735 ) ( * 1227105 )
NEW met1 ( 1248240 1226735 ) ( 1691760 * )
NEW met1 ( 1691760 1227105 ) ( 1779120 * )
NEW met2 ( 1779120 1167350 0 ) ( * 1227105 )
NEW met1 ( 1248240 1226735 ) M1M2_PR
NEW met1 ( 1779120 1227105 ) M1M2_PR ;
- la_data_out_mprj\[86\] ( soc la_output[86] ) ( mgmt_buffers la_data_out_mprj[86] ) + USE SIGNAL
+ ROUTED met2 ( 1098480 1167350 0 ) ( * 1201945 )
NEW met2 ( 1249200 1201945 ) ( * 1232470 0 )
NEW met1 ( 1098480 1201945 ) ( 1249200 * )
NEW met1 ( 1098480 1201945 ) M1M2_PR
NEW met1 ( 1249200 1201945 ) M1M2_PR ;
- la_data_out_mprj\[87\] ( soc la_output[87] ) ( mgmt_buffers la_data_out_mprj[87] ) + USE SIGNAL
+ ROUTED met2 ( 1779600 1167350 ) ( 1781040 * 0 )
NEW met2 ( 1779600 1167350 ) ( * 1224515 )
NEW met2 ( 1251600 1227475 ) ( * 1232470 0 )
NEW met2 ( 1692720 1224515 ) ( * 1227475 )
NEW met1 ( 1251600 1227475 ) ( 1692720 * )
NEW met1 ( 1692720 1224515 ) ( 1779600 * )
NEW met1 ( 1779600 1224515 ) M1M2_PR
NEW met1 ( 1251600 1227475 ) M1M2_PR
NEW met1 ( 1692720 1227475 ) M1M2_PR
NEW met1 ( 1692720 1224515 ) M1M2_PR ;
- la_data_out_mprj\[88\] ( soc la_output[88] ) ( mgmt_buffers la_data_out_mprj[88] ) + USE SIGNAL
+ ROUTED met2 ( 1096560 1167350 0 ) ( * 1204165 )
NEW met1 ( 1096560 1204165 ) ( 1253520 * )
NEW met2 ( 1253520 1204165 ) ( * 1232470 0 )
NEW met1 ( 1096560 1204165 ) M1M2_PR
NEW met1 ( 1253520 1204165 ) M1M2_PR ;
- la_data_out_mprj\[89\] ( soc la_output[89] ) ( mgmt_buffers la_data_out_mprj[89] ) + USE SIGNAL
+ ROUTED met2 ( 1781520 1167350 ) ( 1782960 * 0 )
NEW met2 ( 1781520 1167350 ) ( * 1228955 )
NEW met2 ( 1256880 1228955 ) ( * 1232470 )
NEW met2 ( 1255680 1232470 0 ) ( 1256880 * )
NEW met1 ( 1256880 1228955 ) ( 1781520 * )
NEW met1 ( 1781520 1228955 ) M1M2_PR
NEW met1 ( 1256880 1228955 ) M1M2_PR ;
- la_data_out_mprj\[8\] ( soc la_output[8] ) ( mgmt_buffers la_data_out_mprj[8] ) + USE SIGNAL
+ ROUTED met2 ( 1094640 1167350 0 ) ( * 1198245 )
NEW met1 ( 1079760 1198245 ) ( 1094640 * )
NEW met2 ( 1079760 1198245 ) ( * 1232470 )
NEW met2 ( 1079040 1232470 0 ) ( 1079760 * )
NEW met1 ( 1094640 1198245 ) M1M2_PR
NEW met1 ( 1079760 1198245 ) M1M2_PR ;
- la_data_out_mprj\[90\] ( soc la_output[90] ) ( mgmt_buffers la_data_out_mprj[90] ) + USE SIGNAL
+ ROUTED met2 ( 1372080 1177155 ) ( * 1203795 )
NEW met2 ( 1783440 1167350 ) ( 1784640 * 0 )
NEW met2 ( 1783440 1167350 ) ( * 1177155 )
NEW met2 ( 1259280 1203795 ) ( * 1232470 )
NEW met2 ( 1258080 1232470 0 ) ( 1259280 * )
NEW met1 ( 1259280 1203795 ) ( 1372080 * )
NEW met1 ( 1372080 1177155 ) ( 1783440 * )
NEW met1 ( 1372080 1203795 ) M1M2_PR
NEW met1 ( 1372080 1177155 ) M1M2_PR
NEW met1 ( 1783440 1177155 ) M1M2_PR
NEW met1 ( 1259280 1203795 ) M1M2_PR ;
- la_data_out_mprj\[91\] ( soc la_output[91] ) ( mgmt_buffers la_data_out_mprj[91] ) + USE SIGNAL
+ ROUTED met2 ( 1092960 1167350 0 ) ( 1094160 * )
NEW met2 ( 1094160 1167350 ) ( * 1203425 )
NEW met2 ( 1260240 1203425 ) ( * 1232470 0 )
NEW met1 ( 1094160 1203425 ) ( 1260240 * )
NEW met1 ( 1094160 1203425 ) M1M2_PR
NEW met1 ( 1260240 1203425 ) M1M2_PR ;
- la_data_out_mprj\[92\] ( soc la_output[92] ) ( mgmt_buffers la_data_out_mprj[92] ) + USE SIGNAL
+ ROUTED met2 ( 1785840 1167350 ) ( 1786560 * 0 )
NEW met2 ( 1785840 1167350 ) ( * 1228585 )
NEW met2 ( 1262160 1226365 ) ( * 1232470 0 )
NEW met2 ( 1654320 1226365 ) ( * 1228585 )
NEW met1 ( 1262160 1226365 ) ( 1654320 * )
NEW met1 ( 1654320 1228585 ) ( 1785840 * )
NEW met1 ( 1785840 1228585 ) M1M2_PR
NEW met1 ( 1262160 1226365 ) M1M2_PR
NEW met1 ( 1654320 1226365 ) M1M2_PR
NEW met1 ( 1654320 1228585 ) M1M2_PR ;
- la_data_out_mprj\[93\] ( soc la_output[93] ) ( mgmt_buffers la_data_out_mprj[93] ) + USE SIGNAL
+ ROUTED met2 ( 1188240 1201575 ) ( * 1204905 )
NEW met2 ( 1091040 1167350 0 ) ( 1092240 * )
NEW met2 ( 1092240 1167350 ) ( * 1201575 )
NEW met1 ( 1092240 1201575 ) ( 1188240 * )
NEW met2 ( 1264560 1204905 ) ( * 1232470 0 )
NEW met1 ( 1188240 1204905 ) ( 1264560 * )
NEW met1 ( 1188240 1201575 ) M1M2_PR
NEW met1 ( 1188240 1204905 ) M1M2_PR
NEW met1 ( 1092240 1201575 ) M1M2_PR
NEW met1 ( 1264560 1204905 ) M1M2_PR ;
- la_data_out_mprj\[94\] ( soc la_output[94] ) ( mgmt_buffers la_data_out_mprj[94] ) + USE SIGNAL
+ ROUTED met2 ( 1787280 1167350 ) ( 1788480 * 0 )
NEW met2 ( 1787280 1167350 ) ( * 1229325 )
NEW met2 ( 1266960 1227105 ) ( * 1232470 )
NEW met2 ( 1266720 1232470 0 ) ( 1266960 * )
NEW met2 ( 1691280 1227105 ) ( * 1229325 )
NEW met1 ( 1266960 1227105 ) ( 1691280 * )
NEW met1 ( 1691280 1229325 ) ( 1787280 * )
NEW met1 ( 1787280 1229325 ) M1M2_PR
NEW met1 ( 1266960 1227105 ) M1M2_PR
NEW met1 ( 1691280 1227105 ) M1M2_PR
NEW met1 ( 1691280 1229325 ) M1M2_PR ;
- la_data_out_mprj\[95\] ( soc la_output[95] ) ( mgmt_buffers la_data_out_mprj[95] ) + USE SIGNAL
+ ROUTED met2 ( 1089120 1167350 0 ) ( 1090320 * )
NEW met2 ( 1090320 1167350 ) ( * 1191585 )
NEW met2 ( 1267440 1191585 ) ( * 1232470 )
NEW met2 ( 1267440 1232470 ) ( 1268640 * 0 )
NEW met1 ( 1090320 1191585 ) ( 1267440 * )
NEW met1 ( 1090320 1191585 ) M1M2_PR
NEW met1 ( 1267440 1191585 ) M1M2_PR ;
- la_data_out_mprj\[96\] ( soc la_output[96] ) ( mgmt_buffers la_data_out_mprj[96] ) + USE SIGNAL
+ ROUTED met2 ( 1790160 1167350 0 ) ( * 1230805 )
NEW met2 ( 1270800 1228585 ) ( * 1232470 0 )
NEW met2 ( 1653840 1228585 ) ( * 1230805 )
NEW met1 ( 1270800 1228585 ) ( 1653840 * )
NEW met1 ( 1653840 1230805 ) ( 1790160 * )
NEW met1 ( 1790160 1230805 ) M1M2_PR
NEW met1 ( 1270800 1228585 ) M1M2_PR
NEW met1 ( 1653840 1228585 ) M1M2_PR
NEW met1 ( 1653840 1230805 ) M1M2_PR ;
- la_data_out_mprj\[97\] ( soc la_output[97] ) ( mgmt_buffers la_data_out_mprj[97] ) + USE SIGNAL
+ ROUTED met2 ( 1187760 1202685 ) ( * 1205275 )
NEW met2 ( 1087440 1167350 0 ) ( * 1180855 )
NEW met1 ( 1087440 1180855 ) ( 1109040 * )
NEW met2 ( 1109040 1180855 ) ( * 1202685 )
NEW met1 ( 1109040 1202685 ) ( 1187760 * )
NEW met2 ( 1273200 1205275 ) ( * 1232470 0 )
NEW met1 ( 1187760 1205275 ) ( 1273200 * )
NEW met1 ( 1187760 1202685 ) M1M2_PR
NEW met1 ( 1187760 1205275 ) M1M2_PR
NEW met1 ( 1087440 1180855 ) M1M2_PR
NEW met1 ( 1109040 1180855 ) M1M2_PR
NEW met1 ( 1109040 1202685 ) M1M2_PR
NEW met1 ( 1273200 1205275 ) M1M2_PR ;
- la_data_out_mprj\[98\] ( soc la_output[98] ) ( mgmt_buffers la_data_out_mprj[98] ) + USE SIGNAL
+ ROUTED met2 ( 1790640 1167350 ) ( 1792080 * 0 )
NEW met2 ( 1790640 1167350 ) ( * 1226735 )
NEW met2 ( 1275600 1229325 ) ( * 1232470 0 )
NEW met2 ( 1690800 1226550 ) ( * 1229325 )
NEW met2 ( 1690800 1226550 ) ( 1692240 * )
NEW met2 ( 1692240 1226550 ) ( * 1226735 )
NEW met1 ( 1275600 1229325 ) ( 1690800 * )
NEW met1 ( 1692240 1226735 ) ( 1790640 * )
NEW met1 ( 1790640 1226735 ) M1M2_PR
NEW met1 ( 1275600 1229325 ) M1M2_PR
NEW met1 ( 1690800 1229325 ) M1M2_PR
NEW met1 ( 1692240 1226735 ) M1M2_PR ;
- la_data_out_mprj\[99\] ( soc la_output[99] ) ( mgmt_buffers la_data_out_mprj[99] ) + USE SIGNAL
+ ROUTED met2 ( 1085520 1167350 0 ) ( * 1186405 )
NEW met2 ( 1276080 1186405 ) ( * 1232470 )
NEW met2 ( 1276080 1232470 ) ( 1277280 * 0 )
NEW met1 ( 1085520 1186405 ) ( 1276080 * )
NEW met1 ( 1085520 1186405 ) M1M2_PR
NEW met1 ( 1276080 1186405 ) M1M2_PR ;
- la_data_out_mprj\[9\] ( soc la_output[9] ) ( mgmt_buffers la_data_out_mprj[9] ) + USE SIGNAL
+ ROUTED met2 ( 1794000 1167350 0 ) ( * 1186775 )
NEW met1 ( 1768080 1186775 ) ( 1794000 * )
NEW met2 ( 1768080 1186775 ) ( * 1224145 )
NEW met2 ( 1082640 1224515 ) ( * 1232470 )
NEW met2 ( 1081440 1232470 0 ) ( 1082640 * )
NEW met1 ( 1655280 1224145 ) ( * 1224515 )
NEW met1 ( 1082640 1224515 ) ( 1655280 * )
NEW met1 ( 1655280 1224145 ) ( 1768080 * )
NEW met1 ( 1794000 1186775 ) M1M2_PR
NEW met1 ( 1768080 1186775 ) M1M2_PR
NEW met1 ( 1768080 1224145 ) M1M2_PR
NEW met1 ( 1082640 1224515 ) M1M2_PR ;
- la_data_out_user\[0\] ( mprj la_data_out[0] ) ( mgmt_buffers la_data_out_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 965520 1354015 ) ( * 1389350 0 )
NEW met2 ( 1349520 1325710 0 ) ( * 1355125 )
NEW met2 ( 979440 1353830 ) ( * 1354015 )
NEW met2 ( 979440 1353830 ) ( 980880 * )
NEW met2 ( 980880 1353830 ) ( * 1354015 )
NEW met2 ( 980880 1354015 ) ( 981360 * )
NEW met2 ( 981360 1354015 ) ( * 1355125 )
NEW met1 ( 965520 1354015 ) ( 979440 * )
NEW met1 ( 981360 1355125 ) ( 1349520 * )
NEW met1 ( 965520 1354015 ) M1M2_PR
NEW met1 ( 1349520 1355125 ) M1M2_PR
NEW met1 ( 979440 1354015 ) M1M2_PR
NEW met1 ( 981360 1355125 ) M1M2_PR ;
- la_data_out_user\[100\] ( mprj la_data_out[100] ) ( mgmt_buffers la_data_out_core[100] ) + USE SIGNAL
+ ROUTED met2 ( 1567200 1325710 0 ) ( 1568400 * )
NEW met2 ( 1568400 1325710 ) ( * 1359195 )
NEW met2 ( 2749680 1359195 ) ( * 1389350 0 )
NEW met2 ( 1916880 1359195 ) ( * 1359565 )
NEW met2 ( 1916880 1359565 ) ( 1917360 * )
NEW met1 ( 1917360 1359565 ) ( 1917840 * )
NEW met1 ( 1917840 1359195 ) ( * 1359565 )
NEW met1 ( 1568400 1359195 ) ( 1916880 * )
NEW met1 ( 1917840 1359195 ) ( 2749680 * )
NEW met1 ( 1568400 1359195 ) M1M2_PR
NEW met1 ( 2749680 1359195 ) M1M2_PR
NEW met1 ( 1916880 1359195 ) M1M2_PR
NEW met1 ( 1917360 1359565 ) M1M2_PR ;
- la_data_out_user\[101\] ( mprj la_data_out[101] ) ( mgmt_buffers la_data_out_core[101] ) + USE SIGNAL
+ ROUTED met2 ( 2767440 1346615 ) ( * 1389350 0 )
NEW met2 ( 1569360 1325710 0 ) ( * 1346615 )
NEW met1 ( 1569360 1346615 ) ( 2767440 * )
NEW met1 ( 1569360 1346615 ) M1M2_PR
NEW met1 ( 2767440 1346615 ) M1M2_PR ;
- la_data_out_user\[102\] ( mprj la_data_out[102] ) ( mgmt_buffers la_data_out_core[102] ) + USE SIGNAL
+ ROUTED met1 ( 1571760 1356975 ) ( 1638000 * )
NEW met2 ( 1638000 1356975 ) ( * 1367335 )
NEW met2 ( 2785200 1367335 ) ( * 1389350 0 )
NEW met2 ( 1571760 1325710 0 ) ( * 1356975 )
NEW met1 ( 1638000 1367335 ) ( 2785200 * )
NEW met1 ( 1571760 1356975 ) M1M2_PR
NEW met1 ( 1638000 1356975 ) M1M2_PR
NEW met1 ( 1638000 1367335 ) M1M2_PR
NEW met1 ( 2785200 1367335 ) M1M2_PR ;
- la_data_out_user\[103\] ( mprj la_data_out[103] ) ( mgmt_buffers la_data_out_core[103] ) + USE SIGNAL
+ ROUTED met1 ( 1574160 1354015 ) ( 1598640 * )
NEW met2 ( 1598640 1350130 ) ( * 1354015 )
NEW met2 ( 2803440 1340510 ) ( * 1389350 0 )
NEW met2 ( 1574160 1325710 0 ) ( * 1354015 )
NEW met2 ( 1642320 1340695 ) ( * 1350130 )
NEW met1 ( 1642320 1340695 ) ( 1685040 * )
NEW met2 ( 1685040 1340510 ) ( * 1340695 )
NEW met3 ( 1598640 1350130 ) ( 1642320 * )
NEW met3 ( 1685040 1340510 ) ( 2803440 * )
NEW met1 ( 1574160 1354015 ) M1M2_PR
NEW met1 ( 1598640 1354015 ) M1M2_PR
NEW met2 ( 1598640 1350130 ) via2_FR
NEW met2 ( 2803440 1340510 ) via2_FR
NEW met2 ( 1642320 1350130 ) via2_FR
NEW met1 ( 1642320 1340695 ) M1M2_PR
NEW met1 ( 1685040 1340695 ) M1M2_PR
NEW met2 ( 1685040 1340510 ) via2_FR ;
- la_data_out_user\[104\] ( mprj la_data_out[104] ) ( mgmt_buffers la_data_out_core[104] ) + USE SIGNAL
+ ROUTED met2 ( 2821200 1377325 ) ( * 1389350 0 )
NEW met2 ( 1575840 1325710 0 ) ( 1577040 * )
NEW met2 ( 1577040 1325710 ) ( * 1356605 )
NEW met2 ( 1613520 1356605 ) ( * 1356975 )
NEW met2 ( 1613520 1356975 ) ( 1616400 * )
NEW met2 ( 1616400 1356975 ) ( * 1377325 )
NEW met1 ( 1577040 1356605 ) ( 1613520 * )
NEW met1 ( 1616400 1377325 ) ( 2821200 * )
NEW met1 ( 1577040 1356605 ) M1M2_PR
NEW met1 ( 2821200 1377325 ) M1M2_PR
NEW met1 ( 1613520 1356605 ) M1M2_PR
NEW met1 ( 1616400 1377325 ) M1M2_PR ;
- la_data_out_user\[105\] ( mprj la_data_out[105] ) ( mgmt_buffers la_data_out_core[105] ) + USE SIGNAL
+ ROUTED met2 ( 2838960 1343470 ) ( * 1389350 0 )
NEW met2 ( 1578240 1325710 0 ) ( 1578960 * )
NEW met2 ( 1578960 1325710 ) ( * 1343470 )
NEW met3 ( 1578960 1343470 ) ( 2838960 * )
NEW met2 ( 1578960 1343470 ) via2_FR
NEW met2 ( 2838960 1343470 ) via2_FR ;
- la_data_out_user\[106\] ( mprj la_data_out[106] ) ( mgmt_buffers la_data_out_core[106] ) + USE SIGNAL
+ ROUTED met2 ( 1580400 1325710 0 ) ( * 1376215 )
NEW met2 ( 2856720 1376215 ) ( * 1389350 0 )
NEW met1 ( 1580400 1376215 ) ( 2856720 * )
NEW met1 ( 1580400 1376215 ) M1M2_PR
NEW met1 ( 2856720 1376215 ) M1M2_PR ;
- la_data_out_user\[107\] ( mprj la_data_out[107] ) ( mgmt_buffers la_data_out_core[107] ) + USE SIGNAL
+ ROUTED met2 ( 2874480 1344950 ) ( * 1389350 0 )
NEW met2 ( 1582320 1325710 0 ) ( 1582800 * )
NEW met2 ( 1582800 1325525 ) ( * 1325710 )
NEW met1 ( 1582800 1325525 ) ( 1585680 * )
NEW met1 ( 1585680 1325525 ) ( * 1325895 )
NEW met1 ( 1585680 1325895 ) ( 1588560 * )
NEW met2 ( 1588560 1325895 ) ( * 1344950 )
NEW met2 ( 1588560 1344950 ) ( 1589040 * )
NEW met3 ( 1589040 1344950 ) ( 2874480 * )
NEW met2 ( 2874480 1344950 ) via2_FR
NEW met1 ( 1582800 1325525 ) M1M2_PR
NEW met1 ( 1588560 1325895 ) M1M2_PR
NEW met2 ( 1589040 1344950 ) via2_FR ;
- la_data_out_user\[108\] ( mprj la_data_out[108] ) ( mgmt_buffers la_data_out_core[108] ) + USE SIGNAL
+ ROUTED met2 ( 2892720 1375845 ) ( * 1389350 0 )
NEW met2 ( 1584720 1325710 0 ) ( 1586160 * )
NEW met2 ( 1586160 1325710 ) ( * 1326450 )
NEW met2 ( 1586160 1326450 ) ( 1586640 * )
NEW met2 ( 1586640 1326450 ) ( * 1375845 )
NEW met1 ( 1586640 1375845 ) ( 2892720 * )
NEW met1 ( 2892720 1375845 ) M1M2_PR
NEW met1 ( 1586640 1375845 ) M1M2_PR ;
- la_data_out_user\[109\] ( mprj la_data_out[109] ) ( mgmt_buffers la_data_out_core[109] ) + USE SIGNAL
+ ROUTED met2 ( 2195760 1339770 ) ( * 1339955 )
NEW met1 ( 2195760 1339955 ) ( 2245680 * )
NEW met2 ( 2245680 1339955 ) ( * 1351610 )
NEW met2 ( 2245680 1351610 ) ( 2246160 * )
NEW met2 ( 2347440 1339770 ) ( * 1351610 )
NEW met3 ( 2347440 1339770 ) ( 2447760 * )
NEW met2 ( 2447760 1339770 ) ( * 1351610 )
NEW met2 ( 1643280 1350130 ) ( * 1354570 )
NEW met3 ( 1643280 1350130 ) ( 1690320 * )
NEW met2 ( 1690320 1350130 ) ( * 1351610 )
NEW met2 ( 1690320 1351610 ) ( 1692720 * )
NEW met2 ( 2094960 1339770 ) ( * 1351610 )
NEW met3 ( 1692720 1351610 ) ( 2094960 * )
NEW met3 ( 2094960 1339770 ) ( 2195760 * )
NEW met3 ( 2246160 1351610 ) ( 2347440 * )
NEW met2 ( 1586880 1325710 0 ) ( 1587600 * )
NEW met2 ( 1587600 1325710 ) ( * 1354570 )
NEW met3 ( 1587600 1354570 ) ( 1643280 * )
NEW met3 ( 2566320 1351610 ) ( * 1352350 )
NEW met3 ( 2447760 1351610 ) ( 2566320 * )
NEW met2 ( 2742960 1352350 ) ( * 1352535 )
NEW met3 ( 2566320 1352350 ) ( 2742960 * )
NEW met3 ( 2894880 1351610 ) ( * 1352350 )
NEW met3 ( 2894880 1351610 ) ( 2910480 * )
NEW met2 ( 2910480 1351610 ) ( * 1389350 0 )
NEW met2 ( 2879760 1351610 ) ( * 1352350 )
NEW met2 ( 2879280 1351610 ) ( 2879760 * )
NEW met2 ( 2879280 1351610 ) ( * 1352535 )
NEW met1 ( 2742960 1352535 ) ( 2879280 * )
NEW met3 ( 2879760 1352350 ) ( 2894880 * )
NEW met2 ( 2195760 1339770 ) via2_FR
NEW met1 ( 2195760 1339955 ) M1M2_PR
NEW met1 ( 2245680 1339955 ) M1M2_PR
NEW met2 ( 2246160 1351610 ) via2_FR
NEW met2 ( 2347440 1351610 ) via2_FR
NEW met2 ( 2347440 1339770 ) via2_FR
NEW met2 ( 2447760 1339770 ) via2_FR
NEW met2 ( 2447760 1351610 ) via2_FR
NEW met2 ( 1643280 1354570 ) via2_FR
NEW met2 ( 1643280 1350130 ) via2_FR
NEW met2 ( 1690320 1350130 ) via2_FR
NEW met2 ( 1692720 1351610 ) via2_FR
NEW met2 ( 2094960 1351610 ) via2_FR
NEW met2 ( 2094960 1339770 ) via2_FR
NEW met2 ( 1587600 1354570 ) via2_FR
NEW met2 ( 2742960 1352350 ) via2_FR
NEW met1 ( 2742960 1352535 ) M1M2_PR
NEW met2 ( 2910480 1351610 ) via2_FR
NEW met2 ( 2879760 1352350 ) via2_FR
NEW met1 ( 2879280 1352535 ) M1M2_PR ;
- la_data_out_user\[10\] ( mprj la_data_out[10] ) ( mgmt_buffers la_data_out_core[10] ) + USE SIGNAL
+ ROUTED met2 ( 1144080 1341805 ) ( * 1389350 0 )
NEW met2 ( 1371120 1325710 0 ) ( * 1341805 )
NEW met1 ( 1144080 1341805 ) ( 1371120 * )
NEW met1 ( 1144080 1341805 ) M1M2_PR
NEW met1 ( 1371120 1341805 ) M1M2_PR ;
- la_data_out_user\[110\] ( mprj la_data_out[110] ) ( mgmt_buffers la_data_out_core[110] ) + USE SIGNAL
+ ROUTED met2 ( 1589280 1325710 0 ) ( 1590480 * )
NEW met2 ( 1590480 1325710 ) ( * 1375105 )
NEW met2 ( 2928240 1375105 ) ( * 1389350 0 )
NEW met1 ( 1590480 1375105 ) ( 2928240 * )
NEW met1 ( 1590480 1375105 ) M1M2_PR
NEW met1 ( 2928240 1375105 ) M1M2_PR ;
- la_data_out_user\[111\] ( mprj la_data_out[111] ) ( mgmt_buffers la_data_out_core[111] ) + USE SIGNAL
+ ROUTED met2 ( 2801040 1344210 ) ( * 1350870 )
NEW met2 ( 1590960 1325710 0 ) ( * 1351610 )
NEW met3 ( 1692000 1350870 ) ( * 1351610 )
NEW met3 ( 1590960 1351610 ) ( 1692000 * )
NEW met3 ( 1692000 1350870 ) ( 2144880 * )
NEW met2 ( 2699760 1344210 ) ( * 1350870 )
NEW met3 ( 2146320 1350870 ) ( 2699760 * )
NEW met3 ( 2699760 1344210 ) ( 2801040 * )
NEW met2 ( 2946000 1350870 ) ( * 1389350 0 )
NEW met3 ( 2801040 1350870 ) ( 2946000 * )
NEW met2 ( 2144880 1353830 ) ( 2146320 * )
NEW met2 ( 2144880 1350870 ) ( * 1353830 )
NEW met2 ( 2146320 1350870 ) ( * 1353830 )
NEW met2 ( 1590960 1351610 ) via2_FR
NEW met2 ( 2146320 1350870 ) via2_FR
NEW met2 ( 2801040 1344210 ) via2_FR
NEW met2 ( 2801040 1350870 ) via2_FR
NEW met2 ( 2144880 1350870 ) via2_FR
NEW met2 ( 2699760 1350870 ) via2_FR
NEW met2 ( 2699760 1344210 ) via2_FR
NEW met2 ( 2946000 1350870 ) via2_FR ;
- la_data_out_user\[112\] ( mprj la_data_out[112] ) ( mgmt_buffers la_data_out_core[112] ) + USE SIGNAL
+ ROUTED met2 ( 2963760 1381950 ) ( * 1389350 0 )
NEW met2 ( 1591440 1324970 ) ( 1593360 * 0 )
NEW met2 ( 1591440 1324970 ) ( * 1330150 )
NEW met2 ( 1591440 1330150 ) ( 1591920 * )
NEW met2 ( 1591920 1330150 ) ( * 1338845 )
NEW met2 ( 1591920 1338845 ) ( 1593360 * )
NEW met2 ( 1593360 1338845 ) ( * 1381950 )
NEW met3 ( 1593360 1381950 ) ( 2963760 * )
NEW met2 ( 2963760 1381950 ) via2_FR
NEW met2 ( 1593360 1381950 ) via2_FR ;
- la_data_out_user\[113\] ( mprj la_data_out[113] ) ( mgmt_buffers la_data_out_core[113] ) + USE SIGNAL
+ ROUTED met2 ( 2982000 1344210 ) ( * 1389350 0 )
NEW met3 ( 2196720 1339770 ) ( 2246160 * )
NEW met2 ( 2246160 1339770 ) ( * 1350130 )
NEW met2 ( 2800560 1342730 ) ( * 1350130 )
NEW met2 ( 2194800 1339030 ) ( 2196720 * )
NEW met2 ( 2194800 1339030 ) ( * 1351610 )
NEW met2 ( 2196720 1339030 ) ( * 1339770 )
NEW met2 ( 2045040 1350130 ) ( * 1353830 )
NEW met3 ( 2045040 1353830 ) ( 2095920 * )
NEW met2 ( 2095920 1351610 ) ( * 1353830 )
NEW met3 ( 2095920 1351610 ) ( 2194800 * )
NEW met2 ( 2700240 1342730 ) ( * 1350130 )
NEW met3 ( 2246160 1350130 ) ( 2700240 * )
NEW met3 ( 2700240 1342730 ) ( 2800560 * )
NEW met2 ( 2901360 1344210 ) ( * 1350130 )
NEW met3 ( 2800560 1350130 ) ( 2901360 * )
NEW met3 ( 2901360 1344210 ) ( 2982000 * )
NEW met3 ( 1691040 1350130 ) ( * 1350870 )
NEW met3 ( 1691040 1350130 ) ( 2045040 * )
NEW met2 ( 1595760 1324970 0 ) ( 1597200 * )
NEW met2 ( 1597200 1324970 ) ( * 1350870 )
NEW met3 ( 1597200 1350870 ) ( 1691040 * )
NEW met2 ( 2982000 1344210 ) via2_FR
NEW met2 ( 2194800 1351610 ) via2_FR
NEW met2 ( 2196720 1339770 ) via2_FR
NEW met2 ( 2246160 1339770 ) via2_FR
NEW met2 ( 2246160 1350130 ) via2_FR
NEW met2 ( 2800560 1342730 ) via2_FR
NEW met2 ( 2800560 1350130 ) via2_FR
NEW met2 ( 2045040 1350130 ) via2_FR
NEW met2 ( 2045040 1353830 ) via2_FR
NEW met2 ( 2095920 1353830 ) via2_FR
NEW met2 ( 2095920 1351610 ) via2_FR
NEW met2 ( 2700240 1350130 ) via2_FR
NEW met2 ( 2700240 1342730 ) via2_FR
NEW met2 ( 2901360 1350130 ) via2_FR
NEW met2 ( 2901360 1344210 ) via2_FR
NEW met2 ( 1597200 1350870 ) via2_FR ;
- la_data_out_user\[114\] ( mprj la_data_out[114] ) ( mgmt_buffers la_data_out_core[114] ) + USE SIGNAL
+ ROUTED met2 ( 2999280 1381210 ) ( * 1389350 0 )
NEW met3 ( 1598160 1381210 ) ( 2999280 * )
NEW met2 ( 1597920 1325710 0 ) ( 1598160 * )
NEW met2 ( 1598160 1325710 ) ( * 1381210 )
NEW met2 ( 2999280 1381210 ) via2_FR
NEW met2 ( 1598160 1381210 ) via2_FR ;
- la_data_out_user\[115\] ( mprj la_data_out[115] ) ( mgmt_buffers la_data_out_core[115] ) + USE SIGNAL
+ ROUTED met2 ( 3017040 1349390 ) ( * 1389350 0 )
NEW met2 ( 1599840 1325710 0 ) ( 1601040 * )
NEW met2 ( 1601040 1325710 ) ( * 1349390 )
NEW met3 ( 1601040 1349390 ) ( 3017040 * )
NEW met2 ( 3017040 1349390 ) via2_FR
NEW met2 ( 1601040 1349390 ) via2_FR ;
- la_data_out_user\[116\] ( mprj la_data_out[116] ) ( mgmt_buffers la_data_out_core[116] ) + USE SIGNAL
+ ROUTED met2 ( 3035280 1361415 ) ( * 1389350 0 )
NEW met2 ( 1602000 1325710 0 ) ( * 1361415 )
NEW met1 ( 1602000 1361415 ) ( 3035280 * )
NEW met1 ( 3035280 1361415 ) M1M2_PR
NEW met1 ( 1602000 1361415 ) M1M2_PR ;
- la_data_out_user\[117\] ( mprj la_data_out[117] ) ( mgmt_buffers la_data_out_core[117] ) + USE SIGNAL
+ ROUTED met2 ( 3053040 1380470 ) ( * 1389350 0 )
NEW met2 ( 1604400 1325710 0 ) ( * 1380470 )
NEW met3 ( 1604400 1380470 ) ( 3053040 * )
NEW met2 ( 3053040 1380470 ) via2_FR
NEW met2 ( 1604400 1380470 ) via2_FR ;
- la_data_out_user\[118\] ( mprj la_data_out[118] ) ( mgmt_buffers la_data_out_core[118] ) + USE SIGNAL
+ ROUTED met2 ( 3071280 1339030 ) ( * 1389350 0 )
NEW met2 ( 1743120 1340325 ) ( * 1341990 )
NEW met3 ( 1985760 1339770 ) ( 1987920 * )
NEW met2 ( 1987920 1339585 ) ( * 1339770 )
NEW met1 ( 1987920 1339585 ) ( 2044080 * )
NEW met2 ( 2044080 1339585 ) ( * 1339770 )
NEW met2 ( 1606800 1325710 0 ) ( * 1339585 )
NEW met3 ( 1985760 1339030 ) ( * 1339770 )
NEW met3 ( 1743120 1341990 ) ( 1887840 * )
NEW met3 ( 2044080 1339770 ) ( 2065440 * )
NEW met5 ( 1887840 1336995 ) ( 1918560 * )
NEW met4 ( 1918560 1336995 ) ( * 1339030 )
NEW met4 ( 1887840 1336995 ) ( * 1341990 )
NEW met3 ( 1918560 1339030 ) ( 1985760 * )
NEW met3 ( 2065440 1339030 ) ( * 1339770 )
NEW met3 ( 2065440 1339030 ) ( 3071280 * )
NEW met2 ( 1683120 1339585 ) ( * 1342175 )
NEW met1 ( 1683120 1342175 ) ( 1686960 * )
NEW met2 ( 1686960 1340325 ) ( * 1342175 )
NEW met1 ( 1606800 1339585 ) ( 1683120 * )
NEW met1 ( 1686960 1340325 ) ( 1743120 * )
NEW met2 ( 3071280 1339030 ) via2_FR
NEW met1 ( 1606800 1339585 ) M1M2_PR
NEW met1 ( 1743120 1340325 ) M1M2_PR
NEW met2 ( 1743120 1341990 ) via2_FR
NEW met2 ( 1987920 1339770 ) via2_FR
NEW met1 ( 1987920 1339585 ) M1M2_PR
NEW met1 ( 2044080 1339585 ) M1M2_PR
NEW met2 ( 2044080 1339770 ) via2_FR
NEW met3 ( 1887840 1341990 ) M3M4_PR_M
NEW met4 ( 1887840 1336995 ) via4_FR
NEW met4 ( 1918560 1336995 ) via4_FR
NEW met3 ( 1918560 1339030 ) M3M4_PR_M
NEW met1 ( 1683120 1339585 ) M1M2_PR
NEW met1 ( 1683120 1342175 ) M1M2_PR
NEW met1 ( 1686960 1342175 ) M1M2_PR
NEW met1 ( 1686960 1340325 ) M1M2_PR ;
- la_data_out_user\[119\] ( mprj la_data_out[119] ) ( mgmt_buffers la_data_out_core[119] ) + USE SIGNAL
+ ROUTED met2 ( 3088560 1384910 ) ( * 1389350 0 )
NEW met2 ( 1607280 1325710 ) ( 1608480 * 0 )
NEW met2 ( 1607280 1325710 ) ( * 1384910 )
NEW met3 ( 1607280 1384910 ) ( 3088560 * )
NEW met2 ( 3088560 1384910 ) via2_FR
NEW met2 ( 1607280 1384910 ) via2_FR ;
- la_data_out_user\[11\] ( mprj la_data_out[11] ) ( mgmt_buffers la_data_out_core[11] ) + USE SIGNAL
+ ROUTED met2 ( 1162320 1369555 ) ( * 1389350 0 )
NEW met2 ( 1373520 1325710 0 ) ( * 1369555 )
NEW met1 ( 1162320 1369555 ) ( 1373520 * )
NEW met1 ( 1162320 1369555 ) M1M2_PR
NEW met1 ( 1373520 1369555 ) M1M2_PR ;
- la_data_out_user\[120\] ( mprj la_data_out[120] ) ( mgmt_buffers la_data_out_core[120] ) + USE SIGNAL
+ ROUTED met2 ( 3106320 1338845 ) ( * 1389350 0 )
NEW met2 ( 1610880 1325710 0 ) ( 1612560 * )
NEW met2 ( 1612560 1325710 ) ( * 1337735 )
NEW met2 ( 1690320 1337735 ) ( * 1338845 )
NEW met1 ( 1612560 1337735 ) ( 1690320 * )
NEW met1 ( 1690320 1338845 ) ( 3106320 * )
NEW met1 ( 3106320 1338845 ) M1M2_PR
NEW met1 ( 1612560 1337735 ) M1M2_PR
NEW met1 ( 1690320 1337735 ) M1M2_PR
NEW met1 ( 1690320 1338845 ) M1M2_PR ;
- la_data_out_user\[121\] ( mprj la_data_out[121] ) ( mgmt_buffers la_data_out_core[121] ) + USE SIGNAL
+ ROUTED met2 ( 3124560 1379730 ) ( * 1389350 0 )
NEW met2 ( 1613040 1325710 0 ) ( 1614480 * )
NEW met2 ( 1614480 1325710 ) ( * 1341065 )
NEW met1 ( 1614480 1341065 ) ( 1619760 * )
NEW met2 ( 1619760 1341065 ) ( * 1379730 )
NEW met3 ( 1619760 1379730 ) ( 3124560 * )
NEW met2 ( 3124560 1379730 ) via2_FR
NEW met1 ( 1614480 1341065 ) M1M2_PR
NEW met1 ( 1619760 1341065 ) M1M2_PR
NEW met2 ( 1619760 1379730 ) via2_FR ;
- la_data_out_user\[122\] ( mprj la_data_out[122] ) ( mgmt_buffers la_data_out_core[122] ) + USE SIGNAL
+ ROUTED met2 ( 3142320 1327190 ) ( * 1389350 0 )
NEW met2 ( 1615440 1325710 0 ) ( * 1341805 )
NEW met2 ( 2044080 1333665 ) ( * 1339030 )
NEW met2 ( 1691760 1339585 ) ( * 1341805 )
NEW met1 ( 1615440 1341805 ) ( 1691760 * )
NEW met2 ( 1885200 1339585 ) ( * 1342915 )
NEW met1 ( 1885200 1342915 ) ( 1892400 * )
NEW met1 ( 1892400 1342915 ) ( * 1343285 )
NEW met1 ( 1691760 1339585 ) ( 1885200 * )
NEW met2 ( 2064720 1327190 ) ( * 1339030 )
NEW met3 ( 2044080 1339030 ) ( 2064720 * )
NEW met3 ( 2064720 1327190 ) ( 3142320 * )
NEW met1 ( 1944240 1342545 ) ( * 1343285 )
NEW met1 ( 1944240 1342545 ) ( 1996560 * )
NEW met2 ( 1996560 1333665 ) ( * 1342545 )
NEW met1 ( 1892400 1343285 ) ( 1944240 * )
NEW met1 ( 1996560 1333665 ) ( 2044080 * )
NEW met2 ( 3142320 1327190 ) via2_FR
NEW met1 ( 1615440 1341805 ) M1M2_PR
NEW met1 ( 2044080 1333665 ) M1M2_PR
NEW met2 ( 2044080 1339030 ) via2_FR
NEW met1 ( 1691760 1341805 ) M1M2_PR
NEW met1 ( 1691760 1339585 ) M1M2_PR
NEW met1 ( 1885200 1339585 ) M1M2_PR
NEW met1 ( 1885200 1342915 ) M1M2_PR
NEW met2 ( 2064720 1339030 ) via2_FR
NEW met2 ( 2064720 1327190 ) via2_FR
NEW met1 ( 1996560 1342545 ) M1M2_PR
NEW met1 ( 1996560 1333665 ) M1M2_PR ;
- la_data_out_user\[123\] ( mprj la_data_out[123] ) ( mgmt_buffers la_data_out_core[123] ) + USE SIGNAL
+ ROUTED met2 ( 3159120 1389350 ) ( 3160560 * 0 )
NEW met2 ( 3159120 1329410 ) ( * 1389350 )
NEW met2 ( 1989360 1329595 ) ( * 1339030 )
NEW met3 ( 1989360 1339030 ) ( 2043360 * )
NEW met3 ( 2043360 1338290 ) ( * 1339030 )
NEW met2 ( 2074800 1329410 ) ( * 1338290 )
NEW met3 ( 2043360 1338290 ) ( 2074800 * )
NEW met3 ( 2074800 1329410 ) ( 3159120 * )
NEW met2 ( 1617360 1325710 0 ) ( * 1349945 )
NEW met2 ( 1833360 1342175 ) ( * 1349945 )
NEW met1 ( 1617360 1349945 ) ( 1833360 * )
NEW met2 ( 1889520 1339585 ) ( * 1342175 )
NEW met1 ( 1889520 1339585 ) ( 1891440 * )
NEW met2 ( 1891440 1328855 ) ( * 1339585 )
NEW met2 ( 1891440 1328855 ) ( 1892400 * )
NEW met2 ( 1892400 1328855 ) ( * 1329595 )
NEW met1 ( 1833360 1342175 ) ( 1889520 * )
NEW met1 ( 1892400 1329595 ) ( 1989360 * )
NEW met2 ( 3159120 1329410 ) via2_FR
NEW met1 ( 1989360 1329595 ) M1M2_PR
NEW met2 ( 1989360 1339030 ) via2_FR
NEW met2 ( 2074800 1338290 ) via2_FR
NEW met2 ( 2074800 1329410 ) via2_FR
NEW met1 ( 1617360 1349945 ) M1M2_PR
NEW met1 ( 1833360 1349945 ) M1M2_PR
NEW met1 ( 1833360 1342175 ) M1M2_PR
NEW met1 ( 1889520 1342175 ) M1M2_PR
NEW met1 ( 1889520 1339585 ) M1M2_PR
NEW met1 ( 1891440 1339585 ) M1M2_PR
NEW met1 ( 1892400 1329595 ) M1M2_PR ;
- la_data_out_user\[124\] ( mprj la_data_out[124] ) ( mgmt_buffers la_data_out_core[124] ) + USE SIGNAL
+ ROUTED met2 ( 3176400 1390645 ) ( * 1390830 )
NEW met2 ( 3176400 1390830 ) ( 3177840 * 0 )
NEW met2 ( 1619520 1325710 0 ) ( 1620720 * )
NEW met2 ( 1620720 1325710 ) ( * 1339955 )
NEW met1 ( 1620720 1339955 ) ( 1633200 * )
NEW met2 ( 1633200 1339955 ) ( * 1390645 )
NEW met1 ( 1633200 1390645 ) ( 3176400 * )
NEW met1 ( 3176400 1390645 ) M1M2_PR
NEW met1 ( 1620720 1339955 ) M1M2_PR
NEW met1 ( 1633200 1339955 ) M1M2_PR
NEW met1 ( 1633200 1390645 ) M1M2_PR ;
- la_data_out_user\[125\] ( mprj la_data_out[125] ) ( mgmt_buffers la_data_out_core[125] ) + USE SIGNAL
+ ROUTED met2 ( 3195600 1338290 ) ( * 1389350 0 )
NEW met2 ( 1621920 1325710 0 ) ( 1623120 * )
NEW met2 ( 1623120 1325710 ) ( * 1341065 )
NEW met2 ( 2075760 1338290 ) ( * 1343285 )
NEW met3 ( 2075760 1338290 ) ( 3195600 * )
NEW met2 ( 1943760 1341435 ) ( * 1341990 )
NEW met2 ( 1943760 1341990 ) ( 1944240 * )
NEW met3 ( 1944240 1341990 ) ( 1946160 * )
NEW met2 ( 1946160 1341990 ) ( * 1343285 )
NEW met1 ( 1946160 1343285 ) ( 2075760 * )
NEW met3 ( 1884000 1338290 ) ( * 1339030 )
NEW met3 ( 1884000 1339030 ) ( 1884240 * )
NEW met2 ( 1884240 1339030 ) ( * 1341435 )
NEW met1 ( 1884240 1341435 ) ( 1943760 * )
NEW met4 ( 1738080 1338290 ) ( * 1345690 )
NEW met3 ( 1737840 1345690 ) ( 1738080 * )
NEW met2 ( 1737840 1341065 ) ( * 1345690 )
NEW met1 ( 1623120 1341065 ) ( 1737840 * )
NEW met3 ( 1738080 1338290 ) ( 1884000 * )
NEW met2 ( 3195600 1338290 ) via2_FR
NEW met1 ( 1623120 1341065 ) M1M2_PR
NEW met1 ( 2075760 1343285 ) M1M2_PR
NEW met2 ( 2075760 1338290 ) via2_FR
NEW met1 ( 1943760 1341435 ) M1M2_PR
NEW met2 ( 1944240 1341990 ) via2_FR
NEW met2 ( 1946160 1341990 ) via2_FR
NEW met1 ( 1946160 1343285 ) M1M2_PR
NEW met2 ( 1884240 1339030 ) via2_FR
NEW met1 ( 1884240 1341435 ) M1M2_PR
NEW met3 ( 1738080 1338290 ) M3M4_PR_M
NEW met3 ( 1738080 1345690 ) M3M4_PR_M
NEW met2 ( 1737840 1345690 ) via2_FR
NEW met1 ( 1737840 1341065 ) M1M2_PR
NEW met3 ( 1738080 1345690 ) RECT ( 0 -150 380 150 ) ;
- la_data_out_user\[126\] ( mprj la_data_out[126] ) ( mgmt_buffers la_data_out_core[126] ) + USE SIGNAL
+ ROUTED met2 ( 3213840 1384170 ) ( * 1389350 0 )
NEW met3 ( 1625520 1384170 ) ( 3213840 * )
NEW met2 ( 1623600 1325710 0 ) ( 1625040 * )
NEW met2 ( 1625040 1325710 ) ( * 1341805 )
NEW met2 ( 1625040 1341805 ) ( 1625520 * )
NEW met2 ( 1625520 1341805 ) ( * 1384170 )
NEW met2 ( 3213840 1384170 ) via2_FR
NEW met2 ( 1625520 1384170 ) via2_FR ;
- la_data_out_user\[127\] ( mprj la_data_out[127] ) ( mgmt_buffers la_data_out_core[127] ) + USE SIGNAL
+ ROUTED met2 ( 3231600 1383430 ) ( * 1389350 0 )
NEW met3 ( 1627920 1383430 ) ( 3231600 * )
NEW met2 ( 1626000 1324970 0 ) ( 1627920 * )
NEW met2 ( 1627920 1324970 ) ( * 1383430 )
NEW met2 ( 3231600 1383430 ) via2_FR
NEW met2 ( 1627920 1383430 ) via2_FR ;
- la_data_out_user\[12\] ( mprj la_data_out[12] ) ( mgmt_buffers la_data_out_core[12] ) + USE SIGNAL
+ ROUTED met2 ( 1179600 1340325 ) ( * 1389350 0 )
NEW met2 ( 1374480 1325710 ) ( 1375680 * 0 )
NEW met2 ( 1374480 1325710 ) ( * 1340325 )
NEW met1 ( 1179600 1340325 ) ( 1374480 * )
NEW met1 ( 1179600 1340325 ) M1M2_PR
NEW met1 ( 1374480 1340325 ) M1M2_PR ;
- la_data_out_user\[13\] ( mprj la_data_out[13] ) ( mgmt_buffers la_data_out_core[13] ) + USE SIGNAL
+ ROUTED met2 ( 1197360 1369925 ) ( * 1389350 0 )
NEW met2 ( 1376400 1325710 ) ( 1377600 * 0 )
NEW met2 ( 1376400 1325710 ) ( * 1369925 )
NEW met1 ( 1197360 1369925 ) ( 1376400 * )
NEW met1 ( 1197360 1369925 ) M1M2_PR
NEW met1 ( 1376400 1369925 ) M1M2_PR ;
- la_data_out_user\[14\] ( mprj la_data_out[14] ) ( mgmt_buffers la_data_out_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 1378800 1325710 ) ( 1380000 * 0 )
NEW met2 ( 1378800 1325710 ) ( * 1339585 )
NEW met2 ( 1215600 1339585 ) ( * 1389350 0 )
NEW met1 ( 1215600 1339585 ) ( 1378800 * )
NEW met1 ( 1378800 1339585 ) M1M2_PR
NEW met1 ( 1215600 1339585 ) M1M2_PR ;
- la_data_out_user\[15\] ( mprj la_data_out[15] ) ( mgmt_buffers la_data_out_core[15] ) + USE SIGNAL
+ ROUTED met2 ( 1233360 1356975 ) ( * 1389350 0 )
NEW met2 ( 1381200 1325710 ) ( 1382160 * 0 )
NEW met2 ( 1381200 1325710 ) ( * 1354755 )
NEW met2 ( 1288560 1354755 ) ( * 1356975 )
NEW met1 ( 1233360 1356975 ) ( 1288560 * )
NEW met1 ( 1288560 1354755 ) ( 1381200 * )
NEW met1 ( 1233360 1356975 ) M1M2_PR
NEW met1 ( 1381200 1354755 ) M1M2_PR
NEW met1 ( 1288560 1356975 ) M1M2_PR
NEW met1 ( 1288560 1354755 ) M1M2_PR ;
- la_data_out_user\[16\] ( mprj la_data_out[16] ) ( mgmt_buffers la_data_out_core[16] ) + USE SIGNAL
+ ROUTED met2 ( 1251120 1359195 ) ( * 1389350 0 )
NEW met2 ( 1384080 1325710 0 ) ( * 1359195 )
NEW met1 ( 1251120 1359195 ) ( 1384080 * )
NEW met1 ( 1251120 1359195 ) M1M2_PR
NEW met1 ( 1384080 1359195 ) M1M2_PR ;
- la_data_out_user\[17\] ( mprj la_data_out[17] ) ( mgmt_buffers la_data_out_core[17] ) + USE SIGNAL
+ ROUTED met2 ( 1386480 1325710 0 ) ( * 1356975 )
NEW met1 ( 1303920 1356605 ) ( * 1356975 )
NEW met1 ( 1268880 1356605 ) ( 1303920 * )
NEW met2 ( 1268880 1356605 ) ( * 1389350 0 )
NEW met1 ( 1303920 1356975 ) ( 1386480 * )
NEW met1 ( 1386480 1356975 ) M1M2_PR
NEW met1 ( 1268880 1356605 ) M1M2_PR ;
- la_data_out_user\[18\] ( mprj la_data_out[18] ) ( mgmt_buffers la_data_out_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 1387440 1325710 ) ( 1388640 * 0 )
NEW met2 ( 1387440 1325710 ) ( * 1354015 )
NEW met2 ( 1286640 1354015 ) ( * 1389350 0 )
NEW met1 ( 1286640 1354015 ) ( 1387440 * )
NEW met1 ( 1387440 1354015 ) M1M2_PR
NEW met1 ( 1286640 1354015 ) M1M2_PR ;
- la_data_out_user\[19\] ( mprj la_data_out[19] ) ( mgmt_buffers la_data_out_core[19] ) + USE SIGNAL
+ ROUTED met2 ( 1390800 1325710 ) ( 1391040 * 0 )
NEW met2 ( 1390800 1325710 ) ( * 1355495 )
NEW met2 ( 1304880 1355495 ) ( * 1389350 0 )
NEW met1 ( 1304880 1355495 ) ( 1390800 * )
NEW met1 ( 1390800 1355495 ) M1M2_PR
NEW met1 ( 1304880 1355495 ) M1M2_PR ;
- la_data_out_user\[1\] ( mprj la_data_out[1] ) ( mgmt_buffers la_data_out_core[1] ) + USE SIGNAL
+ ROUTED met2 ( 983760 1376215 ) ( * 1389350 0 )
NEW met2 ( 1351440 1325710 0 ) ( 1352880 * )
NEW met2 ( 1352880 1325710 ) ( * 1376215 )
NEW met1 ( 983760 1376215 ) ( 1352880 * )
NEW met1 ( 983760 1376215 ) M1M2_PR
NEW met1 ( 1352880 1376215 ) M1M2_PR ;
- la_data_out_user\[20\] ( mprj la_data_out[20] ) ( mgmt_buffers la_data_out_core[20] ) + USE SIGNAL
+ ROUTED met2 ( 1392720 1325710 0 ) ( * 1356605 )
NEW met2 ( 1322640 1356605 ) ( * 1389350 0 )
NEW met1 ( 1322640 1356605 ) ( 1392720 * )
NEW met1 ( 1392720 1356605 ) M1M2_PR
NEW met1 ( 1322640 1356605 ) M1M2_PR ;
- la_data_out_user\[21\] ( mprj la_data_out[21] ) ( mgmt_buffers la_data_out_core[21] ) + USE SIGNAL
+ ROUTED met1 ( 1340400 1359935 ) ( 1395120 * )
NEW met2 ( 1340400 1359935 ) ( * 1389350 0 )
NEW met2 ( 1395120 1325710 0 ) ( * 1359935 )
NEW met1 ( 1395120 1359935 ) M1M2_PR
NEW met1 ( 1340400 1359935 ) M1M2_PR ;
- la_data_out_user\[22\] ( mprj la_data_out[22] ) ( mgmt_buffers la_data_out_core[22] ) + USE SIGNAL
+ ROUTED met1 ( 1358160 1367705 ) ( 1397520 * )
NEW met2 ( 1358160 1367705 ) ( * 1389350 0 )
NEW met2 ( 1397520 1325710 0 ) ( * 1367705 )
NEW met1 ( 1397520 1367705 ) M1M2_PR
NEW met1 ( 1358160 1367705 ) M1M2_PR ;
- la_data_out_user\[23\] ( mprj la_data_out[23] ) ( mgmt_buffers la_data_out_core[23] ) + USE SIGNAL
+ ROUTED met1 ( 1375920 1367335 ) ( 1398480 * )
NEW met2 ( 1375920 1367335 ) ( * 1389350 0 )
NEW met2 ( 1398480 1325710 ) ( 1399680 * 0 )
NEW met2 ( 1398480 1325710 ) ( * 1367335 )
NEW met1 ( 1398480 1367335 ) M1M2_PR
NEW met1 ( 1375920 1367335 ) M1M2_PR ;
- la_data_out_user\[24\] ( mprj la_data_out[24] ) ( mgmt_buffers la_data_out_core[24] ) + USE SIGNAL
+ ROUTED met1 ( 1394160 1361415 ) ( 1400400 * )
NEW met2 ( 1394160 1361415 ) ( * 1389350 0 )
NEW met2 ( 1400400 1325710 ) ( 1401600 * 0 )
NEW met2 ( 1400400 1325710 ) ( * 1361415 )
NEW met1 ( 1400400 1361415 ) M1M2_PR
NEW met1 ( 1394160 1361415 ) M1M2_PR ;
- la_data_out_user\[25\] ( mprj la_data_out[25] ) ( mgmt_buffers la_data_out_core[25] ) + USE SIGNAL
+ ROUTED met1 ( 1403760 1361415 ) ( 1411920 * )
NEW met2 ( 1411920 1361415 ) ( * 1389350 0 )
NEW met2 ( 1403760 1325710 0 ) ( * 1361415 )
NEW met1 ( 1403760 1361415 ) M1M2_PR
NEW met1 ( 1411920 1361415 ) M1M2_PR ;
- la_data_out_user\[26\] ( mprj la_data_out[26] ) ( mgmt_buffers la_data_out_core[26] ) + USE SIGNAL
+ ROUTED met1 ( 1406160 1367335 ) ( 1429200 * )
NEW met2 ( 1429200 1367335 ) ( * 1389350 0 )
NEW met2 ( 1406160 1325710 0 ) ( * 1367335 )
NEW met1 ( 1406160 1367335 ) M1M2_PR
NEW met1 ( 1429200 1367335 ) M1M2_PR ;
- la_data_out_user\[27\] ( mprj la_data_out[27] ) ( mgmt_buffers la_data_out_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 1408560 1325710 0 ) ( * 1355125 )
NEW met2 ( 1447440 1355125 ) ( * 1389350 0 )
NEW met1 ( 1408560 1355125 ) ( 1447440 * )
NEW met1 ( 1408560 1355125 ) M1M2_PR
NEW met1 ( 1447440 1355125 ) M1M2_PR ;
- la_data_out_user\[28\] ( mprj la_data_out[28] ) ( mgmt_buffers la_data_out_core[28] ) + USE SIGNAL
+ ROUTED met2 ( 1410240 1325710 0 ) ( 1410960 * )
NEW met2 ( 1410960 1325710 ) ( * 1355495 )
NEW met2 ( 1454640 1355495 ) ( * 1367705 )
NEW met1 ( 1454640 1367705 ) ( 1465200 * )
NEW met2 ( 1465200 1367705 ) ( * 1389350 0 )
NEW met1 ( 1410960 1355495 ) ( 1454640 * )
NEW met1 ( 1410960 1355495 ) M1M2_PR
NEW met1 ( 1454640 1355495 ) M1M2_PR
NEW met1 ( 1454640 1367705 ) M1M2_PR
NEW met1 ( 1465200 1367705 ) M1M2_PR ;
- la_data_out_user\[29\] ( mprj la_data_out[29] ) ( mgmt_buffers la_data_out_core[29] ) + USE SIGNAL
+ ROUTED met2 ( 1412640 1325710 0 ) ( 1413840 * )
NEW met2 ( 1413840 1325710 ) ( * 1355865 )
NEW met1 ( 1461360 1355125 ) ( * 1355865 )
NEW met1 ( 1461360 1355125 ) ( 1465680 * )
NEW met1 ( 1465680 1355125 ) ( * 1355495 )
NEW met1 ( 1413840 1355865 ) ( 1461360 * )
NEW met2 ( 1485840 1355495 ) ( * 1370295 )
NEW met1 ( 1483440 1370295 ) ( 1485840 * )
NEW met1 ( 1465680 1355495 ) ( 1485840 * )
NEW met2 ( 1483440 1370295 ) ( * 1389350 0 )
NEW met1 ( 1413840 1355865 ) M1M2_PR
NEW met1 ( 1485840 1355495 ) M1M2_PR
NEW met1 ( 1485840 1370295 ) M1M2_PR
NEW met1 ( 1483440 1370295 ) M1M2_PR ;
- la_data_out_user\[2\] ( mprj la_data_out[2] ) ( mgmt_buffers la_data_out_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1001040 1377325 ) ( * 1389350 0 )
NEW met2 ( 1353600 1325710 0 ) ( 1353840 * )
NEW met2 ( 1353840 1325710 ) ( * 1377325 )
NEW met1 ( 1001040 1377325 ) ( 1353840 * )
NEW met1 ( 1001040 1377325 ) M1M2_PR
NEW met1 ( 1353840 1377325 ) M1M2_PR ;
- la_data_out_user\[30\] ( mprj la_data_out[30] ) ( mgmt_buffers la_data_out_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 1414800 1325710 0 ) ( * 1356605 )
NEW met1 ( 1414800 1356605 ) ( 1500720 * )
NEW met2 ( 1500720 1356605 ) ( * 1389350 0 )
NEW met1 ( 1414800 1356605 ) M1M2_PR
NEW met1 ( 1500720 1356605 ) M1M2_PR ;
- la_data_out_user\[31\] ( mprj la_data_out[31] ) ( mgmt_buffers la_data_out_core[31] ) + USE SIGNAL
+ ROUTED met2 ( 1417200 1325710 0 ) ( * 1359195 )
NEW met1 ( 1417200 1359195 ) ( 1518480 * )
NEW met2 ( 1518480 1359195 ) ( * 1389350 0 )
NEW met1 ( 1417200 1359195 ) M1M2_PR
NEW met1 ( 1518480 1359195 ) M1M2_PR ;
- la_data_out_user\[32\] ( mprj la_data_out[32] ) ( mgmt_buffers la_data_out_core[32] ) + USE SIGNAL
+ ROUTED met2 ( 1419120 1325710 0 ) ( * 1359935 )
NEW met1 ( 1419120 1359935 ) ( 1536720 * )
NEW met2 ( 1536720 1359935 ) ( * 1389350 0 )
NEW met1 ( 1419120 1359935 ) M1M2_PR
NEW met1 ( 1536720 1359935 ) M1M2_PR ;
- la_data_out_user\[33\] ( mprj la_data_out[33] ) ( mgmt_buffers la_data_out_core[33] ) + USE SIGNAL
+ ROUTED met1 ( 1422480 1367705 ) ( 1429680 * )
NEW met1 ( 1429680 1367335 ) ( * 1367705 )
NEW met2 ( 1554480 1367335 ) ( * 1389350 0 )
NEW met2 ( 1421280 1325710 0 ) ( 1422480 * )
NEW met2 ( 1422480 1325710 ) ( * 1367705 )
NEW met1 ( 1429680 1367335 ) ( 1554480 * )
NEW met1 ( 1422480 1367705 ) M1M2_PR
NEW met1 ( 1554480 1367335 ) M1M2_PR ;
- la_data_out_user\[34\] ( mprj la_data_out[34] ) ( mgmt_buffers la_data_out_core[34] ) + USE SIGNAL
+ ROUTED met2 ( 1572240 1376215 ) ( * 1389350 0 )
NEW met2 ( 1423680 1325710 0 ) ( 1424880 * )
NEW met2 ( 1424880 1325710 ) ( * 1376215 )
NEW met1 ( 1424880 1376215 ) ( 1572240 * )
NEW met1 ( 1424880 1376215 ) M1M2_PR
NEW met1 ( 1572240 1376215 ) M1M2_PR ;
- la_data_out_user\[35\] ( mprj la_data_out[35] ) ( mgmt_buffers la_data_out_core[35] ) + USE SIGNAL
+ ROUTED met2 ( 1580880 1339585 ) ( * 1343470 )
NEW met2 ( 1580880 1343470 ) ( 1582320 * )
NEW met2 ( 1582320 1343470 ) ( * 1345690 )
NEW met2 ( 1582320 1345690 ) ( 1585200 * )
NEW met2 ( 1585200 1345690 ) ( * 1389350 )
NEW met2 ( 1585200 1389350 ) ( 1590000 * 0 )
NEW met2 ( 1425360 1325710 0 ) ( * 1339585 )
NEW met1 ( 1425360 1339585 ) ( 1580880 * )
NEW met1 ( 1425360 1339585 ) M1M2_PR
NEW met1 ( 1580880 1339585 ) M1M2_PR ;
- la_data_out_user\[36\] ( mprj la_data_out[36] ) ( mgmt_buffers la_data_out_core[36] ) + USE SIGNAL
+ ROUTED met2 ( 1599120 1361415 ) ( * 1367705 )
NEW met1 ( 1599120 1367705 ) ( 1607760 * )
NEW met2 ( 1607760 1367705 ) ( * 1389350 0 )
NEW met2 ( 1427760 1325710 0 ) ( * 1361415 )
NEW met1 ( 1427760 1361415 ) ( 1599120 * )
NEW met1 ( 1427760 1361415 ) M1M2_PR
NEW met1 ( 1599120 1361415 ) M1M2_PR
NEW met1 ( 1599120 1367705 ) M1M2_PR
NEW met1 ( 1607760 1367705 ) M1M2_PR ;
- la_data_out_user\[37\] ( mprj la_data_out[37] ) ( mgmt_buffers la_data_out_core[37] ) + USE SIGNAL
+ ROUTED met2 ( 1430160 1325710 0 ) ( * 1340325 )
NEW met2 ( 1614960 1341805 ) ( * 1354015 )
NEW met1 ( 1614960 1354015 ) ( 1624560 * )
NEW met2 ( 1624560 1354015 ) ( * 1389350 )
NEW met2 ( 1624560 1389350 ) ( 1626000 * 0 )
NEW met2 ( 1581360 1339585 ) ( * 1340325 )
NEW met1 ( 1581360 1339585 ) ( 1584240 * )
NEW met1 ( 1584240 1339215 ) ( * 1339585 )
NEW met1 ( 1584240 1339215 ) ( 1589040 * )
NEW met1 ( 1589040 1339215 ) ( * 1339585 )
NEW met1 ( 1589040 1339585 ) ( 1592880 * )
NEW met2 ( 1592880 1339585 ) ( * 1341805 )
NEW met1 ( 1430160 1340325 ) ( 1581360 * )
NEW met1 ( 1592880 1341805 ) ( 1614960 * )
NEW met1 ( 1430160 1340325 ) M1M2_PR
NEW met1 ( 1614960 1341805 ) M1M2_PR
NEW met1 ( 1614960 1354015 ) M1M2_PR
NEW met1 ( 1624560 1354015 ) M1M2_PR
NEW met1 ( 1581360 1340325 ) M1M2_PR
NEW met1 ( 1581360 1339585 ) M1M2_PR
NEW met1 ( 1592880 1339585 ) M1M2_PR
NEW met1 ( 1592880 1341805 ) M1M2_PR ;
- la_data_out_user\[38\] ( mprj la_data_out[38] ) ( mgmt_buffers la_data_out_core[38] ) + USE SIGNAL
+ ROUTED met2 ( 1432320 1325710 0 ) ( 1432560 * )
NEW met2 ( 1432560 1325710 ) ( * 1330150 )
NEW met2 ( 1432560 1330150 ) ( 1434000 * )
NEW met2 ( 1434000 1330150 ) ( * 1370665 )
NEW met2 ( 1643760 1370665 ) ( * 1389350 0 )
NEW met1 ( 1434000 1370665 ) ( 1643760 * )
NEW met1 ( 1434000 1370665 ) M1M2_PR
NEW met1 ( 1643760 1370665 ) M1M2_PR ;
- la_data_out_user\[39\] ( mprj la_data_out[39] ) ( mgmt_buffers la_data_out_core[39] ) + USE SIGNAL
+ ROUTED met2 ( 1434240 1325710 0 ) ( 1435440 * )
NEW met2 ( 1435440 1325710 ) ( * 1341805 )
NEW met2 ( 1613040 1341065 ) ( * 1355310 )
NEW met3 ( 1613040 1355310 ) ( 1661520 * )
NEW met2 ( 1661520 1355310 ) ( * 1389350 0 )
NEW met1 ( 1583280 1341065 ) ( * 1341805 )
NEW met1 ( 1435440 1341805 ) ( 1583280 * )
NEW met1 ( 1583280 1341065 ) ( 1613040 * )
NEW met1 ( 1435440 1341805 ) M1M2_PR
NEW met1 ( 1613040 1341065 ) M1M2_PR
NEW met2 ( 1613040 1355310 ) via2_FR
NEW met2 ( 1661520 1355310 ) via2_FR ;
- la_data_out_user\[3\] ( mprj la_data_out[3] ) ( mgmt_buffers la_data_out_core[3] ) + USE SIGNAL
+ ROUTED met2 ( 1019280 1352165 ) ( * 1389350 0 )
NEW met2 ( 1354800 1325710 ) ( 1356000 * 0 )
NEW met2 ( 1354800 1325710 ) ( * 1352165 )
NEW met1 ( 1019280 1352165 ) ( 1354800 * )
NEW met1 ( 1019280 1352165 ) M1M2_PR
NEW met1 ( 1354800 1352165 ) M1M2_PR ;
- la_data_out_user\[40\] ( mprj la_data_out[40] ) ( mgmt_buffers la_data_out_core[40] ) + USE SIGNAL
+ ROUTED met2 ( 1436400 1325710 0 ) ( * 1389165 )
NEW met2 ( 1677840 1389165 ) ( * 1389350 )
NEW met2 ( 1677840 1389350 ) ( 1679280 * 0 )
NEW met1 ( 1436400 1389165 ) ( 1677840 * )
NEW met1 ( 1436400 1389165 ) M1M2_PR
NEW met1 ( 1677840 1389165 ) M1M2_PR ;
- la_data_out_user\[41\] ( mprj la_data_out[41] ) ( mgmt_buffers la_data_out_core[41] ) + USE SIGNAL
+ ROUTED met2 ( 1438800 1325710 0 ) ( * 1338105 )
NEW met2 ( 1592400 1329595 ) ( * 1338105 )
NEW met2 ( 1695600 1389350 ) ( 1697040 * 0 )
NEW met1 ( 1438800 1338105 ) ( 1592400 * )
NEW met1 ( 1592400 1329595 ) ( 1695600 * )
NEW met2 ( 1695600 1329595 ) ( * 1389350 )
NEW met1 ( 1438800 1338105 ) M1M2_PR
NEW met1 ( 1592400 1338105 ) M1M2_PR
NEW met1 ( 1592400 1329595 ) M1M2_PR
NEW met1 ( 1695600 1329595 ) M1M2_PR ;
- la_data_out_user\[42\] ( mprj la_data_out[42] ) ( mgmt_buffers la_data_out_core[42] ) + USE SIGNAL
+ ROUTED met2 ( 1714800 1382505 ) ( * 1389350 0 )
NEW met1 ( 1442160 1382505 ) ( 1714800 * )
NEW met2 ( 1441200 1325710 0 ) ( 1442160 * )
NEW met2 ( 1442160 1325710 ) ( * 1382505 )
NEW met1 ( 1442160 1382505 ) M1M2_PR
NEW met1 ( 1714800 1382505 ) M1M2_PR ;
- la_data_out_user\[43\] ( mprj la_data_out[43] ) ( mgmt_buffers la_data_out_core[43] ) + USE SIGNAL
+ ROUTED met2 ( 1442880 1325710 0 ) ( 1444080 * )
NEW met2 ( 1444080 1325710 ) ( * 1333665 )
NEW met1 ( 1444080 1333665 ) ( 1485840 * )
NEW met2 ( 1485840 1333665 ) ( * 1336995 )
NEW met1 ( 1485840 1336995 ) ( 1733040 * )
NEW met2 ( 1733040 1336995 ) ( * 1389350 0 )
NEW met1 ( 1444080 1333665 ) M1M2_PR
NEW met1 ( 1485840 1333665 ) M1M2_PR
NEW met1 ( 1485840 1336995 ) M1M2_PR
NEW met1 ( 1733040 1336995 ) M1M2_PR ;
- la_data_out_user\[44\] ( mprj la_data_out[44] ) ( mgmt_buffers la_data_out_core[44] ) + USE SIGNAL
+ ROUTED met2 ( 1750320 1384355 ) ( * 1389350 0 )
NEW met1 ( 1446480 1384355 ) ( 1750320 * )
NEW met2 ( 1445280 1325710 0 ) ( 1446480 * )
NEW met2 ( 1446480 1325710 ) ( * 1384355 )
NEW met1 ( 1750320 1384355 ) M1M2_PR
NEW met1 ( 1446480 1384355 ) M1M2_PR ;
- la_data_out_user\[45\] ( mprj la_data_out[45] ) ( mgmt_buffers la_data_out_core[45] ) + USE SIGNAL
+ ROUTED met2 ( 1768560 1336255 ) ( * 1389350 0 )
NEW met2 ( 1447440 1325710 0 ) ( * 1336255 )
NEW met1 ( 1447440 1336255 ) ( 1768560 * )
NEW met1 ( 1768560 1336255 ) M1M2_PR
NEW met1 ( 1447440 1336255 ) M1M2_PR ;
- la_data_out_user\[46\] ( mprj la_data_out[46] ) ( mgmt_buffers la_data_out_core[46] ) + USE SIGNAL
+ ROUTED met2 ( 1785360 1388795 ) ( * 1389350 )
NEW met1 ( 1449840 1388795 ) ( 1785360 * )
NEW met2 ( 1449840 1325710 0 ) ( * 1388795 )
NEW met2 ( 1785360 1389350 ) ( 1786320 * 0 )
NEW met1 ( 1785360 1388795 ) M1M2_PR
NEW met1 ( 1449840 1388795 ) M1M2_PR ;
- la_data_out_user\[47\] ( mprj la_data_out[47] ) ( mgmt_buffers la_data_out_core[47] ) + USE SIGNAL
+ ROUTED met2 ( 1804080 1332925 ) ( * 1389350 0 )
NEW met2 ( 1451760 1325710 0 ) ( * 1336995 )
NEW met1 ( 1451760 1336995 ) ( 1485360 * )
NEW met1 ( 1485360 1336995 ) ( * 1337365 )
NEW met2 ( 1691760 1332925 ) ( * 1337365 )
NEW met1 ( 1485360 1337365 ) ( 1691760 * )
NEW met1 ( 1691760 1332925 ) ( 1804080 * )
NEW met1 ( 1804080 1332925 ) M1M2_PR
NEW met1 ( 1451760 1336995 ) M1M2_PR
NEW met1 ( 1691760 1337365 ) M1M2_PR
NEW met1 ( 1691760 1332925 ) M1M2_PR ;
- la_data_out_user\[48\] ( mprj la_data_out[48] ) ( mgmt_buffers la_data_out_core[48] ) + USE SIGNAL
+ ROUTED met2 ( 1822320 1385095 ) ( * 1389350 0 )
NEW met1 ( 1454160 1385095 ) ( 1822320 * )
NEW met2 ( 1453920 1325710 0 ) ( 1454160 * )
NEW met2 ( 1454160 1325710 ) ( * 1385095 )
NEW met1 ( 1822320 1385095 ) M1M2_PR
NEW met1 ( 1454160 1385095 ) M1M2_PR ;
- la_data_out_user\[49\] ( mprj la_data_out[49] ) ( mgmt_buffers la_data_out_core[49] ) + USE SIGNAL
+ ROUTED met2 ( 1784880 1367705 ) ( * 1388425 )
NEW met1 ( 1784880 1367705 ) ( 1839600 * )
NEW met2 ( 1839600 1367705 ) ( * 1389350 0 )
NEW met1 ( 1457520 1388425 ) ( 1784880 * )
NEW met2 ( 1456320 1325710 0 ) ( 1457520 * )
NEW met2 ( 1457520 1325710 ) ( * 1388425 )
NEW met1 ( 1784880 1388425 ) M1M2_PR
NEW met1 ( 1784880 1367705 ) M1M2_PR
NEW met1 ( 1839600 1367705 ) M1M2_PR
NEW met1 ( 1457520 1388425 ) M1M2_PR ;
- la_data_out_user\[4\] ( mprj la_data_out[4] ) ( mgmt_buffers la_data_out_core[4] ) + USE SIGNAL
+ ROUTED met2 ( 1356720 1325710 ) ( 1358160 * 0 )
NEW met2 ( 1356720 1325710 ) ( * 1371405 )
NEW met2 ( 1037040 1371405 ) ( * 1389350 0 )
NEW met1 ( 1037040 1371405 ) ( 1356720 * )
NEW met1 ( 1356720 1371405 ) M1M2_PR
NEW met1 ( 1037040 1371405 ) M1M2_PR ;
- la_data_out_user\[50\] ( mprj la_data_out[50] ) ( mgmt_buffers la_data_out_core[50] ) + USE SIGNAL
+ ROUTED met2 ( 1835280 1336625 ) ( * 1351425 )
NEW met2 ( 1858320 1351425 ) ( * 1389350 )
NEW met2 ( 1857840 1389350 0 ) ( 1858320 * )
NEW met1 ( 1835280 1351425 ) ( 1858320 * )
NEW met2 ( 1458480 1325710 0 ) ( * 1336625 )
NEW met1 ( 1458480 1336625 ) ( 1835280 * )
NEW met1 ( 1835280 1351425 ) M1M2_PR
NEW met1 ( 1835280 1336625 ) M1M2_PR
NEW met1 ( 1858320 1351425 ) M1M2_PR
NEW met1 ( 1458480 1336625 ) M1M2_PR ;
- la_data_out_user\[51\] ( mprj la_data_out[51] ) ( mgmt_buffers la_data_out_core[51] ) + USE SIGNAL
+ ROUTED met2 ( 1874160 1391385 ) ( * 1391570 )
NEW met2 ( 1874160 1391570 ) ( 1875600 * 0 )
NEW met1 ( 1460400 1391385 ) ( 1874160 * )
NEW met2 ( 1460400 1325710 0 ) ( * 1391385 )
NEW met1 ( 1460400 1391385 ) M1M2_PR
NEW met1 ( 1874160 1391385 ) M1M2_PR ;
- la_data_out_user\[52\] ( mprj la_data_out[52] ) ( mgmt_buffers la_data_out_core[52] ) + USE SIGNAL
+ ROUTED met2 ( 1635600 1338105 ) ( * 1355865 )
NEW met2 ( 1888560 1389350 ) ( 1893360 * 0 )
NEW met2 ( 1462800 1325710 0 ) ( * 1355865 )
NEW met2 ( 1576560 1355865 ) ( * 1356975 )
NEW met2 ( 1576560 1356975 ) ( 1577520 * )
NEW met2 ( 1577520 1355865 ) ( * 1356975 )
NEW met1 ( 1462800 1355865 ) ( 1576560 * )
NEW met1 ( 1577520 1355865 ) ( 1635600 * )
NEW met2 ( 1724400 1325525 ) ( * 1338105 )
NEW met1 ( 1635600 1338105 ) ( 1724400 * )
NEW met1 ( 1881840 1364005 ) ( 1887120 * )
NEW met2 ( 1887120 1364005 ) ( * 1367150 )
NEW met2 ( 1887120 1367150 ) ( 1887600 * )
NEW met3 ( 1887600 1367150 ) ( 1888560 * )
NEW met2 ( 1888560 1367150 ) ( * 1389350 )
NEW met2 ( 1871280 1325525 ) ( * 1330150 )
NEW met2 ( 1871280 1330150 ) ( 1872240 * )
NEW met2 ( 1872240 1330150 ) ( * 1341435 )
NEW met1 ( 1872240 1341435 ) ( 1881840 * )
NEW met1 ( 1724400 1325525 ) ( 1871280 * )
NEW met2 ( 1881840 1341435 ) ( * 1364005 )
NEW met1 ( 1635600 1355865 ) M1M2_PR
NEW met1 ( 1635600 1338105 ) M1M2_PR
NEW met1 ( 1462800 1355865 ) M1M2_PR
NEW met1 ( 1576560 1355865 ) M1M2_PR
NEW met1 ( 1577520 1355865 ) M1M2_PR
NEW met1 ( 1724400 1338105 ) M1M2_PR
NEW met1 ( 1724400 1325525 ) M1M2_PR
NEW met1 ( 1881840 1364005 ) M1M2_PR
NEW met1 ( 1887120 1364005 ) M1M2_PR
NEW met2 ( 1887600 1367150 ) via2_FR
NEW met2 ( 1888560 1367150 ) via2_FR
NEW met1 ( 1871280 1325525 ) M1M2_PR
NEW met1 ( 1872240 1341435 ) M1M2_PR
NEW met1 ( 1881840 1341435 ) M1M2_PR ;
- la_data_out_user\[53\] ( mprj la_data_out[53] ) ( mgmt_buffers la_data_out_core[53] ) + USE SIGNAL
+ ROUTED met2 ( 1911600 1387685 ) ( * 1389350 0 )
NEW met1 ( 1466160 1387685 ) ( 1911600 * )
NEW met2 ( 1464960 1325710 0 ) ( 1466160 * )
NEW met2 ( 1466160 1325710 ) ( * 1387685 )
NEW met1 ( 1466160 1387685 ) M1M2_PR
NEW met1 ( 1911600 1387685 ) M1M2_PR ;
- la_data_out_user\[54\] ( mprj la_data_out[54] ) ( mgmt_buffers la_data_out_core[54] ) + USE SIGNAL
+ ROUTED met2 ( 1927440 1389350 ) ( 1928880 * 0 )
NEW met2 ( 1466880 1325710 0 ) ( 1468080 * )
NEW met2 ( 1468080 1325710 ) ( * 1335885 )
NEW met2 ( 1835760 1335885 ) ( * 1336625 )
NEW met1 ( 1468080 1335885 ) ( 1835760 * )
NEW met2 ( 1891920 1336070 ) ( * 1336625 )
NEW met2 ( 1891920 1336070 ) ( 1892880 * )
NEW met2 ( 1892880 1336070 ) ( * 1339585 )
NEW met1 ( 1892880 1339585 ) ( 1927440 * )
NEW met1 ( 1835760 1336625 ) ( 1891920 * )
NEW met2 ( 1927440 1339585 ) ( * 1389350 )
NEW met1 ( 1468080 1335885 ) M1M2_PR
NEW met1 ( 1835760 1335885 ) M1M2_PR
NEW met1 ( 1835760 1336625 ) M1M2_PR
NEW met1 ( 1891920 1336625 ) M1M2_PR
NEW met1 ( 1892880 1339585 ) M1M2_PR
NEW met1 ( 1927440 1339585 ) M1M2_PR ;
- la_data_out_user\[55\] ( mprj la_data_out[55] ) ( mgmt_buffers la_data_out_core[55] ) + USE SIGNAL
+ ROUTED met2 ( 1945200 1391570 ) ( * 1391755 )
NEW met2 ( 1945200 1391570 ) ( 1946640 * 0 )
NEW met1 ( 1469040 1391755 ) ( 1945200 * )
NEW met2 ( 1469040 1325710 0 ) ( * 1391755 )
NEW met1 ( 1945200 1391755 ) M1M2_PR
NEW met1 ( 1469040 1391755 ) M1M2_PR ;
- la_data_out_user\[56\] ( mprj la_data_out[56] ) ( mgmt_buffers la_data_out_core[56] ) + USE SIGNAL
+ ROUTED met2 ( 1595280 1354570 ) ( * 1355125 )
NEW met2 ( 1595280 1354570 ) ( 1596240 * )
NEW met2 ( 1596240 1338105 ) ( * 1354570 )
NEW met1 ( 1471440 1355125 ) ( 1595280 * )
NEW met2 ( 1471440 1325710 0 ) ( * 1355125 )
NEW met2 ( 1634160 1338105 ) ( * 1341435 )
NEW met1 ( 1634160 1341435 ) ( 1661520 * )
NEW met2 ( 1661520 1325895 ) ( * 1341435 )
NEW met1 ( 1596240 1338105 ) ( 1634160 * )
NEW met2 ( 1836720 1325895 ) ( * 1335515 )
NEW met1 ( 1836720 1335515 ) ( 1876080 * )
NEW met2 ( 1876080 1335515 ) ( * 1338105 )
NEW met1 ( 1876080 1338105 ) ( 1884240 * )
NEW met2 ( 1884240 1337550 ) ( * 1338105 )
NEW met2 ( 1884240 1337550 ) ( 1884720 * )
NEW met2 ( 1884720 1335515 ) ( * 1337550 )
NEW met2 ( 1884720 1335515 ) ( 1885680 * )
NEW met2 ( 1885680 1335515 ) ( * 1336810 )
NEW met1 ( 1661520 1325895 ) ( 1836720 * )
NEW met2 ( 1887600 1336810 ) ( * 1340510 )
NEW met2 ( 1887600 1340510 ) ( 1888080 * )
NEW met2 ( 1888080 1340510 ) ( * 1368815 )
NEW met1 ( 1888080 1368815 ) ( 1964880 * )
NEW met2 ( 1885680 1336810 ) ( 1887600 * )
NEW met2 ( 1964880 1368815 ) ( * 1389350 0 )
NEW met1 ( 1595280 1355125 ) M1M2_PR
NEW met1 ( 1596240 1338105 ) M1M2_PR
NEW met1 ( 1471440 1355125 ) M1M2_PR
NEW met1 ( 1634160 1338105 ) M1M2_PR
NEW met1 ( 1634160 1341435 ) M1M2_PR
NEW met1 ( 1661520 1341435 ) M1M2_PR
NEW met1 ( 1661520 1325895 ) M1M2_PR
NEW met1 ( 1836720 1325895 ) M1M2_PR
NEW met1 ( 1836720 1335515 ) M1M2_PR
NEW met1 ( 1876080 1335515 ) M1M2_PR
NEW met1 ( 1876080 1338105 ) M1M2_PR
NEW met1 ( 1884240 1338105 ) M1M2_PR
NEW met1 ( 1888080 1368815 ) M1M2_PR
NEW met1 ( 1964880 1368815 ) M1M2_PR ;
- la_data_out_user\[57\] ( mprj la_data_out[57] ) ( mgmt_buffers la_data_out_core[57] ) + USE SIGNAL
+ ROUTED met2 ( 1981200 1392865 ) ( * 1393050 )
NEW met2 ( 1981200 1393050 ) ( 1982640 * 0 )
NEW met2 ( 1473840 1325710 0 ) ( * 1367705 )
NEW met2 ( 1545360 1367705 ) ( * 1392865 )
NEW met1 ( 1473840 1367705 ) ( 1545360 * )
NEW met2 ( 1852560 1392865 ) ( 1854960 * )
NEW met1 ( 1545360 1392865 ) ( 1852560 * )
NEW met2 ( 1895280 1392865 ) ( * 1393235 )
NEW met2 ( 1895280 1393235 ) ( 1896720 * )
NEW met2 ( 1896720 1391755 ) ( * 1393235 )
NEW met2 ( 1896720 1391755 ) ( 1898640 * )
NEW met2 ( 1898640 1391755 ) ( * 1392865 )
NEW met1 ( 1854960 1392865 ) ( 1895280 * )
NEW met1 ( 1898640 1392865 ) ( 1981200 * )
NEW met1 ( 1981200 1392865 ) M1M2_PR
NEW met1 ( 1473840 1367705 ) M1M2_PR
NEW met1 ( 1545360 1367705 ) M1M2_PR
NEW met1 ( 1545360 1392865 ) M1M2_PR
NEW met1 ( 1852560 1392865 ) M1M2_PR
NEW met1 ( 1854960 1392865 ) M1M2_PR
NEW met1 ( 1895280 1392865 ) M1M2_PR
NEW met1 ( 1898640 1392865 ) M1M2_PR ;
- la_data_out_user\[58\] ( mprj la_data_out[58] ) ( mgmt_buffers la_data_out_core[58] ) + USE SIGNAL
+ ROUTED met2 ( 2000400 1338475 ) ( * 1389350 0 )
NEW met2 ( 1475520 1325710 0 ) ( 1476720 * )
NEW met2 ( 1476720 1325710 ) ( * 1335145 )
NEW met2 ( 1894320 1335145 ) ( * 1338475 )
NEW met1 ( 1476720 1335145 ) ( 1894320 * )
NEW met1 ( 1894320 1338475 ) ( 2000400 * )
NEW met1 ( 2000400 1338475 ) M1M2_PR
NEW met1 ( 1476720 1335145 ) M1M2_PR
NEW met1 ( 1894320 1335145 ) M1M2_PR
NEW met1 ( 1894320 1338475 ) M1M2_PR ;
- la_data_out_user\[59\] ( mprj la_data_out[59] ) ( mgmt_buffers la_data_out_core[59] ) + USE SIGNAL
+ ROUTED met2 ( 1590960 1383245 ) ( * 1393235 )
NEW met2 ( 1793040 1382875 ) ( * 1392495 )
NEW met2 ( 2016720 1393050 ) ( 2018160 * 0 )
NEW met2 ( 2016720 1392865 ) ( * 1393050 )
NEW met1 ( 2016720 1392865 ) ( * 1393235 )
NEW met1 ( 1479120 1392865 ) ( * 1393235 )
NEW met1 ( 1479120 1393235 ) ( 1590960 * )
NEW met2 ( 1691760 1383245 ) ( * 1392495 )
NEW met1 ( 1590960 1383245 ) ( 1691760 * )
NEW met1 ( 1691760 1392495 ) ( 1793040 * )
NEW met2 ( 1477920 1325710 0 ) ( 1479120 * )
NEW met2 ( 1479120 1325710 ) ( * 1392865 )
NEW met2 ( 1895760 1382875 ) ( * 1392865 )
NEW met1 ( 1895760 1392865 ) ( 1897200 * )
NEW met1 ( 1897200 1392865 ) ( * 1393235 )
NEW met1 ( 1793040 1382875 ) ( 1895760 * )
NEW met1 ( 1897200 1393235 ) ( 2016720 * )
NEW met1 ( 1590960 1393235 ) M1M2_PR
NEW met1 ( 1590960 1383245 ) M1M2_PR
NEW met1 ( 1793040 1392495 ) M1M2_PR
NEW met1 ( 1793040 1382875 ) M1M2_PR
NEW met1 ( 2016720 1392865 ) M1M2_PR
NEW met1 ( 1479120 1392865 ) M1M2_PR
NEW met1 ( 1691760 1383245 ) M1M2_PR
NEW met1 ( 1691760 1392495 ) M1M2_PR
NEW met1 ( 1895760 1382875 ) M1M2_PR
NEW met1 ( 1895760 1392865 ) M1M2_PR ;
- la_data_out_user\[5\] ( mprj la_data_out[5] ) ( mgmt_buffers la_data_out_core[5] ) + USE SIGNAL
+ ROUTED met2 ( 1360080 1325710 0 ) ( * 1342175 )
NEW met2 ( 1054800 1342175 ) ( * 1389350 0 )
NEW met1 ( 1054800 1342175 ) ( 1360080 * )
NEW met1 ( 1360080 1342175 ) M1M2_PR
NEW met1 ( 1054800 1342175 ) M1M2_PR ;
- la_data_out_user\[60\] ( mprj la_data_out[60] ) ( mgmt_buffers la_data_out_core[60] ) + USE SIGNAL
+ ROUTED met2 ( 1986000 1333850 ) ( * 1334775 )
NEW met2 ( 1986000 1333850 ) ( 1986960 * )
NEW met2 ( 1986960 1333850 ) ( * 1336070 )
NEW met2 ( 1986960 1336070 ) ( 1988400 * )
NEW met2 ( 1988400 1336070 ) ( * 1337365 )
NEW met1 ( 1988400 1337365 ) ( 1994160 * )
NEW met2 ( 1994160 1337365 ) ( * 1338290 )
NEW met2 ( 1994160 1338290 ) ( 1995600 * )
NEW met2 ( 1995600 1334035 ) ( * 1338290 )
NEW met1 ( 1995600 1334035 ) ( 2035920 * )
NEW met2 ( 2035920 1334035 ) ( * 1389350 0 )
NEW met2 ( 1480080 1325710 0 ) ( * 1334775 )
NEW met1 ( 1480080 1334775 ) ( 1986000 * )
NEW met1 ( 1986000 1334775 ) M1M2_PR
NEW met1 ( 1988400 1337365 ) M1M2_PR
NEW met1 ( 1994160 1337365 ) M1M2_PR
NEW met1 ( 1995600 1334035 ) M1M2_PR
NEW met1 ( 2035920 1334035 ) M1M2_PR
NEW met1 ( 1480080 1334775 ) M1M2_PR ;
- la_data_out_user\[61\] ( mprj la_data_out[61] ) ( mgmt_buffers la_data_out_core[61] ) + USE SIGNAL
+ ROUTED met2 ( 2054160 1388055 ) ( * 1389350 0 )
NEW met1 ( 1482480 1388055 ) ( 2054160 * )
NEW met2 ( 1482480 1325710 0 ) ( * 1388055 )
NEW met1 ( 1482480 1388055 ) M1M2_PR
NEW met1 ( 2054160 1388055 ) M1M2_PR ;
- la_data_out_user\[62\] ( mprj la_data_out[62] ) ( mgmt_buffers la_data_out_core[62] ) + USE SIGNAL
+ ROUTED met2 ( 1994640 1334035 ) ( * 1337365 )
NEW met2 ( 1484400 1325710 0 ) ( * 1334035 )
NEW met1 ( 1484400 1334035 ) ( 1994640 * )
NEW met1 ( 1994640 1337365 ) ( 2071920 * )
NEW met2 ( 2071920 1337365 ) ( * 1389350 0 )
NEW met1 ( 1994640 1334035 ) M1M2_PR
NEW met1 ( 1994640 1337365 ) M1M2_PR
NEW met1 ( 1484400 1334035 ) M1M2_PR
NEW met1 ( 2071920 1337365 ) M1M2_PR ;
- la_data_out_user\[63\] ( mprj la_data_out[63] ) ( mgmt_buffers la_data_out_core[63] ) + USE SIGNAL
+ ROUTED met2 ( 2088240 1390090 ) ( * 1390275 )
NEW met2 ( 2088240 1390090 ) ( 2089680 * 0 )
NEW met1 ( 1487760 1390275 ) ( 2088240 * )
NEW met2 ( 1486560 1325710 0 ) ( 1487760 * )
NEW met2 ( 1487760 1325710 ) ( * 1390275 )
NEW met1 ( 1487760 1390275 ) M1M2_PR
NEW met1 ( 2088240 1390275 ) M1M2_PR ;
- la_data_out_user\[64\] ( mprj la_data_out[64] ) ( mgmt_buffers la_data_out_core[64] ) + USE SIGNAL
+ ROUTED met2 ( 1994160 1333665 ) ( * 1335885 )
NEW met2 ( 1488960 1325710 0 ) ( 1490160 * )
NEW met2 ( 1490160 1325710 ) ( * 1333665 )
NEW met1 ( 1490160 1333665 ) ( 1994160 * )
NEW met1 ( 1994160 1335885 ) ( 2107440 * )
NEW met2 ( 2107440 1335885 ) ( * 1389350 0 )
NEW met1 ( 1994160 1333665 ) M1M2_PR
NEW met1 ( 1994160 1335885 ) M1M2_PR
NEW met1 ( 1490160 1333665 ) M1M2_PR
NEW met1 ( 2107440 1335885 ) M1M2_PR ;
- la_data_out_user\[65\] ( mprj la_data_out[65] ) ( mgmt_buffers la_data_out_core[65] ) + USE SIGNAL
+ ROUTED met2 ( 2125200 1386945 ) ( * 1389350 0 )
NEW met2 ( 1491120 1325710 0 ) ( * 1386945 )
NEW met1 ( 1590480 1386575 ) ( * 1386945 )
NEW met1 ( 1590480 1386575 ) ( 1591440 * )
NEW met1 ( 1591440 1386575 ) ( * 1386945 )
NEW met1 ( 1491120 1386945 ) ( 1590480 * )
NEW met1 ( 1591440 1386945 ) ( 2125200 * )
NEW met1 ( 1491120 1386945 ) M1M2_PR
NEW met1 ( 2125200 1386945 ) M1M2_PR ;
- la_data_out_user\[66\] ( mprj la_data_out[66] ) ( mgmt_buffers la_data_out_core[66] ) + USE SIGNAL
+ ROUTED met2 ( 1493040 1325710 0 ) ( * 1333295 )
NEW met1 ( 1493040 1333295 ) ( 2143440 * )
NEW met2 ( 2143440 1333295 ) ( * 1389350 0 )
NEW met1 ( 1493040 1333295 ) M1M2_PR
NEW met1 ( 2143440 1333295 ) M1M2_PR ;
- la_data_out_user\[67\] ( mprj la_data_out[67] ) ( mgmt_buffers la_data_out_core[67] ) + USE SIGNAL
+ ROUTED met2 ( 2161200 1386205 ) ( * 1389350 0 )
NEW met1 ( 1495440 1386205 ) ( 2161200 * )
NEW met2 ( 1495440 1325710 0 ) ( * 1386205 )
NEW met1 ( 2161200 1386205 ) M1M2_PR
NEW met1 ( 1495440 1386205 ) M1M2_PR ;
- la_data_out_user\[68\] ( mprj la_data_out[68] ) ( mgmt_buffers la_data_out_core[68] ) + USE SIGNAL
+ ROUTED met2 ( 2178480 1332185 ) ( * 1389350 0 )
NEW met2 ( 1497600 1325710 0 ) ( 1498800 * )
NEW met2 ( 1498800 1325710 ) ( * 1332185 )
NEW met1 ( 1498800 1332185 ) ( 2178480 * )
NEW met1 ( 2178480 1332185 ) M1M2_PR
NEW met1 ( 1498800 1332185 ) M1M2_PR ;
- la_data_out_user\[69\] ( mprj la_data_out[69] ) ( mgmt_buffers la_data_out_core[69] ) + USE SIGNAL
+ ROUTED met2 ( 2196720 1385835 ) ( * 1389350 0 )
NEW met1 ( 1501200 1385835 ) ( 2196720 * )
NEW met2 ( 1500000 1325710 0 ) ( 1501200 * )
NEW met2 ( 1501200 1325710 ) ( * 1385835 )
NEW met1 ( 2196720 1385835 ) M1M2_PR
NEW met1 ( 1501200 1385835 ) M1M2_PR ;
- la_data_out_user\[6\] ( mprj la_data_out[6] ) ( mgmt_buffers la_data_out_core[6] ) + USE SIGNAL
+ ROUTED met2 ( 1362480 1325710 0 ) ( * 1371035 )
NEW met2 ( 1073040 1371035 ) ( * 1389350 0 )
NEW met1 ( 1073040 1371035 ) ( 1362480 * )
NEW met1 ( 1362480 1371035 ) M1M2_PR
NEW met1 ( 1073040 1371035 ) M1M2_PR ;
- la_data_out_user\[70\] ( mprj la_data_out[70] ) ( mgmt_buffers la_data_out_core[70] ) + USE SIGNAL
+ ROUTED met2 ( 2214480 1331815 ) ( * 1389350 0 )
NEW met2 ( 1501680 1325710 0 ) ( * 1331815 )
NEW met1 ( 1501680 1331815 ) ( 2214480 * )
NEW met1 ( 2214480 1331815 ) M1M2_PR
NEW met1 ( 1501680 1331815 ) M1M2_PR ;
- la_data_out_user\[71\] ( mprj la_data_out[71] ) ( mgmt_buffers la_data_out_core[71] ) + USE SIGNAL
+ ROUTED met2 ( 2232720 1384725 ) ( * 1389350 0 )
NEW met2 ( 1504080 1325710 0 ) ( * 1384725 )
NEW met2 ( 1883280 1384725 ) ( * 1386390 )
NEW met2 ( 1883280 1386390 ) ( 1884720 * )
NEW met2 ( 1884720 1384725 ) ( * 1386390 )
NEW met1 ( 1504080 1384725 ) ( 1883280 * )
NEW met1 ( 1884720 1384725 ) ( 2232720 * )
NEW met1 ( 2232720 1384725 ) M1M2_PR
NEW met1 ( 1504080 1384725 ) M1M2_PR
NEW met1 ( 1883280 1384725 ) M1M2_PR
NEW met1 ( 1884720 1384725 ) M1M2_PR ;
- la_data_out_user\[72\] ( mprj la_data_out[72] ) ( mgmt_buffers la_data_out_core[72] ) + USE SIGNAL
+ ROUTED met2 ( 1506480 1325710 0 ) ( * 1331445 )
NEW met1 ( 1506480 1331445 ) ( 2250000 * )
NEW met2 ( 2250000 1331445 ) ( * 1389350 0 )
NEW met1 ( 1506480 1331445 ) M1M2_PR
NEW met1 ( 2250000 1331445 ) M1M2_PR ;
- la_data_out_user\[73\] ( mprj la_data_out[73] ) ( mgmt_buffers la_data_out_core[73] ) + USE SIGNAL
+ ROUTED met2 ( 2266320 1390830 ) ( * 1391015 )
NEW met2 ( 2266320 1390830 ) ( 2267760 * 0 )
NEW met1 ( 1509360 1391015 ) ( 2266320 * )
NEW met2 ( 1508160 1325710 0 ) ( 1509360 * )
NEW met2 ( 1509360 1325710 ) ( * 1391015 )
NEW met1 ( 1509360 1391015 ) M1M2_PR
NEW met1 ( 2266320 1391015 ) M1M2_PR ;
- la_data_out_user\[74\] ( mprj la_data_out[74] ) ( mgmt_buffers la_data_out_core[74] ) + USE SIGNAL
+ ROUTED met2 ( 1510560 1325710 0 ) ( 1511760 * )
NEW met2 ( 1511760 1325710 ) ( * 1330705 )
NEW met1 ( 1511760 1330705 ) ( 2286000 * )
NEW met2 ( 2286000 1330705 ) ( * 1389350 0 )
NEW met1 ( 1511760 1330705 ) M1M2_PR
NEW met1 ( 2286000 1330705 ) M1M2_PR ;
- la_data_out_user\[75\] ( mprj la_data_out[75] ) ( mgmt_buffers la_data_out_core[75] ) + USE SIGNAL
+ ROUTED met2 ( 2303760 1386575 ) ( * 1389350 0 )
NEW met1 ( 1638480 1386575 ) ( 2303760 * )
NEW met2 ( 1512720 1325710 0 ) ( 1514160 * )
NEW met2 ( 1514160 1325710 ) ( * 1355495 )
NEW met1 ( 1596240 1355125 ) ( * 1355495 )
NEW met1 ( 1596240 1355125 ) ( 1611600 * )
NEW met2 ( 1611600 1355125 ) ( * 1356235 )
NEW met2 ( 1611600 1356235 ) ( 1614000 * )
NEW met2 ( 1614000 1356235 ) ( * 1356605 )
NEW met1 ( 1614000 1356605 ) ( 1628400 * )
NEW met2 ( 1628400 1356605 ) ( * 1368445 )
NEW met1 ( 1628400 1368445 ) ( 1638480 * )
NEW met1 ( 1514160 1355495 ) ( 1596240 * )
NEW met2 ( 1638480 1368445 ) ( * 1386575 )
NEW met1 ( 1638480 1386575 ) M1M2_PR
NEW met1 ( 2303760 1386575 ) M1M2_PR
NEW met1 ( 1514160 1355495 ) M1M2_PR
NEW met1 ( 1611600 1355125 ) M1M2_PR
NEW met1 ( 1614000 1356605 ) M1M2_PR
NEW met1 ( 1628400 1356605 ) M1M2_PR
NEW met1 ( 1628400 1368445 ) M1M2_PR
NEW met1 ( 1638480 1368445 ) M1M2_PR ;
- la_data_out_user\[76\] ( mprj la_data_out[76] ) ( mgmt_buffers la_data_out_core[76] ) + USE SIGNAL
+ ROUTED met2 ( 1515120 1325710 0 ) ( * 1330335 )
NEW met1 ( 1515120 1330335 ) ( 2321520 * )
NEW met2 ( 2321520 1330335 ) ( * 1389350 0 )
NEW met1 ( 1515120 1330335 ) M1M2_PR
NEW met1 ( 2321520 1330335 ) M1M2_PR ;
- la_data_out_user\[77\] ( mprj la_data_out[77] ) ( mgmt_buffers la_data_out_core[77] ) + USE SIGNAL
+ ROUTED met2 ( 2337840 1391570 ) ( 2339280 * 0 )
NEW met3 ( 1517040 1391570 ) ( 2337840 * )
NEW met2 ( 1517040 1325710 0 ) ( * 1391570 )
NEW met2 ( 1517040 1391570 ) via2_FR
NEW met2 ( 2337840 1391570 ) via2_FR ;
- la_data_out_user\[78\] ( mprj la_data_out[78] ) ( mgmt_buffers la_data_out_core[78] ) + USE SIGNAL
+ ROUTED met2 ( 2357040 1329965 ) ( * 1389350 0 )
NEW met2 ( 1519200 1325710 0 ) ( 1520400 * )
NEW met2 ( 1520400 1325710 ) ( * 1329965 )
NEW met1 ( 1520400 1329965 ) ( 2357040 * )
NEW met1 ( 2357040 1329965 ) M1M2_PR
NEW met1 ( 1520400 1329965 ) M1M2_PR ;
- la_data_out_user\[79\] ( mprj la_data_out[79] ) ( mgmt_buffers la_data_out_core[79] ) + USE SIGNAL
+ ROUTED met2 ( 2373840 1390830 ) ( 2375280 * 0 )
NEW met3 ( 1522800 1390830 ) ( 2373840 * )
NEW met2 ( 1521600 1325710 0 ) ( 1522800 * )
NEW met2 ( 1522800 1325710 ) ( * 1390830 )
NEW met2 ( 2373840 1390830 ) via2_FR
NEW met2 ( 1522800 1390830 ) via2_FR ;
- la_data_out_user\[7\] ( mprj la_data_out[7] ) ( mgmt_buffers la_data_out_core[7] ) + USE SIGNAL
+ ROUTED met2 ( 1363440 1325710 ) ( 1364640 * 0 )
NEW met2 ( 1363440 1325710 ) ( * 1341065 )
NEW met2 ( 1090320 1341065 ) ( * 1389350 0 )
NEW met1 ( 1090320 1341065 ) ( 1363440 * )
NEW met1 ( 1363440 1341065 ) M1M2_PR
NEW met1 ( 1090320 1341065 ) M1M2_PR ;
- la_data_out_user\[80\] ( mprj la_data_out[80] ) ( mgmt_buffers la_data_out_core[80] ) + USE SIGNAL
+ ROUTED met2 ( 2393040 1329225 ) ( * 1389350 0 )
NEW met2 ( 1523760 1325710 0 ) ( * 1329225 )
NEW met1 ( 1523760 1329225 ) ( 2393040 * )
NEW met1 ( 2393040 1329225 ) M1M2_PR
NEW met1 ( 1523760 1329225 ) M1M2_PR ;
- la_data_out_user\[81\] ( mprj la_data_out[81] ) ( mgmt_buffers la_data_out_core[81] ) + USE SIGNAL
+ ROUTED met2 ( 2409360 1389350 ) ( 2410800 * 0 )
NEW met3 ( 1526160 1389350 ) ( 2409360 * )
NEW met2 ( 1525680 1325710 0 ) ( 1526160 * )
NEW met2 ( 1526160 1325710 ) ( * 1389350 )
NEW met2 ( 2409360 1389350 ) via2_FR
NEW met2 ( 1526160 1389350 ) via2_FR ;
- la_data_out_user\[82\] ( mprj la_data_out[82] ) ( mgmt_buffers la_data_out_core[82] ) + USE SIGNAL
+ ROUTED met2 ( 2428560 1328485 ) ( * 1389350 0 )
NEW met2 ( 1528080 1325710 0 ) ( * 1328485 )
NEW met1 ( 1528080 1328485 ) ( 2428560 * )
NEW met1 ( 2428560 1328485 ) M1M2_PR
NEW met1 ( 1528080 1328485 ) M1M2_PR ;
- la_data_out_user\[83\] ( mprj la_data_out[83] ) ( mgmt_buffers la_data_out_core[83] ) + USE SIGNAL
+ ROUTED met2 ( 1576080 1354385 ) ( * 1356235 )
NEW met1 ( 1576080 1354385 ) ( 1584720 * )
NEW met2 ( 1584720 1354385 ) ( * 1390090 )
NEW met2 ( 1584720 1390090 ) ( 1585680 * )
NEW met2 ( 2444880 1390090 ) ( 2446320 * 0 )
NEW met1 ( 1531440 1356235 ) ( 1576080 * )
NEW met3 ( 1585680 1390090 ) ( 2444880 * )
NEW met2 ( 1530240 1325710 0 ) ( 1531440 * )
NEW met2 ( 1531440 1325710 ) ( * 1356235 )
NEW met1 ( 1576080 1356235 ) M1M2_PR
NEW met1 ( 1576080 1354385 ) M1M2_PR
NEW met1 ( 1584720 1354385 ) M1M2_PR
NEW met2 ( 1585680 1390090 ) via2_FR
NEW met2 ( 2444880 1390090 ) via2_FR
NEW met1 ( 1531440 1356235 ) M1M2_PR ;
- la_data_out_user\[84\] ( mprj la_data_out[84] ) ( mgmt_buffers la_data_out_core[84] ) + USE SIGNAL
+ ROUTED met2 ( 2464560 1328115 ) ( * 1389350 0 )
NEW met2 ( 1532640 1325710 0 ) ( 1533840 * )
NEW met2 ( 1533840 1325710 ) ( * 1328115 )
NEW met1 ( 1533840 1328115 ) ( 1593840 * )
NEW met2 ( 1593840 1328115 ) ( * 1329595 )
NEW met2 ( 1593840 1329595 ) ( 1595280 * )
NEW met2 ( 1595280 1328115 ) ( * 1329595 )
NEW met1 ( 1595280 1328115 ) ( 2464560 * )
NEW met1 ( 2464560 1328115 ) M1M2_PR
NEW met1 ( 1533840 1328115 ) M1M2_PR
NEW met1 ( 1593840 1328115 ) M1M2_PR
NEW met1 ( 1595280 1328115 ) M1M2_PR ;
- la_data_out_user\[85\] ( mprj la_data_out[85] ) ( mgmt_buffers la_data_out_core[85] ) + USE SIGNAL
+ ROUTED met2 ( 2480880 1388610 ) ( * 1389350 )
NEW met2 ( 2480880 1389350 ) ( 2482320 * 0 )
NEW met3 ( 1535760 1388610 ) ( 2480880 * )
NEW met2 ( 1534560 1325710 0 ) ( 1535760 * )
NEW met2 ( 1535760 1325710 ) ( * 1388610 )
NEW met2 ( 1535760 1388610 ) via2_FR
NEW met2 ( 2480880 1388610 ) via2_FR ;
- la_data_out_user\[86\] ( mprj la_data_out[86] ) ( mgmt_buffers la_data_out_core[86] ) + USE SIGNAL
+ ROUTED met2 ( 2499600 1327745 ) ( * 1389350 0 )
NEW met2 ( 1536720 1325710 0 ) ( * 1327745 )
NEW met1 ( 1536720 1327745 ) ( 2499600 * )
NEW met1 ( 2499600 1327745 ) M1M2_PR
NEW met1 ( 1536720 1327745 ) M1M2_PR ;
- la_data_out_user\[87\] ( mprj la_data_out[87] ) ( mgmt_buffers la_data_out_core[87] ) + USE SIGNAL
+ ROUTED met2 ( 2517840 1352165 ) ( * 1389350 0 )
NEW met1 ( 1539120 1352165 ) ( 2517840 * )
NEW met2 ( 1539120 1325710 0 ) ( * 1352165 )
NEW met1 ( 1539120 1352165 ) M1M2_PR
NEW met1 ( 2517840 1352165 ) M1M2_PR ;
- la_data_out_user\[88\] ( mprj la_data_out[88] ) ( mgmt_buffers la_data_out_core[88] ) + USE SIGNAL
+ ROUTED met2 ( 1541280 1325710 0 ) ( 1542000 * )
NEW met2 ( 1542000 1325710 ) ( * 1387870 )
NEW met2 ( 2535600 1387870 ) ( * 1389350 0 )
NEW met3 ( 1542000 1387870 ) ( 2535600 * )
NEW met2 ( 1542000 1387870 ) via2_FR
NEW met2 ( 2535600 1387870 ) via2_FR ;
- la_data_out_user\[89\] ( mprj la_data_out[89] ) ( mgmt_buffers la_data_out_core[89] ) + USE SIGNAL
+ ROUTED met2 ( 1543200 1325710 0 ) ( 1544400 * )
NEW met2 ( 1544400 1325710 ) ( * 1369555 )
NEW met2 ( 2553360 1354015 ) ( * 1389350 0 )
NEW met1 ( 1613520 1368815 ) ( * 1369555 )
NEW met1 ( 1544400 1369555 ) ( 1613520 * )
NEW met2 ( 1887600 1368815 ) ( * 1374550 )
NEW met3 ( 1887600 1374550 ) ( 1895280 * )
NEW met2 ( 1895280 1361045 ) ( * 1374550 )
NEW met1 ( 1895280 1361045 ) ( 1926480 * )
NEW met2 ( 1926480 1359565 ) ( * 1361045 )
NEW met1 ( 1926480 1359565 ) ( 1937520 * )
NEW met2 ( 1937520 1354015 ) ( * 1359565 )
NEW met1 ( 1613520 1368815 ) ( 1887600 * )
NEW met1 ( 1937520 1354015 ) ( 2553360 * )
NEW met1 ( 1544400 1369555 ) M1M2_PR
NEW met1 ( 2553360 1354015 ) M1M2_PR
NEW met1 ( 1887600 1368815 ) M1M2_PR
NEW met2 ( 1887600 1374550 ) via2_FR
NEW met2 ( 1895280 1374550 ) via2_FR
NEW met1 ( 1895280 1361045 ) M1M2_PR
NEW met1 ( 1926480 1361045 ) M1M2_PR
NEW met1 ( 1926480 1359565 ) M1M2_PR
NEW met1 ( 1937520 1359565 ) M1M2_PR
NEW met1 ( 1937520 1354015 ) M1M2_PR ;
- la_data_out_user\[8\] ( mprj la_data_out[8] ) ( mgmt_buffers la_data_out_core[8] ) + USE SIGNAL
+ ROUTED met2 ( 1365840 1325710 ) ( 1367040 * 0 )
NEW met2 ( 1365840 1325710 ) ( * 1326265 )
NEW met2 ( 1108560 1326265 ) ( * 1389350 0 )
NEW met1 ( 1108560 1326265 ) ( 1365840 * )
NEW met1 ( 1365840 1326265 ) M1M2_PR
NEW met1 ( 1108560 1326265 ) M1M2_PR ;
- la_data_out_user\[90\] ( mprj la_data_out[90] ) ( mgmt_buffers la_data_out_core[90] ) + USE SIGNAL
+ ROUTED met1 ( 1994400 1327005 ) ( * 1327375 )
NEW met2 ( 2571600 1327375 ) ( * 1389350 0 )
NEW met1 ( 1895280 1327005 ) ( * 1327375 )
NEW met1 ( 1895280 1327005 ) ( 1994400 * )
NEW met1 ( 1994400 1327375 ) ( 2571600 * )
NEW met2 ( 1545600 1325710 0 ) ( 1546800 * )
NEW met2 ( 1546800 1325710 ) ( * 1327375 )
NEW met1 ( 1546800 1327375 ) ( 1895280 * )
NEW met1 ( 2571600 1327375 ) M1M2_PR
NEW met1 ( 1546800 1327375 ) M1M2_PR ;
- la_data_out_user\[91\] ( mprj la_data_out[91] ) ( mgmt_buffers la_data_out_core[91] ) + USE SIGNAL
+ ROUTED met2 ( 2588880 1387130 ) ( * 1389350 0 )
NEW met2 ( 1547760 1325710 0 ) ( * 1387130 )
NEW met3 ( 1547760 1387130 ) ( 2588880 * )
NEW met2 ( 1547760 1387130 ) via2_FR
NEW met2 ( 2588880 1387130 ) via2_FR ;
- la_data_out_user\[92\] ( mprj la_data_out[92] ) ( mgmt_buffers la_data_out_core[92] ) + USE SIGNAL
+ ROUTED met2 ( 2607120 1327005 ) ( * 1389350 0 )
NEW met1 ( 1873200 1326265 ) ( * 1327005 )
NEW met1 ( 1994160 1325895 ) ( * 1326265 )
NEW met1 ( 1994160 1325895 ) ( 1999440 * )
NEW met2 ( 1999440 1325895 ) ( * 1327005 )
NEW met1 ( 1873200 1326265 ) ( 1994160 * )
NEW met1 ( 1999440 1327005 ) ( 2607120 * )
NEW met2 ( 1549680 1325710 0 ) ( * 1327005 )
NEW met2 ( 1592880 1325525 ) ( * 1327005 )
NEW met1 ( 1592880 1325525 ) ( 1594800 * )
NEW met2 ( 1594800 1324785 ) ( * 1325525 )
NEW met1 ( 1594800 1324785 ) ( 1605360 * )
NEW met2 ( 1605360 1324785 ) ( * 1327005 )
NEW met1 ( 1549680 1327005 ) ( 1592880 * )
NEW met1 ( 1605360 1327005 ) ( 1873200 * )
NEW met1 ( 2607120 1327005 ) M1M2_PR
NEW met1 ( 1999440 1325895 ) M1M2_PR
NEW met1 ( 1999440 1327005 ) M1M2_PR
NEW met1 ( 1549680 1327005 ) M1M2_PR
NEW met1 ( 1592880 1327005 ) M1M2_PR
NEW met1 ( 1592880 1325525 ) M1M2_PR
NEW met1 ( 1594800 1325525 ) M1M2_PR
NEW met1 ( 1594800 1324785 ) M1M2_PR
NEW met1 ( 1605360 1324785 ) M1M2_PR
NEW met1 ( 1605360 1327005 ) M1M2_PR ;
- la_data_out_user\[93\] ( mprj la_data_out[93] ) ( mgmt_buffers la_data_out_core[93] ) + USE SIGNAL
+ ROUTED met2 ( 2624880 1349205 ) ( * 1389350 0 )
NEW met2 ( 1552080 1325710 0 ) ( * 1349205 )
NEW met1 ( 1552080 1349205 ) ( 2624880 * )
NEW met1 ( 1552080 1349205 ) M1M2_PR
NEW met1 ( 2624880 1349205 ) M1M2_PR ;
- la_data_out_user\[94\] ( mprj la_data_out[94] ) ( mgmt_buffers la_data_out_core[94] ) + USE SIGNAL
+ ROUTED met2 ( 2642640 1386390 ) ( * 1389350 0 )
NEW met2 ( 1554240 1325710 0 ) ( 1554960 * )
NEW met2 ( 1554960 1325710 ) ( * 1386390 )
NEW met3 ( 1554960 1386390 ) ( 2642640 * )
NEW met2 ( 1554960 1386390 ) via2_FR
NEW met2 ( 2642640 1386390 ) via2_FR ;
- la_data_out_user\[95\] ( mprj la_data_out[95] ) ( mgmt_buffers la_data_out_core[95] ) + USE SIGNAL
+ ROUTED met2 ( 1556640 1325710 0 ) ( 1557840 * )
NEW met2 ( 1557840 1325710 ) ( * 1379175 )
NEW met2 ( 2660880 1379175 ) ( * 1389350 0 )
NEW met1 ( 1557840 1379175 ) ( 2660880 * )
NEW met1 ( 1557840 1379175 ) M1M2_PR
NEW met1 ( 2660880 1379175 ) M1M2_PR ;
- la_data_out_user\[96\] ( mprj la_data_out[96] ) ( mgmt_buffers la_data_out_core[96] ) + USE SIGNAL
+ ROUTED met2 ( 2678160 1326635 ) ( * 1389350 0 )
NEW met2 ( 1992720 1325895 ) ( * 1326635 )
NEW met2 ( 1992720 1326635 ) ( 1993680 * )
NEW met1 ( 1993680 1326635 ) ( 2678160 * )
NEW met1 ( 1872720 1325895 ) ( * 1326635 )
NEW met1 ( 1872720 1325895 ) ( 1992720 * )
NEW met2 ( 1558320 1325710 0 ) ( * 1326635 )
NEW met1 ( 1558320 1326635 ) ( 1594800 * )
NEW met2 ( 1594800 1326635 ) ( 1596240 * )
NEW met2 ( 1596240 1325525 ) ( * 1326635 )
NEW met1 ( 1596240 1325525 ) ( 1633200 * )
NEW met2 ( 1633200 1325525 ) ( * 1326635 )
NEW met1 ( 1633200 1326635 ) ( 1872720 * )
NEW met1 ( 2678160 1326635 ) M1M2_PR
NEW met1 ( 1992720 1325895 ) M1M2_PR
NEW met1 ( 1993680 1326635 ) M1M2_PR
NEW met1 ( 1558320 1326635 ) M1M2_PR
NEW met1 ( 1594800 1326635 ) M1M2_PR
NEW met1 ( 1596240 1325525 ) M1M2_PR
NEW met1 ( 1633200 1325525 ) M1M2_PR
NEW met1 ( 1633200 1326635 ) M1M2_PR ;
- la_data_out_user\[97\] ( mprj la_data_out[97] ) ( mgmt_buffers la_data_out_core[97] ) + USE SIGNAL
+ ROUTED met2 ( 1560720 1325710 0 ) ( 1562160 * )
NEW met2 ( 1562160 1325710 ) ( * 1385650 )
NEW met2 ( 2696400 1385650 ) ( * 1389350 0 )
NEW met3 ( 1562160 1385650 ) ( 2696400 * )
NEW met2 ( 1562160 1385650 ) via2_FR
NEW met2 ( 2696400 1385650 ) via2_FR ;
- la_data_out_user\[98\] ( mprj la_data_out[98] ) ( mgmt_buffers la_data_out_core[98] ) + USE SIGNAL
+ ROUTED met2 ( 1563120 1325710 0 ) ( * 1347355 )
NEW met2 ( 2714160 1347355 ) ( * 1389350 0 )
NEW met1 ( 1563120 1347355 ) ( 2714160 * )
NEW met1 ( 1563120 1347355 ) M1M2_PR
NEW met1 ( 2714160 1347355 ) M1M2_PR ;
- la_data_out_user\[99\] ( mprj la_data_out[99] ) ( mgmt_buffers la_data_out_core[99] ) + USE SIGNAL
+ ROUTED met1 ( 1872240 1325525 ) ( * 1326265 )
NEW met2 ( 2731920 1326265 ) ( * 1389350 0 )
NEW met1 ( 1965840 1325155 ) ( * 1325525 )
NEW met1 ( 1965840 1325155 ) ( 1995120 * )
NEW met2 ( 1995120 1325155 ) ( * 1326265 )
NEW met1 ( 1872240 1325525 ) ( 1965840 * )
NEW met1 ( 1995120 1326265 ) ( 2731920 * )
NEW met2 ( 1565280 1325710 0 ) ( 1566480 * )
NEW met2 ( 1566480 1325710 ) ( * 1326265 )
NEW met1 ( 1566480 1326265 ) ( 1594320 * )
NEW met2 ( 1594320 1326265 ) ( * 1327005 )
NEW met2 ( 1594320 1327005 ) ( 1596240 * )
NEW met1 ( 1596240 1327005 ) ( 1598640 * )
NEW met2 ( 1598640 1326265 ) ( * 1327005 )
NEW met1 ( 1598640 1326265 ) ( 1872240 * )
NEW met1 ( 2731920 1326265 ) M1M2_PR
NEW met1 ( 1995120 1325155 ) M1M2_PR
NEW met1 ( 1995120 1326265 ) M1M2_PR
NEW met1 ( 1566480 1326265 ) M1M2_PR
NEW met1 ( 1594320 1326265 ) M1M2_PR
NEW met1 ( 1596240 1327005 ) M1M2_PR
NEW met1 ( 1598640 1327005 ) M1M2_PR
NEW met1 ( 1598640 1326265 ) M1M2_PR ;
- la_data_out_user\[9\] ( mprj la_data_out[9] ) ( mgmt_buffers la_data_out_core[9] ) + USE SIGNAL
+ ROUTED met2 ( 1368240 1325710 ) ( 1368960 * 0 )
NEW met2 ( 1368240 1325710 ) ( * 1385095 )
NEW met2 ( 1126320 1385095 ) ( * 1389350 0 )
NEW met1 ( 1126320 1385095 ) ( 1368240 * )
NEW met1 ( 1368240 1385095 ) M1M2_PR
NEW met1 ( 1126320 1385095 ) M1M2_PR ;
- la_oen_mprj\[0\] ( soc la_oen[0] ) ( mgmt_buffers la_oen_mprj[0] ) + USE SIGNAL
+ ROUTED met2 ( 1558320 1167350 0 ) ( * 1194915 )
NEW met1 ( 1558320 1194915 ) ( 1618320 * )
NEW met2 ( 1618320 1194915 ) ( * 1232470 )
NEW met2 ( 1618320 1232470 ) ( 1619520 * 0 )
NEW met1 ( 1558320 1194915 ) M1M2_PR
NEW met1 ( 1618320 1194915 ) M1M2_PR ;
- la_oen_mprj\[100\] ( soc la_oen[100] ) ( mgmt_buffers la_oen_mprj[100] ) + USE SIGNAL
+ ROUTED met1 ( 1389360 1229695 ) ( * 1230065 )
NEW met2 ( 1837680 1230065 ) ( * 1232470 0 )
NEW met2 ( 1319280 1167350 0 ) ( * 1229695 )
NEW met1 ( 1319280 1229695 ) ( 1389360 * )
NEW met1 ( 1389360 1230065 ) ( 1837680 * )
NEW met1 ( 1837680 1230065 ) M1M2_PR
NEW met1 ( 1319280 1229695 ) M1M2_PR ;
- la_oen_mprj\[101\] ( soc la_oen[101] ) ( mgmt_buffers la_oen_mprj[101] ) + USE SIGNAL
+ ROUTED met2 ( 1560240 1167350 0 ) ( * 1222665 )
NEW met2 ( 1838160 1222665 ) ( * 1232470 )
NEW met2 ( 1838160 1232470 ) ( 1839360 * 0 )
NEW met1 ( 1560240 1222665 ) ( 1838160 * )
NEW met1 ( 1560240 1222665 ) M1M2_PR
NEW met1 ( 1838160 1222665 ) M1M2_PR ;
- la_oen_mprj\[102\] ( soc la_oen[102] ) ( mgmt_buffers la_oen_mprj[102] ) + USE SIGNAL
+ ROUTED met2 ( 1840560 1229695 ) ( * 1232470 )
NEW met2 ( 1840560 1232470 ) ( 1841760 * 0 )
NEW met1 ( 1317360 1222295 ) ( 1364400 * )
NEW met2 ( 1364400 1222295 ) ( * 1230805 )
NEW met1 ( 1364400 1230805 ) ( 1390320 * )
NEW met2 ( 1390320 1229695 ) ( * 1230805 )
NEW met2 ( 1317360 1167350 0 ) ( * 1222295 )
NEW met1 ( 1390320 1229695 ) ( 1840560 * )
NEW met1 ( 1840560 1229695 ) M1M2_PR
NEW met1 ( 1317360 1222295 ) M1M2_PR
NEW met1 ( 1364400 1222295 ) M1M2_PR
NEW met1 ( 1364400 1230805 ) M1M2_PR
NEW met1 ( 1390320 1230805 ) M1M2_PR
NEW met1 ( 1390320 1229695 ) M1M2_PR ;
- la_oen_mprj\[103\] ( soc la_oen[103] ) ( mgmt_buffers la_oen_mprj[103] ) + USE SIGNAL
+ ROUTED met2 ( 1843920 1222295 ) ( * 1232470 0 )
NEW met2 ( 1562160 1167350 0 ) ( * 1221555 )
NEW met2 ( 1698960 1221370 ) ( * 1221555 )
NEW met2 ( 1698960 1221370 ) ( 1699440 * )
NEW met2 ( 1699440 1221370 ) ( * 1221925 )
NEW met1 ( 1699440 1221925 ) ( 1699920 * )
NEW met1 ( 1699920 1221925 ) ( * 1222295 )
NEW met1 ( 1562160 1221555 ) ( 1698960 * )
NEW met1 ( 1699920 1222295 ) ( 1843920 * )
NEW met1 ( 1843920 1222295 ) M1M2_PR
NEW met1 ( 1562160 1221555 ) M1M2_PR
NEW met1 ( 1698960 1221555 ) M1M2_PR
NEW met1 ( 1699440 1221925 ) M1M2_PR ;
- la_oen_mprj\[104\] ( soc la_oen[104] ) ( mgmt_buffers la_oen_mprj[104] ) + USE SIGNAL
+ ROUTED met1 ( 1389360 1227845 ) ( * 1228215 )
NEW met2 ( 1315440 1167350 0 ) ( * 1228215 )
NEW met1 ( 1315440 1228215 ) ( 1389360 * )
NEW met2 ( 1846320 1227845 ) ( * 1232470 0 )
NEW met1 ( 1389360 1227845 ) ( 1846320 * )
NEW met1 ( 1315440 1228215 ) M1M2_PR
NEW met1 ( 1846320 1227845 ) M1M2_PR ;
- la_oen_mprj\[105\] ( soc la_oen[105] ) ( mgmt_buffers la_oen_mprj[105] ) + USE SIGNAL
+ ROUTED met2 ( 1563840 1167350 0 ) ( 1565040 * )
NEW met2 ( 1565040 1167350 ) ( * 1180115 )
NEW met2 ( 1848240 1180115 ) ( * 1232470 0 )
NEW met1 ( 1565040 1180115 ) ( 1848240 * )
NEW met1 ( 1565040 1180115 ) M1M2_PR
NEW met1 ( 1848240 1180115 ) M1M2_PR ;
- la_oen_mprj\[106\] ( soc la_oen[106] ) ( mgmt_buffers la_oen_mprj[106] ) + USE SIGNAL
+ ROUTED met2 ( 1313760 1167350 0 ) ( 1314960 * )
NEW met2 ( 1314960 1167350 ) ( * 1201390 )
NEW met2 ( 1849200 1201390 ) ( * 1232470 )
NEW met2 ( 1849200 1232470 ) ( 1850400 * 0 )
NEW met3 ( 1314960 1201390 ) ( 1849200 * )
NEW met2 ( 1314960 1201390 ) via2_FR
NEW met2 ( 1849200 1201390 ) via2_FR ;
- la_oen_mprj\[107\] ( soc la_oen[107] ) ( mgmt_buffers la_oen_mprj[107] ) + USE SIGNAL
+ ROUTED met2 ( 1565760 1167350 0 ) ( 1566960 * )
NEW met2 ( 1566960 1167350 ) ( * 1219335 )
NEW met2 ( 1851600 1219335 ) ( * 1232470 )
NEW met2 ( 1851600 1232470 ) ( 1852800 * 0 )
NEW met1 ( 1566960 1219335 ) ( 1851600 * )
NEW met1 ( 1566960 1219335 ) M1M2_PR
NEW met1 ( 1851600 1219335 ) M1M2_PR ;
- la_oen_mprj\[108\] ( soc la_oen[108] ) ( mgmt_buffers la_oen_mprj[108] ) + USE SIGNAL
+ ROUTED met2 ( 1388880 1229510 ) ( * 1230065 )
NEW met2 ( 1388880 1229510 ) ( 1389840 * )
NEW met2 ( 1389840 1228215 ) ( * 1229510 )
NEW met2 ( 1311840 1167350 0 ) ( 1313040 * )
NEW met2 ( 1313040 1167350 ) ( * 1230065 )
NEW met1 ( 1313040 1230065 ) ( 1388880 * )
NEW met2 ( 1854960 1228215 ) ( * 1232470 0 )
NEW met1 ( 1389840 1228215 ) ( 1854960 * )
NEW met1 ( 1388880 1230065 ) M1M2_PR
NEW met1 ( 1389840 1228215 ) M1M2_PR
NEW met1 ( 1313040 1230065 ) M1M2_PR
NEW met1 ( 1854960 1228215 ) M1M2_PR ;
- la_oen_mprj\[109\] ( soc la_oen[109] ) ( mgmt_buffers la_oen_mprj[109] ) + USE SIGNAL
+ ROUTED met2 ( 1567680 1167350 0 ) ( 1568880 * )
NEW met2 ( 1568880 1167350 ) ( * 1200835 )
NEW met2 ( 1856880 1200835 ) ( * 1232470 0 )
NEW met1 ( 1568880 1200835 ) ( 1856880 * )
NEW met1 ( 1568880 1200835 ) M1M2_PR
NEW met1 ( 1856880 1200835 ) M1M2_PR ;
- la_oen_mprj\[10\] ( soc la_oen[10] ) ( mgmt_buffers la_oen_mprj[10] ) + USE SIGNAL
+ ROUTED met2 ( 1639920 1208975 ) ( * 1232470 )
NEW met2 ( 1639920 1232470 ) ( 1641120 * 0 )
NEW met2 ( 1309920 1167350 0 ) ( 1310160 * )
NEW met2 ( 1310160 1167350 ) ( * 1208605 )
NEW met1 ( 1310160 1208605 ) ( * 1208975 )
NEW met1 ( 1310160 1208975 ) ( 1639920 * )
NEW met1 ( 1639920 1208975 ) M1M2_PR
NEW met1 ( 1310160 1208605 ) M1M2_PR ;
- la_oen_mprj\[110\] ( soc la_oen[110] ) ( mgmt_buffers la_oen_mprj[110] ) + USE SIGNAL
+ ROUTED met2 ( 1569360 1167350 0 ) ( * 1183075 )
NEW met1 ( 1568400 1183075 ) ( 1569360 * )
NEW met2 ( 1568400 1183075 ) ( * 1201575 )
NEW met2 ( 1859280 1201575 ) ( * 1232470 0 )
NEW met1 ( 1568400 1201575 ) ( 1859280 * )
NEW met1 ( 1569360 1183075 ) M1M2_PR
NEW met1 ( 1568400 1183075 ) M1M2_PR
NEW met1 ( 1568400 1201575 ) M1M2_PR
NEW met1 ( 1859280 1201575 ) M1M2_PR ;
- la_oen_mprj\[111\] ( soc la_oen[111] ) ( mgmt_buffers la_oen_mprj[111] ) + USE SIGNAL
+ ROUTED met2 ( 1308240 1167350 0 ) ( 1309200 * )
NEW met2 ( 1309200 1167350 ) ( * 1225625 )
NEW met1 ( 1843920 1225625 ) ( * 1225995 )
NEW met1 ( 1843920 1225995 ) ( 1860240 * )
NEW met2 ( 1860240 1225995 ) ( * 1232470 )
NEW met2 ( 1860240 1232470 ) ( 1861440 * 0 )
NEW met1 ( 1309200 1225625 ) ( 1843920 * )
NEW met1 ( 1309200 1225625 ) M1M2_PR
NEW met1 ( 1860240 1225995 ) M1M2_PR ;
- la_oen_mprj\[112\] ( soc la_oen[112] ) ( mgmt_buffers la_oen_mprj[112] ) + USE SIGNAL
+ ROUTED met2 ( 1571280 1167350 0 ) ( * 1200465 )
NEW met2 ( 1862160 1200465 ) ( * 1232470 )
NEW met2 ( 1862160 1232470 ) ( 1863360 * 0 )
NEW met1 ( 1571280 1200465 ) ( 1862160 * )
NEW met1 ( 1571280 1200465 ) M1M2_PR
NEW met1 ( 1862160 1200465 ) M1M2_PR ;
- la_oen_mprj\[113\] ( soc la_oen[113] ) ( mgmt_buffers la_oen_mprj[113] ) + USE SIGNAL
+ ROUTED met2 ( 1306320 1167350 0 ) ( * 1207310 )
NEW met2 ( 1864560 1207310 ) ( * 1232470 )
NEW met2 ( 1864560 1232470 ) ( 1865760 * 0 )
NEW met3 ( 1306320 1207310 ) ( 1864560 * )
NEW met2 ( 1306320 1207310 ) via2_FR
NEW met2 ( 1864560 1207310 ) via2_FR ;
- la_oen_mprj\[114\] ( soc la_oen[114] ) ( mgmt_buffers la_oen_mprj[114] ) + USE SIGNAL
+ ROUTED met2 ( 1573200 1167350 0 ) ( * 1201205 )
NEW met2 ( 1867920 1201205 ) ( * 1232470 0 )
NEW met1 ( 1573200 1201205 ) ( 1867920 * )
NEW met1 ( 1573200 1201205 ) M1M2_PR
NEW met1 ( 1867920 1201205 ) M1M2_PR ;
- la_oen_mprj\[115\] ( soc la_oen[115] ) ( mgmt_buffers la_oen_mprj[115] ) + USE SIGNAL
+ ROUTED met2 ( 1304400 1167350 0 ) ( * 1225255 )
NEW met2 ( 1870320 1225255 ) ( * 1232470 0 )
NEW met1 ( 1304400 1225255 ) ( 1870320 * )
NEW met1 ( 1304400 1225255 ) M1M2_PR
NEW met1 ( 1870320 1225255 ) M1M2_PR ;
- la_oen_mprj\[116\] ( soc la_oen[116] ) ( mgmt_buffers la_oen_mprj[116] ) + USE SIGNAL
+ ROUTED met2 ( 1574880 1167350 0 ) ( 1576080 * )
NEW met2 ( 1576080 1167350 ) ( * 1201945 )
NEW met2 ( 1872240 1201945 ) ( * 1232470 0 )
NEW met1 ( 1576080 1201945 ) ( 1872240 * )
NEW met1 ( 1576080 1201945 ) M1M2_PR
NEW met1 ( 1872240 1201945 ) M1M2_PR ;
- la_oen_mprj\[117\] ( soc la_oen[117] ) ( mgmt_buffers la_oen_mprj[117] ) + USE SIGNAL
+ ROUTED met2 ( 1302720 1167350 0 ) ( 1303920 * )
NEW met2 ( 1303920 1167350 ) ( * 1224885 )
NEW met2 ( 1873200 1224885 ) ( * 1232470 )
NEW met2 ( 1873200 1232470 ) ( 1874400 * 0 )
NEW met1 ( 1303920 1224885 ) ( 1873200 * )
NEW met1 ( 1303920 1224885 ) M1M2_PR
NEW met1 ( 1873200 1224885 ) M1M2_PR ;
- la_oen_mprj\[118\] ( soc la_oen[118] ) ( mgmt_buffers la_oen_mprj[118] ) + USE SIGNAL
+ ROUTED met2 ( 1576800 1167350 0 ) ( 1578000 * )
NEW met2 ( 1578000 1167350 ) ( * 1198985 )
NEW met1 ( 1578000 1198985 ) ( 1626480 * )
NEW met2 ( 1626480 1198985 ) ( * 1208235 )
NEW met1 ( 1626480 1208235 ) ( 1639440 * )
NEW met2 ( 1639440 1208050 ) ( * 1208235 )
NEW met2 ( 1639440 1208050 ) ( 1640400 * )
NEW met2 ( 1640400 1208050 ) ( * 1209345 )
NEW met2 ( 1875600 1209345 ) ( * 1232470 )
NEW met2 ( 1875600 1232470 ) ( 1876800 * 0 )
NEW met1 ( 1640400 1209345 ) ( 1875600 * )
NEW met1 ( 1578000 1198985 ) M1M2_PR
NEW met1 ( 1626480 1198985 ) M1M2_PR
NEW met1 ( 1626480 1208235 ) M1M2_PR
NEW met1 ( 1639440 1208235 ) M1M2_PR
NEW met1 ( 1640400 1209345 ) M1M2_PR
NEW met1 ( 1875600 1209345 ) M1M2_PR ;
- la_oen_mprj\[119\] ( soc la_oen[119] ) ( mgmt_buffers la_oen_mprj[119] ) + USE SIGNAL
+ ROUTED met1 ( 1391760 1194915 ) ( * 1195285 )
NEW met1 ( 1391760 1195285 ) ( 1404240 * )
NEW met1 ( 1404240 1194545 ) ( * 1195285 )
NEW met1 ( 1404240 1194545 ) ( 1411440 * )
NEW met2 ( 1411440 1194545 ) ( * 1203795 )
NEW met2 ( 1300800 1167350 0 ) ( 1302000 * )
NEW met2 ( 1302000 1167350 ) ( * 1194915 )
NEW met1 ( 1302000 1194915 ) ( 1391760 * )
NEW met2 ( 1878960 1203795 ) ( * 1232470 0 )
NEW met1 ( 1411440 1203795 ) ( 1878960 * )
NEW met1 ( 1411440 1194545 ) M1M2_PR
NEW met1 ( 1411440 1203795 ) M1M2_PR
NEW met1 ( 1302000 1194915 ) M1M2_PR
NEW met1 ( 1878960 1203795 ) M1M2_PR ;
- la_oen_mprj\[11\] ( soc la_oen[11] ) ( mgmt_buffers la_oen_mprj[11] ) + USE SIGNAL
+ ROUTED met2 ( 1578720 1167350 0 ) ( 1579920 * )
NEW met2 ( 1579920 1167350 ) ( * 1181225 )
NEW met1 ( 1579920 1181225 ) ( 1642320 * )
NEW met2 ( 1642320 1232470 ) ( 1643520 * 0 )
NEW met2 ( 1642320 1181225 ) ( * 1232470 )
NEW met1 ( 1579920 1181225 ) M1M2_PR
NEW met1 ( 1642320 1181225 ) M1M2_PR ;
- la_oen_mprj\[120\] ( soc la_oen[120] ) ( mgmt_buffers la_oen_mprj[120] ) + USE SIGNAL
+ ROUTED met2 ( 1389360 1186775 ) ( * 1194545 )
NEW met2 ( 1298880 1167350 0 ) ( 1300080 * )
NEW met2 ( 1300080 1167350 ) ( * 1194545 )
NEW met1 ( 1300080 1194545 ) ( 1389360 * )
NEW met2 ( 1880880 1205275 ) ( * 1232470 0 )
NEW met1 ( 1459920 1205275 ) ( 1880880 * )
NEW met2 ( 1439760 1186775 ) ( 1440240 * )
NEW met2 ( 1440240 1186775 ) ( * 1187145 )
NEW met1 ( 1440240 1187145 ) ( 1459920 * )
NEW met1 ( 1389360 1186775 ) ( 1439760 * )
NEW met2 ( 1459920 1187145 ) ( * 1205275 )
NEW met1 ( 1389360 1194545 ) M1M2_PR
NEW met1 ( 1389360 1186775 ) M1M2_PR
NEW met1 ( 1300080 1194545 ) M1M2_PR
NEW met1 ( 1459920 1205275 ) M1M2_PR
NEW met1 ( 1880880 1205275 ) M1M2_PR
NEW met1 ( 1439760 1186775 ) M1M2_PR
NEW met1 ( 1440240 1187145 ) M1M2_PR
NEW met1 ( 1459920 1187145 ) M1M2_PR ;
- la_oen_mprj\[121\] ( soc la_oen[121] ) ( mgmt_buffers la_oen_mprj[121] ) + USE SIGNAL
+ ROUTED met2 ( 1580400 1167350 0 ) ( * 1193065 )
NEW met1 ( 1580400 1193065 ) ( 1591920 * )
NEW met1 ( 1591920 1193065 ) ( * 1193805 )
NEW met1 ( 1591920 1193805 ) ( 1618800 * )
NEW met2 ( 1618800 1193805 ) ( * 1194915 )
NEW met2 ( 1883280 1194915 ) ( * 1232470 0 )
NEW met1 ( 1618800 1194915 ) ( 1883280 * )
NEW met1 ( 1580400 1193065 ) M1M2_PR
NEW met1 ( 1618800 1193805 ) M1M2_PR
NEW met1 ( 1618800 1194915 ) M1M2_PR
NEW met1 ( 1883280 1194915 ) M1M2_PR ;
- la_oen_mprj\[122\] ( soc la_oen[122] ) ( mgmt_buffers la_oen_mprj[122] ) + USE SIGNAL
+ ROUTED met2 ( 1297200 1167350 0 ) ( 1298160 * )
NEW met2 ( 1298160 1167350 ) ( * 1205830 )
NEW met2 ( 1884240 1205830 ) ( * 1232470 )
NEW met2 ( 1884240 1232470 ) ( 1885440 * 0 )
NEW met3 ( 1298160 1205830 ) ( 1884240 * )
NEW met2 ( 1298160 1205830 ) via2_FR
NEW met2 ( 1884240 1205830 ) via2_FR ;
- la_oen_mprj\[123\] ( soc la_oen[123] ) ( mgmt_buffers la_oen_mprj[123] ) + USE SIGNAL
+ ROUTED met2 ( 1582320 1167350 0 ) ( * 1194545 )
NEW met2 ( 1886640 1194545 ) ( * 1232470 )
NEW met2 ( 1886640 1232470 ) ( 1887840 * 0 )
NEW met1 ( 1582320 1194545 ) ( 1886640 * )
NEW met1 ( 1582320 1194545 ) M1M2_PR
NEW met1 ( 1886640 1194545 ) M1M2_PR ;
- la_oen_mprj\[124\] ( soc la_oen[124] ) ( mgmt_buffers la_oen_mprj[124] ) + USE SIGNAL
+ ROUTED met2 ( 1295280 1167350 0 ) ( * 1204350 )
NEW met2 ( 1889520 1204350 ) ( * 1232470 0 )
NEW met3 ( 1295280 1204350 ) ( 1889520 * )
NEW met2 ( 1295280 1204350 ) via2_FR
NEW met2 ( 1889520 1204350 ) via2_FR ;
- la_oen_mprj\[125\] ( soc la_oen[125] ) ( mgmt_buffers la_oen_mprj[125] ) + USE SIGNAL
+ ROUTED met2 ( 1584240 1167350 0 ) ( * 1187145 )
NEW met1 ( 1599600 1187145 ) ( * 1187515 )
NEW met1 ( 1599600 1187515 ) ( 1649040 * )
NEW met2 ( 1649040 1184370 ) ( * 1187515 )
NEW met3 ( 1649040 1184370 ) ( 1698960 * )
NEW met2 ( 1698960 1184370 ) ( * 1187145 )
NEW met1 ( 1584240 1187145 ) ( 1599600 * )
NEW met1 ( 1844880 1186405 ) ( * 1187145 )
NEW met1 ( 1844880 1186405 ) ( 1891920 * )
NEW met2 ( 1891920 1186405 ) ( * 1232470 0 )
NEW met2 ( 1742160 1187145 ) ( 1742640 * )
NEW met1 ( 1698960 1187145 ) ( 1742160 * )
NEW met1 ( 1742640 1187145 ) ( 1844880 * )
NEW met1 ( 1584240 1187145 ) M1M2_PR
NEW met1 ( 1649040 1187515 ) M1M2_PR
NEW met2 ( 1649040 1184370 ) via2_FR
NEW met2 ( 1698960 1184370 ) via2_FR
NEW met1 ( 1698960 1187145 ) M1M2_PR
NEW met1 ( 1891920 1186405 ) M1M2_PR
NEW met1 ( 1742160 1187145 ) M1M2_PR
NEW met1 ( 1742640 1187145 ) M1M2_PR ;
- la_oen_mprj\[126\] ( soc la_oen[126] ) ( mgmt_buffers la_oen_mprj[126] ) + USE SIGNAL
+ ROUTED met2 ( 1293360 1167350 0 ) ( * 1191770 )
NEW met2 ( 1894320 1191770 ) ( * 1232470 0 )
NEW met3 ( 1293360 1191770 ) ( 1894320 * )
NEW met2 ( 1293360 1191770 ) via2_FR
NEW met2 ( 1894320 1191770 ) via2_FR ;
- la_oen_mprj\[127\] ( soc la_oen[127] ) ( mgmt_buffers la_oen_mprj[127] ) + USE SIGNAL
+ ROUTED met2 ( 1585920 1167350 0 ) ( 1587120 * )
NEW met2 ( 1587120 1167350 ) ( * 1186775 )
NEW met2 ( 1895280 1232470 ) ( 1896480 * 0 )
NEW met1 ( 1599600 1186405 ) ( * 1186775 )
NEW met2 ( 1599600 1185110 ) ( * 1186405 )
NEW met3 ( 1599600 1185110 ) ( 1690800 * )
NEW met2 ( 1690800 1185110 ) ( * 1186775 )
NEW met1 ( 1587120 1186775 ) ( 1599600 * )
NEW met2 ( 1853040 1187885 ) ( * 1189365 )
NEW met1 ( 1853040 1189365 ) ( 1895280 * )
NEW met2 ( 1895280 1189365 ) ( * 1232470 )
NEW met1 ( 1741680 1186405 ) ( * 1186775 )
NEW met1 ( 1741680 1186405 ) ( 1775760 * )
NEW met2 ( 1775760 1186405 ) ( * 1187885 )
NEW met1 ( 1690800 1186775 ) ( 1741680 * )
NEW met1 ( 1775760 1187885 ) ( 1853040 * )
NEW met1 ( 1587120 1186775 ) M1M2_PR
NEW met1 ( 1599600 1186405 ) M1M2_PR
NEW met2 ( 1599600 1185110 ) via2_FR
NEW met2 ( 1690800 1185110 ) via2_FR
NEW met1 ( 1690800 1186775 ) M1M2_PR
NEW met1 ( 1853040 1187885 ) M1M2_PR
NEW met1 ( 1853040 1189365 ) M1M2_PR
NEW met1 ( 1895280 1189365 ) M1M2_PR
NEW met1 ( 1775760 1186405 ) M1M2_PR
NEW met1 ( 1775760 1187885 ) M1M2_PR ;
- la_oen_mprj\[12\] ( soc la_oen[12] ) ( mgmt_buffers la_oen_mprj[12] ) + USE SIGNAL
+ ROUTED met2 ( 1404720 1191585 ) ( * 1194915 )
NEW met2 ( 1291680 1167350 0 ) ( 1292880 * )
NEW met2 ( 1292880 1167350 ) ( * 1191585 )
NEW met1 ( 1292880 1191585 ) ( 1404720 * )
NEW met2 ( 1645680 1200095 ) ( * 1232470 0 )
NEW met2 ( 1470000 1194915 ) ( * 1196210 )
NEW met3 ( 1470000 1196210 ) ( 1481520 * )
NEW met2 ( 1481520 1196210 ) ( * 1200095 )
NEW met1 ( 1404720 1194915 ) ( 1470000 * )
NEW met1 ( 1481520 1200095 ) ( 1645680 * )
NEW met1 ( 1404720 1191585 ) M1M2_PR
NEW met1 ( 1404720 1194915 ) M1M2_PR
NEW met1 ( 1292880 1191585 ) M1M2_PR
NEW met1 ( 1645680 1200095 ) M1M2_PR
NEW met1 ( 1470000 1194915 ) M1M2_PR
NEW met2 ( 1470000 1196210 ) via2_FR
NEW met2 ( 1481520 1196210 ) via2_FR
NEW met1 ( 1481520 1200095 ) M1M2_PR ;
- la_oen_mprj\[13\] ( soc la_oen[13] ) ( mgmt_buffers la_oen_mprj[13] ) + USE SIGNAL
+ ROUTED met2 ( 1587840 1167350 0 ) ( 1589040 * )
NEW met2 ( 1589040 1167350 ) ( * 1186405 )
NEW met1 ( 1589040 1186405 ) ( 1597680 * )
NEW met2 ( 1597680 1186405 ) ( * 1207495 )
NEW met2 ( 1648080 1207495 ) ( * 1232470 0 )
NEW met1 ( 1597680 1207495 ) ( 1648080 * )
NEW met1 ( 1589040 1186405 ) M1M2_PR
NEW met1 ( 1597680 1186405 ) M1M2_PR
NEW met1 ( 1597680 1207495 ) M1M2_PR
NEW met1 ( 1648080 1207495 ) M1M2_PR ;
- la_oen_mprj\[14\] ( soc la_oen[14] ) ( mgmt_buffers la_oen_mprj[14] ) + USE SIGNAL
+ ROUTED met2 ( 1390800 1201575 ) ( * 1208605 )
NEW met1 ( 1639920 1208235 ) ( * 1208605 )
NEW met1 ( 1639920 1208235 ) ( 1640880 * )
NEW met1 ( 1640880 1208235 ) ( * 1208605 )
NEW met2 ( 1289760 1167350 0 ) ( 1290960 * )
NEW met2 ( 1290960 1167350 ) ( * 1183075 )
NEW met1 ( 1290960 1183075 ) ( 1310640 * )
NEW met2 ( 1310640 1183075 ) ( * 1201575 )
NEW met1 ( 1310640 1201575 ) ( 1390800 * )
NEW met1 ( 1390800 1208605 ) ( 1639920 * )
NEW met2 ( 1650000 1208605 ) ( * 1232470 0 )
NEW met1 ( 1640880 1208605 ) ( 1650000 * )
NEW met1 ( 1390800 1201575 ) M1M2_PR
NEW met1 ( 1390800 1208605 ) M1M2_PR
NEW met1 ( 1290960 1183075 ) M1M2_PR
NEW met1 ( 1310640 1183075 ) M1M2_PR
NEW met1 ( 1310640 1201575 ) M1M2_PR
NEW met1 ( 1650000 1208605 ) M1M2_PR ;
- la_oen_mprj\[15\] ( soc la_oen[15] ) ( mgmt_buffers la_oen_mprj[15] ) + USE SIGNAL
+ ROUTED met2 ( 1589520 1167350 ) ( 1589760 * 0 )
NEW met2 ( 1589520 1167350 ) ( * 1199725 )
NEW met1 ( 1589520 1199725 ) ( 1635120 * )
NEW met2 ( 1635120 1199725 ) ( * 1205645 )
NEW met2 ( 1650960 1205645 ) ( * 1232470 )
NEW met2 ( 1650960 1232470 ) ( 1652160 * 0 )
NEW met1 ( 1635120 1205645 ) ( 1650960 * )
NEW met1 ( 1589520 1199725 ) M1M2_PR
NEW met1 ( 1635120 1199725 ) M1M2_PR
NEW met1 ( 1635120 1205645 ) M1M2_PR
NEW met1 ( 1650960 1205645 ) M1M2_PR ;
- la_oen_mprj\[16\] ( soc la_oen[16] ) ( mgmt_buffers la_oen_mprj[16] ) + USE SIGNAL
+ ROUTED met2 ( 1590960 1186035 ) ( * 1193805 )
NEW met2 ( 1287840 1167350 0 ) ( 1289040 * )
NEW met2 ( 1289040 1167350 ) ( * 1193805 )
NEW met1 ( 1289040 1193805 ) ( 1590960 * )
NEW met2 ( 1652880 1186035 ) ( * 1232470 )
NEW met2 ( 1652880 1232470 ) ( 1654560 * 0 )
NEW met1 ( 1590960 1186035 ) ( 1652880 * )
NEW met1 ( 1590960 1193805 ) M1M2_PR
NEW met1 ( 1590960 1186035 ) M1M2_PR
NEW met1 ( 1289040 1193805 ) M1M2_PR
NEW met1 ( 1652880 1186035 ) M1M2_PR ;
- la_oen_mprj\[17\] ( soc la_oen[17] ) ( mgmt_buffers la_oen_mprj[17] ) + USE SIGNAL
+ ROUTED met2 ( 1591440 1167350 0 ) ( 1592880 * )
NEW met2 ( 1592880 1167350 ) ( * 1187515 )
NEW met2 ( 1598640 1187515 ) ( 1599600 * )
NEW met2 ( 1599600 1187330 ) ( * 1187515 )
NEW met2 ( 1599600 1187330 ) ( 1600080 * )
NEW met2 ( 1600080 1187145 ) ( * 1187330 )
NEW met1 ( 1600080 1187145 ) ( 1656720 * )
NEW met1 ( 1592880 1187515 ) ( 1598640 * )
NEW met2 ( 1656720 1187145 ) ( * 1232470 0 )
NEW met1 ( 1592880 1187515 ) M1M2_PR
NEW met1 ( 1598640 1187515 ) M1M2_PR
NEW met1 ( 1600080 1187145 ) M1M2_PR
NEW met1 ( 1656720 1187145 ) M1M2_PR ;
- la_oen_mprj\[18\] ( soc la_oen[18] ) ( mgmt_buffers la_oen_mprj[18] ) + USE SIGNAL
+ ROUTED met2 ( 1590960 1204905 ) ( * 1207495 )
NEW met2 ( 1658640 1204905 ) ( * 1232470 0 )
NEW met1 ( 1590960 1204905 ) ( 1658640 * )
NEW met2 ( 1286160 1167350 0 ) ( * 1198245 )
NEW met2 ( 1346640 1198245 ) ( * 1199170 )
NEW met3 ( 1346640 1199170 ) ( 1391280 * )
NEW met2 ( 1391280 1199170 ) ( * 1207495 )
NEW met1 ( 1286160 1198245 ) ( 1346640 * )
NEW met1 ( 1391280 1207495 ) ( 1590960 * )
NEW met1 ( 1590960 1207495 ) M1M2_PR
NEW met1 ( 1590960 1204905 ) M1M2_PR
NEW met1 ( 1658640 1204905 ) M1M2_PR
NEW met1 ( 1286160 1198245 ) M1M2_PR
NEW met1 ( 1346640 1198245 ) M1M2_PR
NEW met2 ( 1346640 1199170 ) via2_FR
NEW met2 ( 1391280 1199170 ) via2_FR
NEW met1 ( 1391280 1207495 ) M1M2_PR ;
- la_oen_mprj\[19\] ( soc la_oen[19] ) ( mgmt_buffers la_oen_mprj[19] ) + USE SIGNAL
+ ROUTED met2 ( 1593360 1167350 0 ) ( * 1194175 )
NEW met2 ( 1661040 1194175 ) ( * 1232470 0 )
NEW met1 ( 1593360 1194175 ) ( 1661040 * )
NEW met1 ( 1593360 1194175 ) M1M2_PR
NEW met1 ( 1661040 1194175 ) M1M2_PR ;
- la_oen_mprj\[1\] ( soc la_oen[1] ) ( mgmt_buffers la_oen_mprj[1] ) + USE SIGNAL
+ ROUTED met2 ( 1591920 1192695 ) ( * 1194175 )
NEW met1 ( 1591920 1192695 ) ( 1620720 * )
NEW met2 ( 1620720 1192695 ) ( * 1232470 )
NEW met2 ( 1620720 1232470 ) ( 1621920 * 0 )
NEW met2 ( 1284240 1167350 0 ) ( 1285680 * )
NEW met2 ( 1285680 1167350 ) ( * 1194175 )
NEW met1 ( 1285680 1194175 ) ( 1591920 * )
NEW met1 ( 1591920 1194175 ) M1M2_PR
NEW met1 ( 1591920 1192695 ) M1M2_PR
NEW met1 ( 1620720 1192695 ) M1M2_PR
NEW met1 ( 1285680 1194175 ) M1M2_PR ;
- la_oen_mprj\[20\] ( soc la_oen[20] ) ( mgmt_buffers la_oen_mprj[20] ) + USE SIGNAL
+ ROUTED met2 ( 1595280 1167350 0 ) ( * 1193435 )
NEW met2 ( 1662000 1193435 ) ( * 1232470 )
NEW met2 ( 1662000 1232470 ) ( 1663200 * 0 )
NEW met1 ( 1595280 1193435 ) ( 1662000 * )
NEW met1 ( 1595280 1193435 ) M1M2_PR
NEW met1 ( 1662000 1193435 ) M1M2_PR ;
- la_oen_mprj\[21\] ( soc la_oen[21] ) ( mgmt_buffers la_oen_mprj[21] ) + USE SIGNAL
+ ROUTED met2 ( 1590000 1196395 ) ( * 1207865 )
NEW met2 ( 1282320 1167350 0 ) ( * 1199355 )
NEW met2 ( 1663920 1196395 ) ( * 1232470 )
NEW met2 ( 1663920 1232470 ) ( 1665120 * 0 )
NEW met1 ( 1590000 1196395 ) ( 1663920 * )
NEW met1 ( 1320240 1199355 ) ( * 1201205 )
NEW met1 ( 1320240 1201205 ) ( 1346640 * )
NEW met2 ( 1346640 1201205 ) ( * 1207865 )
NEW met1 ( 1282320 1199355 ) ( 1320240 * )
NEW met1 ( 1346640 1207865 ) ( 1590000 * )
NEW met1 ( 1590000 1207865 ) M1M2_PR
NEW met1 ( 1590000 1196395 ) M1M2_PR
NEW met1 ( 1282320 1199355 ) M1M2_PR
NEW met1 ( 1663920 1196395 ) M1M2_PR
NEW met1 ( 1346640 1201205 ) M1M2_PR
NEW met1 ( 1346640 1207865 ) M1M2_PR ;
- la_oen_mprj\[22\] ( soc la_oen[22] ) ( mgmt_buffers la_oen_mprj[22] ) + USE SIGNAL
+ ROUTED met2 ( 1596960 1167350 0 ) ( 1598160 * )
NEW met2 ( 1598160 1167350 ) ( * 1193065 )
NEW met1 ( 1598160 1193065 ) ( 1667280 * )
NEW met2 ( 1667280 1193065 ) ( * 1232470 0 )
NEW met1 ( 1598160 1193065 ) M1M2_PR
NEW met1 ( 1667280 1193065 ) M1M2_PR ;
- la_oen_mprj\[23\] ( soc la_oen[23] ) ( mgmt_buffers la_oen_mprj[23] ) + USE SIGNAL
+ ROUTED met2 ( 1591440 1185665 ) ( * 1193435 )
NEW met2 ( 1280640 1167350 0 ) ( 1281360 * )
NEW met2 ( 1281360 1167350 ) ( * 1193435 )
NEW met1 ( 1281360 1193435 ) ( 1591440 * )
NEW met2 ( 1643760 1185665 ) ( * 1208975 )
NEW met1 ( 1643760 1208975 ) ( 1669680 * )
NEW met2 ( 1669680 1208975 ) ( * 1232470 0 )
NEW met1 ( 1591440 1185665 ) ( 1643760 * )
NEW met1 ( 1591440 1193435 ) M1M2_PR
NEW met1 ( 1591440 1185665 ) M1M2_PR
NEW met1 ( 1281360 1193435 ) M1M2_PR
NEW met1 ( 1643760 1185665 ) M1M2_PR
NEW met1 ( 1643760 1208975 ) M1M2_PR
NEW met1 ( 1669680 1208975 ) M1M2_PR ;
- la_oen_mprj\[24\] ( soc la_oen[24] ) ( mgmt_buffers la_oen_mprj[24] ) + USE SIGNAL
+ ROUTED met2 ( 1598880 1167350 0 ) ( 1600080 * )
NEW met2 ( 1600080 1167350 ) ( * 1181965 )
NEW met2 ( 1672080 1181965 ) ( * 1232470 0 )
NEW met1 ( 1600080 1181965 ) ( 1672080 * )
NEW met1 ( 1600080 1181965 ) M1M2_PR
NEW met1 ( 1672080 1181965 ) M1M2_PR ;
- la_oen_mprj\[25\] ( soc la_oen[25] ) ( mgmt_buffers la_oen_mprj[25] ) + USE SIGNAL
+ ROUTED met2 ( 1590480 1195655 ) ( * 1207125 )
NEW met2 ( 1278720 1167350 0 ) ( 1279920 * )
NEW met2 ( 1279920 1167350 ) ( * 1207125 )
NEW met1 ( 1279920 1207125 ) ( 1590480 * )
NEW met2 ( 1673040 1195655 ) ( * 1232470 )
NEW met2 ( 1673040 1232470 ) ( 1673760 * 0 )
NEW met1 ( 1590480 1195655 ) ( 1673040 * )
NEW met1 ( 1590480 1207125 ) M1M2_PR
NEW met1 ( 1590480 1195655 ) M1M2_PR
NEW met1 ( 1279920 1207125 ) M1M2_PR
NEW met1 ( 1673040 1195655 ) M1M2_PR ;
- la_oen_mprj\[26\] ( soc la_oen[26] ) ( mgmt_buffers la_oen_mprj[26] ) + USE SIGNAL
+ ROUTED met2 ( 1600800 1167350 0 ) ( 1602000 * )
NEW met2 ( 1602000 1167350 ) ( * 1182335 )
NEW met1 ( 1602000 1182335 ) ( 1676400 * )
NEW met2 ( 1676400 1221370 ) ( 1677360 * )
NEW met2 ( 1677360 1221370 ) ( * 1233210 )
NEW met2 ( 1676160 1233210 0 ) ( 1677360 * )
NEW met2 ( 1676400 1182335 ) ( * 1221370 )
NEW met1 ( 1602000 1182335 ) M1M2_PR
NEW met1 ( 1676400 1182335 ) M1M2_PR ;
- la_oen_mprj\[27\] ( soc la_oen[27] ) ( mgmt_buffers la_oen_mprj[27] ) + USE SIGNAL
+ ROUTED met2 ( 1590480 1181595 ) ( * 1192695 )
NEW met2 ( 1276800 1167350 0 ) ( 1278000 * )
NEW met2 ( 1278000 1167350 ) ( * 1192695 )
NEW met1 ( 1278000 1192695 ) ( 1590480 * )
NEW met2 ( 1678320 1181595 ) ( * 1232470 0 )
NEW met1 ( 1590480 1181595 ) ( 1678320 * )
NEW met1 ( 1590480 1192695 ) M1M2_PR
NEW met1 ( 1590480 1181595 ) M1M2_PR
NEW met1 ( 1278000 1192695 ) M1M2_PR
NEW met1 ( 1678320 1181595 ) M1M2_PR ;
- la_oen_mprj\[28\] ( soc la_oen[28] ) ( mgmt_buffers la_oen_mprj[28] ) + USE SIGNAL
+ ROUTED met2 ( 1602480 1167350 0 ) ( * 1207865 )
NEW met2 ( 1680720 1207865 ) ( * 1232470 0 )
NEW met1 ( 1602480 1207865 ) ( 1680720 * )
NEW met1 ( 1602480 1207865 ) M1M2_PR
NEW met1 ( 1680720 1207865 ) M1M2_PR ;
- la_oen_mprj\[29\] ( soc la_oen[29] ) ( mgmt_buffers la_oen_mprj[29] ) + USE SIGNAL
+ ROUTED met2 ( 1591920 1195285 ) ( * 1206755 )
NEW met2 ( 1275120 1167350 0 ) ( * 1206755 )
NEW met1 ( 1275120 1206755 ) ( 1591920 * )
NEW met2 ( 1682640 1195285 ) ( * 1232470 0 )
NEW met1 ( 1591920 1195285 ) ( 1682640 * )
NEW met1 ( 1591920 1206755 ) M1M2_PR
NEW met1 ( 1591920 1195285 ) M1M2_PR
NEW met1 ( 1275120 1206755 ) M1M2_PR
NEW met1 ( 1682640 1195285 ) M1M2_PR ;
- la_oen_mprj\[2\] ( soc la_oen[2] ) ( mgmt_buffers la_oen_mprj[2] ) + USE SIGNAL
+ ROUTED met2 ( 1604400 1167350 0 ) ( * 1198245 )
NEW met1 ( 1604400 1198245 ) ( 1623600 * )
NEW met2 ( 1623600 1198245 ) ( * 1232470 0 )
NEW met1 ( 1604400 1198245 ) M1M2_PR
NEW met1 ( 1623600 1198245 ) M1M2_PR ;
- la_oen_mprj\[30\] ( soc la_oen[30] ) ( mgmt_buffers la_oen_mprj[30] ) + USE SIGNAL
+ ROUTED met2 ( 1273200 1167350 0 ) ( * 1192325 )
NEW met2 ( 1684800 1232470 0 ) ( 1685040 * )
NEW met1 ( 1663920 1191955 ) ( * 1192325 )
NEW met1 ( 1663920 1191955 ) ( 1685040 * )
NEW met1 ( 1273200 1192325 ) ( 1663920 * )
NEW met2 ( 1685040 1191955 ) ( * 1232470 )
NEW met1 ( 1273200 1192325 ) M1M2_PR
NEW met1 ( 1685040 1191955 ) M1M2_PR ;
- la_oen_mprj\[31\] ( soc la_oen[31] ) ( mgmt_buffers la_oen_mprj[31] ) + USE SIGNAL
+ ROUTED met2 ( 1606320 1167350 0 ) ( * 1196765 )
NEW met2 ( 1686000 1196765 ) ( * 1232470 )
NEW met2 ( 1686000 1232470 ) ( 1687200 * 0 )
NEW met1 ( 1606320 1196765 ) ( 1686000 * )
NEW met1 ( 1606320 1196765 ) M1M2_PR
NEW met1 ( 1686000 1196765 ) M1M2_PR ;
- la_oen_mprj\[32\] ( soc la_oen[32] ) ( mgmt_buffers la_oen_mprj[32] ) + USE SIGNAL
+ ROUTED met2 ( 1271280 1167350 0 ) ( * 1206385 )
NEW met2 ( 1689360 1206385 ) ( * 1232470 0 )
NEW met1 ( 1271280 1206385 ) ( 1689360 * )
NEW met1 ( 1271280 1206385 ) M1M2_PR
NEW met1 ( 1689360 1206385 ) M1M2_PR ;
- la_oen_mprj\[33\] ( soc la_oen[33] ) ( mgmt_buffers la_oen_mprj[33] ) + USE SIGNAL
+ ROUTED met2 ( 1608000 1167350 0 ) ( 1609200 * )
NEW met2 ( 1609200 1167350 ) ( * 1186405 )
NEW met2 ( 1659120 1186405 ) ( * 1187515 )
NEW met1 ( 1659120 1187515 ) ( 1689840 * )
NEW met2 ( 1689840 1187515 ) ( * 1232470 )
NEW met2 ( 1689840 1232470 ) ( 1691280 * 0 )
NEW met1 ( 1609200 1186405 ) ( 1659120 * )
NEW met1 ( 1609200 1186405 ) M1M2_PR
NEW met1 ( 1659120 1186405 ) M1M2_PR
NEW met1 ( 1659120 1187515 ) M1M2_PR
NEW met1 ( 1689840 1187515 ) M1M2_PR ;
- la_oen_mprj\[34\] ( soc la_oen[34] ) ( mgmt_buffers la_oen_mprj[34] ) + USE SIGNAL
+ ROUTED met2 ( 1269600 1167350 0 ) ( 1270800 * )
NEW met2 ( 1270800 1167350 ) ( * 1191955 )
NEW met2 ( 1692720 1222295 ) ( 1693680 * )
NEW met2 ( 1692720 1222295 ) ( * 1224145 )
NEW met2 ( 1692720 1224145 ) ( 1693200 * )
NEW met2 ( 1693200 1224145 ) ( * 1232470 )
NEW met2 ( 1693200 1232470 ) ( 1693680 * 0 )
NEW met1 ( 1663200 1191215 ) ( * 1191955 )
NEW met1 ( 1663200 1191215 ) ( 1693680 * )
NEW met1 ( 1270800 1191955 ) ( 1663200 * )
NEW met2 ( 1693680 1191215 ) ( * 1222295 )
NEW met1 ( 1270800 1191955 ) M1M2_PR
NEW met1 ( 1693680 1191215 ) M1M2_PR ;
- la_oen_mprj\[35\] ( soc la_oen[35] ) ( mgmt_buffers la_oen_mprj[35] ) + USE SIGNAL
+ ROUTED met2 ( 1609920 1167350 0 ) ( 1611120 * )
NEW met2 ( 1611120 1167350 ) ( * 1197505 )
NEW met2 ( 1694640 1197505 ) ( * 1232470 )
NEW met2 ( 1694640 1232470 ) ( 1695840 * 0 )
NEW met1 ( 1611120 1197505 ) ( 1694640 * )
NEW met1 ( 1611120 1197505 ) M1M2_PR
NEW met1 ( 1694640 1197505 ) M1M2_PR ;
- la_oen_mprj\[36\] ( soc la_oen[36] ) ( mgmt_buffers la_oen_mprj[36] ) + USE SIGNAL
+ ROUTED met2 ( 1267680 1167350 0 ) ( 1268880 * )
NEW met2 ( 1268880 1167350 ) ( * 1206015 )
NEW met2 ( 1697040 1206015 ) ( * 1232470 )
NEW met2 ( 1697040 1232470 ) ( 1698240 * 0 )
NEW met1 ( 1268880 1206015 ) ( 1697040 * )
NEW met1 ( 1268880 1206015 ) M1M2_PR
NEW met1 ( 1697040 1206015 ) M1M2_PR ;
- la_oen_mprj\[37\] ( soc la_oen[37] ) ( mgmt_buffers la_oen_mprj[37] ) + USE SIGNAL
+ ROUTED met2 ( 1611840 1167350 0 ) ( 1612560 * )
NEW met2 ( 1612560 1167350 ) ( * 1182705 )
NEW met2 ( 1699920 1182705 ) ( * 1232470 )
NEW met2 ( 1699920 1232470 ) ( 1700160 * 0 )
NEW met1 ( 1612560 1182705 ) ( 1699920 * )
NEW met1 ( 1612560 1182705 ) M1M2_PR
NEW met1 ( 1699920 1182705 ) M1M2_PR ;
- la_oen_mprj\[38\] ( soc la_oen[38] ) ( mgmt_buffers la_oen_mprj[38] ) + USE SIGNAL
+ ROUTED met2 ( 1265760 1167350 0 ) ( 1266960 * )
NEW met2 ( 1266960 1167350 ) ( * 1190845 )
NEW met2 ( 1702320 1190845 ) ( * 1232470 0 )
NEW met2 ( 1660560 1190845 ) ( * 1191030 )
NEW met2 ( 1660560 1191030 ) ( 1662960 * )
NEW met2 ( 1662960 1190845 ) ( * 1191030 )
NEW met1 ( 1266960 1190845 ) ( 1660560 * )
NEW met1 ( 1662960 1190845 ) ( 1702320 * )
NEW met1 ( 1266960 1190845 ) M1M2_PR
NEW met1 ( 1702320 1190845 ) M1M2_PR
NEW met1 ( 1660560 1190845 ) M1M2_PR
NEW met1 ( 1662960 1190845 ) M1M2_PR ;
- la_oen_mprj\[39\] ( soc la_oen[39] ) ( mgmt_buffers la_oen_mprj[39] ) + USE SIGNAL
+ ROUTED met2 ( 1613520 1167350 0 ) ( * 1198615 )
NEW met1 ( 1613520 1198615 ) ( 1624080 * )
NEW met1 ( 1624080 1198245 ) ( * 1198615 )
NEW met2 ( 1704720 1198245 ) ( * 1232470 0 )
NEW met1 ( 1624080 1198245 ) ( 1704720 * )
NEW met1 ( 1613520 1198615 ) M1M2_PR
NEW met1 ( 1704720 1198245 ) M1M2_PR ;
- la_oen_mprj\[3\] ( soc la_oen[3] ) ( mgmt_buffers la_oen_mprj[3] ) + USE SIGNAL
+ ROUTED met2 ( 1626000 1208235 ) ( * 1232470 0 )
NEW met2 ( 1264080 1167350 0 ) ( * 1207865 )
NEW met1 ( 1346160 1207865 ) ( * 1208235 )
NEW met1 ( 1264080 1207865 ) ( 1346160 * )
NEW met1 ( 1346160 1208235 ) ( 1626000 * )
NEW met1 ( 1626000 1208235 ) M1M2_PR
NEW met1 ( 1264080 1207865 ) M1M2_PR ;
- la_oen_mprj\[40\] ( soc la_oen[40] ) ( mgmt_buffers la_oen_mprj[40] ) + USE SIGNAL
+ ROUTED met2 ( 1615440 1167350 0 ) ( * 1197875 )
NEW met2 ( 1706640 1197875 ) ( * 1232470 0 )
NEW met1 ( 1615440 1197875 ) ( 1706640 * )
NEW met1 ( 1615440 1197875 ) M1M2_PR
NEW met1 ( 1706640 1197875 ) M1M2_PR ;
- la_oen_mprj\[41\] ( soc la_oen[41] ) ( mgmt_buffers la_oen_mprj[41] ) + USE SIGNAL
+ ROUTED met2 ( 1262160 1167350 0 ) ( * 1191215 )
NEW met2 ( 1707600 1190475 ) ( * 1232470 )
NEW met2 ( 1707600 1232470 ) ( 1708800 * 0 )
NEW met1 ( 1662480 1190475 ) ( * 1191215 )
NEW met1 ( 1262160 1191215 ) ( 1662480 * )
NEW met1 ( 1662480 1190475 ) ( 1707600 * )
NEW met1 ( 1262160 1191215 ) M1M2_PR
NEW met1 ( 1707600 1190475 ) M1M2_PR ;
- la_oen_mprj\[42\] ( soc la_oen[42] ) ( mgmt_buffers la_oen_mprj[42] ) + USE SIGNAL
+ ROUTED met2 ( 1617360 1167350 0 ) ( * 1197135 )
NEW met2 ( 1710000 1197135 ) ( * 1232470 )
NEW met2 ( 1710000 1232470 ) ( 1711200 * 0 )
NEW met1 ( 1617360 1197135 ) ( 1710000 * )
NEW met1 ( 1617360 1197135 ) M1M2_PR
NEW met1 ( 1710000 1197135 ) M1M2_PR ;
- la_oen_mprj\[43\] ( soc la_oen[43] ) ( mgmt_buffers la_oen_mprj[43] ) + USE SIGNAL
+ ROUTED met2 ( 1260240 1167350 0 ) ( 1261680 * )
NEW met2 ( 1261680 1167350 ) ( * 1204535 )
NEW met2 ( 1713360 1204535 ) ( * 1232470 0 )
NEW met1 ( 1261680 1204535 ) ( 1713360 * )
NEW met1 ( 1261680 1204535 ) M1M2_PR
NEW met1 ( 1713360 1204535 ) M1M2_PR ;
- la_oen_mprj\[44\] ( soc la_oen[44] ) ( mgmt_buffers la_oen_mprj[44] ) + USE SIGNAL
+ ROUTED met2 ( 1619040 1167350 0 ) ( 1620240 * )
NEW met2 ( 1620240 1167350 ) ( * 1184185 )
NEW met2 ( 1715280 1184185 ) ( * 1232470 0 )
NEW met1 ( 1620240 1184185 ) ( 1715280 * )
NEW met1 ( 1620240 1184185 ) M1M2_PR
NEW met1 ( 1715280 1184185 ) M1M2_PR ;
- la_oen_mprj\[45\] ( soc la_oen[45] ) ( mgmt_buffers la_oen_mprj[45] ) + USE SIGNAL
+ ROUTED met2 ( 1258560 1167350 0 ) ( 1259760 * )
NEW met2 ( 1259760 1167350 ) ( * 1190105 )
NEW met2 ( 1717680 1190105 ) ( * 1232470 0 )
NEW met1 ( 1649520 1189735 ) ( * 1190105 )
NEW met1 ( 1649520 1189735 ) ( 1661520 * )
NEW met2 ( 1661520 1188810 ) ( * 1189735 )
NEW met2 ( 1661520 1188810 ) ( 1663440 * )
NEW met2 ( 1663440 1188810 ) ( * 1190105 )
NEW met1 ( 1259760 1190105 ) ( 1649520 * )
NEW met1 ( 1663440 1190105 ) ( 1717680 * )
NEW met1 ( 1259760 1190105 ) M1M2_PR
NEW met1 ( 1717680 1190105 ) M1M2_PR
NEW met1 ( 1661520 1189735 ) M1M2_PR
NEW met1 ( 1663440 1190105 ) M1M2_PR ;
- la_oen_mprj\[46\] ( soc la_oen[46] ) ( mgmt_buffers la_oen_mprj[46] ) + USE SIGNAL
+ ROUTED met2 ( 1620960 1167350 0 ) ( 1622160 * )
NEW met2 ( 1622160 1167350 ) ( * 1199355 )
NEW met1 ( 1646160 1198985 ) ( * 1199355 )
NEW met1 ( 1646160 1198985 ) ( 1718640 * )
NEW met2 ( 1718640 1198985 ) ( * 1232470 )
NEW met2 ( 1718640 1232470 ) ( 1719840 * 0 )
NEW met1 ( 1622160 1199355 ) ( 1646160 * )
NEW met1 ( 1622160 1199355 ) M1M2_PR
NEW met1 ( 1718640 1198985 ) M1M2_PR ;
- la_oen_mprj\[47\] ( soc la_oen[47] ) ( mgmt_buffers la_oen_mprj[47] ) + USE SIGNAL
+ ROUTED met2 ( 1256640 1167350 0 ) ( 1257840 * )
NEW met2 ( 1257840 1167350 ) ( * 1204165 )
NEW met2 ( 1721040 1204165 ) ( * 1232470 )
NEW met2 ( 1721040 1232470 ) ( 1722240 * 0 )
NEW met1 ( 1257840 1204165 ) ( 1721040 * )
NEW met1 ( 1257840 1204165 ) M1M2_PR
NEW met1 ( 1721040 1204165 ) M1M2_PR ;
- la_oen_mprj\[48\] ( soc la_oen[48] ) ( mgmt_buffers la_oen_mprj[48] ) + USE SIGNAL
+ ROUTED met2 ( 1622880 1167350 0 ) ( 1624080 * )
NEW met2 ( 1624080 1167350 ) ( * 1183815 )
NEW met2 ( 1723920 1183815 ) ( * 1232470 0 )
NEW met1 ( 1624080 1183815 ) ( 1723920 * )
NEW met1 ( 1624080 1183815 ) M1M2_PR
NEW met1 ( 1723920 1183815 ) M1M2_PR ;
- la_oen_mprj\[49\] ( soc la_oen[49] ) ( mgmt_buffers la_oen_mprj[49] ) + USE SIGNAL
+ ROUTED met2 ( 1254720 1167350 0 ) ( 1255920 * )
NEW met2 ( 1255920 1167350 ) ( * 1190475 )
NEW met2 ( 1726320 1189735 ) ( * 1232470 0 )
NEW met1 ( 1662000 1190105 ) ( * 1190475 )
NEW met1 ( 1662000 1190105 ) ( 1662960 * )
NEW met1 ( 1662960 1189735 ) ( * 1190105 )
NEW met1 ( 1255920 1190475 ) ( 1662000 * )
NEW met1 ( 1662960 1189735 ) ( 1726320 * )
NEW met1 ( 1255920 1190475 ) M1M2_PR
NEW met1 ( 1726320 1189735 ) M1M2_PR ;
- la_oen_mprj\[4\] ( soc la_oen[4] ) ( mgmt_buffers la_oen_mprj[4] ) + USE SIGNAL
+ ROUTED met2 ( 1624560 1167350 0 ) ( 1626000 * )
NEW met2 ( 1626000 1167350 ) ( * 1195470 )
NEW met2 ( 1626000 1195470 ) ( 1627440 * )
NEW met2 ( 1627440 1195470 ) ( * 1232470 )
NEW met2 ( 1627440 1232470 ) ( 1628400 * 0 ) ;
- la_oen_mprj\[50\] ( soc la_oen[50] ) ( mgmt_buffers la_oen_mprj[50] ) + USE SIGNAL
+ ROUTED met2 ( 1253040 1167350 0 ) ( * 1203055 )
NEW met1 ( 1253040 1203055 ) ( 1260720 * )
NEW met1 ( 1260720 1203055 ) ( * 1203425 )
NEW met2 ( 1728720 1203425 ) ( * 1232470 0 )
NEW met1 ( 1260720 1203425 ) ( 1728720 * )
NEW met1 ( 1253040 1203055 ) M1M2_PR
NEW met1 ( 1728720 1203425 ) M1M2_PR ;
- la_oen_mprj\[51\] ( soc la_oen[51] ) ( mgmt_buffers la_oen_mprj[51] ) + USE SIGNAL
+ ROUTED met2 ( 1626480 1167350 0 ) ( * 1183075 )
NEW met2 ( 1729680 1183075 ) ( * 1232470 )
NEW met2 ( 1729680 1232470 ) ( 1730880 * 0 )
NEW met1 ( 1626480 1183075 ) ( 1729680 * )
NEW met1 ( 1626480 1183075 ) M1M2_PR
NEW met1 ( 1729680 1183075 ) M1M2_PR ;
- la_oen_mprj\[52\] ( soc la_oen[52] ) ( mgmt_buffers la_oen_mprj[52] ) + USE SIGNAL
+ ROUTED met2 ( 1251120 1167350 0 ) ( * 1202685 )
NEW met2 ( 1731600 1202685 ) ( * 1232470 )
NEW met2 ( 1731600 1232470 ) ( 1732800 * 0 )
NEW met1 ( 1251120 1202685 ) ( 1731600 * )
NEW met1 ( 1251120 1202685 ) M1M2_PR
NEW met1 ( 1731600 1202685 ) M1M2_PR ;
- la_oen_mprj\[53\] ( soc la_oen[53] ) ( mgmt_buffers la_oen_mprj[53] ) + USE SIGNAL
+ ROUTED met2 ( 1628400 1167350 0 ) ( * 1183445 )
NEW met2 ( 1734960 1183445 ) ( * 1232470 0 )
NEW met1 ( 1628400 1183445 ) ( 1734960 * )
NEW met1 ( 1628400 1183445 ) M1M2_PR
NEW met1 ( 1734960 1183445 ) M1M2_PR ;
- la_oen_mprj\[54\] ( soc la_oen[54] ) ( mgmt_buffers la_oen_mprj[54] ) + USE SIGNAL
+ ROUTED met2 ( 1249200 1167350 0 ) ( * 1189735 )
NEW met2 ( 1737360 1194175 ) ( * 1232470 0 )
NEW met2 ( 1649040 1189735 ) ( * 1192695 )
NEW met1 ( 1649040 1192695 ) ( 1662480 * )
NEW met2 ( 1662480 1192695 ) ( * 1194175 )
NEW met1 ( 1249200 1189735 ) ( 1649040 * )
NEW met1 ( 1662480 1194175 ) ( 1737360 * )
NEW met1 ( 1249200 1189735 ) M1M2_PR
NEW met1 ( 1737360 1194175 ) M1M2_PR
NEW met1 ( 1649040 1189735 ) M1M2_PR
NEW met1 ( 1649040 1192695 ) M1M2_PR
NEW met1 ( 1662480 1192695 ) M1M2_PR
NEW met1 ( 1662480 1194175 ) M1M2_PR ;
- la_oen_mprj\[55\] ( soc la_oen[55] ) ( mgmt_buffers la_oen_mprj[55] ) + USE SIGNAL
+ ROUTED met2 ( 1630080 1167350 0 ) ( 1631280 * )
NEW met2 ( 1631280 1167350 ) ( * 1198985 )
NEW met2 ( 1645200 1198985 ) ( * 1206755 )
NEW met1 ( 1645200 1206755 ) ( 1739760 * )
NEW met2 ( 1739760 1206755 ) ( * 1232470 0 )
NEW met1 ( 1631280 1198985 ) ( 1645200 * )
NEW met1 ( 1631280 1198985 ) M1M2_PR
NEW met1 ( 1645200 1198985 ) M1M2_PR
NEW met1 ( 1645200 1206755 ) M1M2_PR
NEW met1 ( 1739760 1206755 ) M1M2_PR ;
- la_oen_mprj\[56\] ( soc la_oen[56] ) ( mgmt_buffers la_oen_mprj[56] ) + USE SIGNAL
+ ROUTED met2 ( 1247520 1167350 0 ) ( 1248720 * )
NEW met2 ( 1248720 1167350 ) ( * 1202315 )
NEW met1 ( 1248720 1202315 ) ( 1288560 * )
NEW met2 ( 1288560 1202315 ) ( * 1202870 )
NEW met2 ( 1288560 1202870 ) ( 1289520 * )
NEW met2 ( 1289520 1202870 ) ( * 1203055 )
NEW met2 ( 1740240 1203055 ) ( * 1232470 )
NEW met2 ( 1740240 1232470 ) ( 1741440 * 0 )
NEW met1 ( 1289520 1203055 ) ( 1740240 * )
NEW met1 ( 1248720 1202315 ) M1M2_PR
NEW met1 ( 1288560 1202315 ) M1M2_PR
NEW met1 ( 1289520 1203055 ) M1M2_PR
NEW met1 ( 1740240 1203055 ) M1M2_PR ;
- la_oen_mprj\[57\] ( soc la_oen[57] ) ( mgmt_buffers la_oen_mprj[57] ) + USE SIGNAL
+ ROUTED met2 ( 1632000 1167350 0 ) ( 1633200 * )
NEW met2 ( 1633200 1167350 ) ( * 1196025 )
NEW met2 ( 1743120 1196025 ) ( * 1232470 )
NEW met2 ( 1743120 1232470 ) ( 1743840 * 0 )
NEW met1 ( 1633200 1196025 ) ( 1743120 * )
NEW met1 ( 1633200 1196025 ) M1M2_PR
NEW met1 ( 1743120 1196025 ) M1M2_PR ;
- la_oen_mprj\[58\] ( soc la_oen[58] ) ( mgmt_buffers la_oen_mprj[58] ) + USE SIGNAL
+ ROUTED met2 ( 1746000 1189365 ) ( * 1232470 0 )
NEW met2 ( 1245600 1167350 0 ) ( 1246800 * )
NEW met2 ( 1246800 1167350 ) ( * 1189365 )
NEW met1 ( 1246800 1189365 ) ( 1746000 * )
NEW met1 ( 1746000 1189365 ) M1M2_PR
NEW met1 ( 1246800 1189365 ) M1M2_PR ;
- la_oen_mprj\[59\] ( soc la_oen[59] ) ( mgmt_buffers la_oen_mprj[59] ) + USE SIGNAL
+ ROUTED met2 ( 1633920 1167350 0 ) ( 1635120 * )
NEW met2 ( 1635120 1167350 ) ( * 1198615 )
NEW met2 ( 1747920 1198615 ) ( * 1232470 0 )
NEW met1 ( 1635120 1198615 ) ( 1747920 * )
NEW met1 ( 1635120 1198615 ) M1M2_PR
NEW met1 ( 1747920 1198615 ) M1M2_PR ;
- la_oen_mprj\[5\] ( soc la_oen[5] ) ( mgmt_buffers la_oen_mprj[5] ) + USE SIGNAL
+ ROUTED met2 ( 1579920 1185295 ) ( * 1193065 )
NEW met1 ( 1579920 1185295 ) ( 1629360 * )
NEW met2 ( 1629360 1185295 ) ( * 1232470 )
NEW met2 ( 1629360 1232470 ) ( 1630560 * 0 )
NEW met2 ( 1243680 1167350 0 ) ( 1244880 * )
NEW met2 ( 1244880 1167350 ) ( * 1193065 )
NEW met1 ( 1244880 1193065 ) ( 1579920 * )
NEW met1 ( 1579920 1193065 ) M1M2_PR
NEW met1 ( 1579920 1185295 ) M1M2_PR
NEW met1 ( 1629360 1185295 ) M1M2_PR
NEW met1 ( 1244880 1193065 ) M1M2_PR ;
- la_oen_mprj\[60\] ( soc la_oen[60] ) ( mgmt_buffers la_oen_mprj[60] ) + USE SIGNAL
+ ROUTED met2 ( 1635600 1167350 0 ) ( * 1184925 )
NEW met2 ( 1748880 1184925 ) ( * 1232470 )
NEW met2 ( 1748880 1232470 ) ( 1750320 * 0 )
NEW met1 ( 1635600 1184925 ) ( 1748880 * )
NEW met1 ( 1635600 1184925 ) M1M2_PR
NEW met1 ( 1748880 1184925 ) M1M2_PR ;
- la_oen_mprj\[61\] ( soc la_oen[61] ) ( mgmt_buffers la_oen_mprj[61] ) + USE SIGNAL
+ ROUTED met2 ( 1751280 1202315 ) ( * 1232470 )
NEW met2 ( 1751280 1232470 ) ( 1752480 * 0 )
NEW met2 ( 1242000 1167350 0 ) ( * 1198985 )
NEW met1 ( 1242000 1198985 ) ( 1289520 * )
NEW met2 ( 1289520 1198985 ) ( * 1202315 )
NEW met1 ( 1289520 1202315 ) ( 1751280 * )
NEW met1 ( 1751280 1202315 ) M1M2_PR
NEW met1 ( 1242000 1198985 ) M1M2_PR
NEW met1 ( 1289520 1198985 ) M1M2_PR
NEW met1 ( 1289520 1202315 ) M1M2_PR ;
- la_oen_mprj\[62\] ( soc la_oen[62] ) ( mgmt_buffers la_oen_mprj[62] ) + USE SIGNAL
+ ROUTED met2 ( 1637520 1167350 0 ) ( * 1185295 )
NEW met2 ( 1753680 1185295 ) ( * 1232470 )
NEW met2 ( 1753680 1232470 ) ( 1754880 * 0 )
NEW met1 ( 1637520 1185295 ) ( 1753680 * )
NEW met1 ( 1637520 1185295 ) M1M2_PR
NEW met1 ( 1753680 1185295 ) M1M2_PR ;
- la_oen_mprj\[63\] ( soc la_oen[63] ) ( mgmt_buffers la_oen_mprj[63] ) + USE SIGNAL
+ ROUTED met2 ( 1756560 1199910 ) ( * 1232470 0 )
NEW met2 ( 1240080 1167350 0 ) ( * 1199910 )
NEW met3 ( 1240080 1199910 ) ( 1756560 * )
NEW met2 ( 1756560 1199910 ) via2_FR
NEW met2 ( 1240080 1199910 ) via2_FR ;
- la_oen_mprj\[64\] ( soc la_oen[64] ) ( mgmt_buffers la_oen_mprj[64] ) + USE SIGNAL
+ ROUTED met2 ( 1639440 1167350 0 ) ( * 1184555 )
NEW met2 ( 1757520 1184555 ) ( * 1232470 )
NEW met2 ( 1757520 1232470 ) ( 1758960 * 0 )
NEW met1 ( 1639440 1184555 ) ( 1757520 * )
NEW met1 ( 1639440 1184555 ) M1M2_PR
NEW met1 ( 1757520 1184555 ) M1M2_PR ;
- la_oen_mprj\[65\] ( soc la_oen[65] ) ( mgmt_buffers la_oen_mprj[65] ) + USE SIGNAL
+ ROUTED met2 ( 1238160 1167350 0 ) ( * 1188625 )
NEW met2 ( 1759920 1188625 ) ( * 1232470 )
NEW met2 ( 1759920 1232470 ) ( 1761360 * 0 )
NEW met1 ( 1238160 1188625 ) ( 1759920 * )
NEW met1 ( 1238160 1188625 ) M1M2_PR
NEW met1 ( 1759920 1188625 ) M1M2_PR ;
- la_oen_mprj\[66\] ( soc la_oen[66] ) ( mgmt_buffers la_oen_mprj[66] ) + USE SIGNAL
+ ROUTED met2 ( 1641120 1167350 0 ) ( 1641360 * )
NEW met2 ( 1641360 1167350 ) ( * 1199725 )
NEW met2 ( 1762320 1199725 ) ( * 1232470 )
NEW met2 ( 1762320 1232470 ) ( 1763520 * 0 )
NEW met1 ( 1641360 1199725 ) ( 1762320 * )
NEW met1 ( 1641360 1199725 ) M1M2_PR
NEW met1 ( 1762320 1199725 ) M1M2_PR ;
- la_oen_mprj\[67\] ( soc la_oen[67] ) ( mgmt_buffers la_oen_mprj[67] ) + USE SIGNAL
+ ROUTED met2 ( 1236480 1167350 0 ) ( 1237680 * )
NEW met2 ( 1237680 1167350 ) ( * 1191030 )
NEW met2 ( 1764240 1200650 ) ( * 1232470 )
NEW met2 ( 1764240 1232470 ) ( 1765440 * 0 )
NEW met2 ( 1238640 1191030 ) ( * 1200650 )
NEW met2 ( 1237680 1191030 ) ( 1238640 * )
NEW met3 ( 1238640 1200650 ) ( 1764240 * )
NEW met2 ( 1764240 1200650 ) via2_FR
NEW met2 ( 1238640 1200650 ) via2_FR ;
- la_oen_mprj\[68\] ( soc la_oen[68] ) ( mgmt_buffers la_oen_mprj[68] ) + USE SIGNAL
+ ROUTED met2 ( 1767600 1185665 ) ( * 1232470 0 )
NEW met2 ( 1643040 1167350 0 ) ( 1644240 * )
NEW met2 ( 1644240 1167350 ) ( * 1185665 )
NEW met1 ( 1644240 1185665 ) ( 1767600 * )
NEW met1 ( 1767600 1185665 ) M1M2_PR
NEW met1 ( 1644240 1185665 ) M1M2_PR ;
- la_oen_mprj\[69\] ( soc la_oen[69] ) ( mgmt_buffers la_oen_mprj[69] ) + USE SIGNAL
+ ROUTED met2 ( 1234560 1167350 0 ) ( 1235760 * )
NEW met2 ( 1235760 1167350 ) ( * 1188995 )
NEW met2 ( 1770000 1188995 ) ( * 1232470 0 )
NEW met1 ( 1235760 1188995 ) ( 1770000 * )
NEW met1 ( 1235760 1188995 ) M1M2_PR
NEW met1 ( 1770000 1188995 ) M1M2_PR ;
- la_oen_mprj\[6\] ( soc la_oen[6] ) ( mgmt_buffers la_oen_mprj[6] ) + USE SIGNAL
+ ROUTED met2 ( 1633680 1206755 ) ( * 1232470 )
NEW met2 ( 1632480 1232470 0 ) ( 1633680 * )
NEW met2 ( 1644720 1167350 ) ( 1644960 * 0 )
NEW met2 ( 1644720 1167350 ) ( * 1206755 )
NEW met1 ( 1633680 1206755 ) ( 1644720 * )
NEW met1 ( 1633680 1206755 ) M1M2_PR
NEW met1 ( 1644720 1206755 ) M1M2_PR ;
- la_oen_mprj\[70\] ( soc la_oen[70] ) ( mgmt_buffers la_oen_mprj[70] ) + USE SIGNAL
+ ROUTED met2 ( 1232640 1167350 0 ) ( 1233840 * )
NEW met2 ( 1233840 1167350 ) ( * 1208050 )
NEW met2 ( 1772400 1208050 ) ( * 1232470 0 )
NEW met3 ( 1233840 1208050 ) ( 1772400 * )
NEW met2 ( 1233840 1208050 ) via2_FR
NEW met2 ( 1772400 1208050 ) via2_FR ;
- la_oen_mprj\[71\] ( soc la_oen[71] ) ( mgmt_buffers la_oen_mprj[71] ) + USE SIGNAL
+ ROUTED met2 ( 1772880 1199355 ) ( * 1232470 )
NEW met2 ( 1772880 1232470 ) ( 1774080 * 0 )
NEW met2 ( 1646640 1167350 0 ) ( * 1199355 )
NEW met1 ( 1646640 1199355 ) ( 1772880 * )
NEW met1 ( 1772880 1199355 ) M1M2_PR
NEW met1 ( 1646640 1199355 ) M1M2_PR ;
- la_oen_mprj\[72\] ( soc la_oen[72] ) ( mgmt_buffers la_oen_mprj[72] ) + USE SIGNAL
+ ROUTED met2 ( 1230960 1167350 0 ) ( * 1187885 )
NEW met2 ( 1775280 1187885 ) ( * 1232470 )
NEW met2 ( 1775280 1232470 ) ( 1776480 * 0 )
NEW met1 ( 1230960 1187885 ) ( 1775280 * )
NEW met1 ( 1230960 1187885 ) M1M2_PR
NEW met1 ( 1775280 1187885 ) M1M2_PR ;
- la_oen_mprj\[73\] ( soc la_oen[73] ) ( mgmt_buffers la_oen_mprj[73] ) + USE SIGNAL
+ ROUTED met2 ( 1778640 1200095 ) ( * 1232470 0 )
NEW met2 ( 1648560 1167350 0 ) ( * 1200095 )
NEW met1 ( 1648560 1200095 ) ( 1778640 * )
NEW met1 ( 1778640 1200095 ) M1M2_PR
NEW met1 ( 1648560 1200095 ) M1M2_PR ;
- la_oen_mprj\[74\] ( soc la_oen[74] ) ( mgmt_buffers la_oen_mprj[74] ) + USE SIGNAL
+ ROUTED met2 ( 1229040 1167350 0 ) ( * 1188255 )
NEW met2 ( 1781040 1188255 ) ( * 1232470 0 )
NEW met1 ( 1229040 1188255 ) ( 1781040 * )
NEW met1 ( 1229040 1188255 ) M1M2_PR
NEW met1 ( 1781040 1188255 ) M1M2_PR ;
- la_oen_mprj\[75\] ( soc la_oen[75] ) ( mgmt_buffers la_oen_mprj[75] ) + USE SIGNAL
+ ROUTED met2 ( 1782960 1207865 ) ( * 1232470 0 )
NEW met2 ( 1650480 1167350 0 ) ( * 1208235 )
NEW met2 ( 1742160 1207865 ) ( * 1208235 )
NEW met2 ( 1742160 1207865 ) ( 1742640 * )
NEW met1 ( 1650480 1208235 ) ( 1742160 * )
NEW met1 ( 1742640 1207865 ) ( 1782960 * )
NEW met1 ( 1782960 1207865 ) M1M2_PR
NEW met1 ( 1650480 1208235 ) M1M2_PR
NEW met1 ( 1742160 1208235 ) M1M2_PR
NEW met1 ( 1742640 1207865 ) M1M2_PR ;
- la_oen_mprj\[76\] ( soc la_oen[76] ) ( mgmt_buffers la_oen_mprj[76] ) + USE SIGNAL
+ ROUTED met2 ( 1227120 1167350 0 ) ( * 1208790 )
NEW met2 ( 1388880 1206570 ) ( * 1208790 )
NEW met2 ( 1591440 1199170 ) ( * 1208790 )
NEW met2 ( 1783920 1208790 ) ( * 1232470 )
NEW met2 ( 1783920 1232470 ) ( 1785120 * 0 )
NEW met3 ( 1227120 1208790 ) ( 1388880 * )
NEW met2 ( 1448400 1199170 ) ( * 1206570 )
NEW met3 ( 1388880 1206570 ) ( 1448400 * )
NEW met3 ( 1448400 1199170 ) ( 1591440 * )
NEW met3 ( 1591440 1208790 ) ( 1783920 * )
NEW met2 ( 1227120 1208790 ) via2_FR
NEW met2 ( 1388880 1208790 ) via2_FR
NEW met2 ( 1388880 1206570 ) via2_FR
NEW met2 ( 1591440 1199170 ) via2_FR
NEW met2 ( 1591440 1208790 ) via2_FR
NEW met2 ( 1783920 1208790 ) via2_FR
NEW met2 ( 1448400 1206570 ) via2_FR
NEW met2 ( 1448400 1199170 ) via2_FR ;
- la_oen_mprj\[77\] ( soc la_oen[77] ) ( mgmt_buffers la_oen_mprj[77] ) + USE SIGNAL
+ ROUTED met2 ( 1786320 1186035 ) ( * 1232470 )
NEW met2 ( 1786320 1232470 ) ( 1787520 * 0 )
NEW met2 ( 1652160 1167350 0 ) ( 1653360 * )
NEW met2 ( 1653360 1167350 ) ( * 1186035 )
NEW met1 ( 1653360 1186035 ) ( 1786320 * )
NEW met1 ( 1786320 1186035 ) M1M2_PR
NEW met1 ( 1653360 1186035 ) M1M2_PR ;
- la_oen_mprj\[78\] ( soc la_oen[78] ) ( mgmt_buffers la_oen_mprj[78] ) + USE SIGNAL
+ ROUTED met2 ( 1225440 1167350 0 ) ( 1226640 * )
NEW met2 ( 1226640 1167350 ) ( * 1185850 )
NEW met2 ( 1789200 1185850 ) ( * 1232470 0 )
NEW met3 ( 1226640 1185850 ) ( 1789200 * )
NEW met2 ( 1226640 1185850 ) via2_FR
NEW met2 ( 1789200 1185850 ) via2_FR ;
- la_oen_mprj\[79\] ( soc la_oen[79] ) ( mgmt_buffers la_oen_mprj[79] ) + USE SIGNAL
+ ROUTED met2 ( 1791600 1208605 ) ( * 1232470 0 )
NEW met2 ( 1654080 1167350 0 ) ( 1655280 * )
NEW met2 ( 1655280 1167350 ) ( * 1208605 )
NEW met1 ( 1655280 1208605 ) ( 1791600 * )
NEW met1 ( 1791600 1208605 ) M1M2_PR
NEW met1 ( 1655280 1208605 ) M1M2_PR ;
- la_oen_mprj\[7\] ( soc la_oen[7] ) ( mgmt_buffers la_oen_mprj[7] ) + USE SIGNAL
+ ROUTED met2 ( 1634640 1205645 ) ( * 1232470 0 )
NEW met2 ( 1223520 1167350 0 ) ( * 1168830 )
NEW met2 ( 1223520 1168830 ) ( 1223760 * )
NEW met2 ( 1223760 1168830 ) ( * 1205645 )
NEW met1 ( 1223760 1205645 ) ( 1634640 * )
NEW met1 ( 1634640 1205645 ) M1M2_PR
NEW met1 ( 1223760 1205645 ) M1M2_PR ;
- la_oen_mprj\[80\] ( soc la_oen[80] ) ( mgmt_buffers la_oen_mprj[80] ) + USE SIGNAL
+ ROUTED met2 ( 1794000 1193805 ) ( * 1232470 0 )
NEW met2 ( 1656000 1167350 0 ) ( * 1168830 )
NEW met2 ( 1656000 1168830 ) ( 1656240 * )
NEW met2 ( 1656240 1168830 ) ( * 1193805 )
NEW met1 ( 1656240 1193805 ) ( 1794000 * )
NEW met1 ( 1794000 1193805 ) M1M2_PR
NEW met1 ( 1656240 1193805 ) M1M2_PR ;
- la_oen_mprj\[81\] ( soc la_oen[81] ) ( mgmt_buffers la_oen_mprj[81] ) + USE SIGNAL
+ ROUTED met2 ( 1221600 1167350 0 ) ( 1222800 * )
NEW met2 ( 1222800 1167350 ) ( * 1206570 )
NEW met2 ( 1357680 1205090 ) ( * 1206570 )
NEW met2 ( 1794960 1206570 ) ( * 1232470 )
NEW met2 ( 1794960 1232470 ) ( 1796160 * 0 )
NEW met3 ( 1222800 1206570 ) ( 1357680 * )
NEW met2 ( 1490160 1205090 ) ( * 1206570 )
NEW met3 ( 1357680 1205090 ) ( 1490160 * )
NEW met3 ( 1490160 1206570 ) ( 1794960 * )
NEW met2 ( 1222800 1206570 ) via2_FR
NEW met2 ( 1357680 1206570 ) via2_FR
NEW met2 ( 1357680 1205090 ) via2_FR
NEW met2 ( 1794960 1206570 ) via2_FR
NEW met2 ( 1490160 1205090 ) via2_FR
NEW met2 ( 1490160 1206570 ) via2_FR ;
- la_oen_mprj\[82\] ( soc la_oen[82] ) ( mgmt_buffers la_oen_mprj[82] ) + USE SIGNAL
+ ROUTED met2 ( 1796880 1207495 ) ( * 1232470 )
NEW met2 ( 1796880 1232470 ) ( 1798080 * 0 )
NEW met2 ( 1657680 1167350 0 ) ( * 1207495 )
NEW met1 ( 1657680 1207495 ) ( 1796880 * )
NEW met1 ( 1796880 1207495 ) M1M2_PR
NEW met1 ( 1657680 1207495 ) M1M2_PR ;
- la_oen_mprj\[83\] ( soc la_oen[83] ) ( mgmt_buffers la_oen_mprj[83] ) + USE SIGNAL
+ ROUTED met2 ( 1219920 1167350 0 ) ( * 1187330 )
NEW met2 ( 1800240 1187330 ) ( * 1232470 0 )
NEW met3 ( 1219920 1187330 ) ( 1800240 * )
NEW met2 ( 1219920 1187330 ) via2_FR
NEW met2 ( 1800240 1187330 ) via2_FR ;
- la_oen_mprj\[84\] ( soc la_oen[84] ) ( mgmt_buffers la_oen_mprj[84] ) + USE SIGNAL
+ ROUTED met2 ( 1802640 1206015 ) ( * 1232470 0 )
NEW met2 ( 1659600 1167350 0 ) ( * 1186405 )
NEW met1 ( 1659600 1186405 ) ( 1697520 * )
NEW met2 ( 1697520 1186405 ) ( * 1206015 )
NEW met1 ( 1697520 1206015 ) ( 1802640 * )
NEW met1 ( 1802640 1206015 ) M1M2_PR
NEW met1 ( 1659600 1186405 ) M1M2_PR
NEW met1 ( 1697520 1186405 ) M1M2_PR
NEW met1 ( 1697520 1206015 ) M1M2_PR ;
- la_oen_mprj\[85\] ( soc la_oen[85] ) ( mgmt_buffers la_oen_mprj[85] ) + USE SIGNAL
+ ROUTED met2 ( 1218000 1167350 0 ) ( * 1193250 )
NEW met2 ( 1803600 1193250 ) ( * 1232470 )
NEW met2 ( 1803600 1232470 ) ( 1805040 * 0 )
NEW met3 ( 1218000 1193250 ) ( 1803600 * )
NEW met2 ( 1218000 1193250 ) via2_FR
NEW met2 ( 1803600 1193250 ) via2_FR ;
- la_oen_mprj\[86\] ( soc la_oen[86] ) ( mgmt_buffers la_oen_mprj[86] ) + USE SIGNAL
+ ROUTED met2 ( 1805520 1205645 ) ( * 1232470 )
NEW met2 ( 1805520 1232470 ) ( 1806720 * 0 )
NEW met1 ( 1661520 1205645 ) ( 1805520 * )
NEW met2 ( 1660080 1167350 ) ( 1661520 * 0 )
NEW met2 ( 1660080 1167350 ) ( * 1193065 )
NEW met2 ( 1660080 1193065 ) ( 1661520 * )
NEW met2 ( 1661520 1193065 ) ( * 1205645 )
NEW met1 ( 1805520 1205645 ) M1M2_PR
NEW met1 ( 1661520 1205645 ) M1M2_PR ;
- la_oen_mprj\[87\] ( soc la_oen[87] ) ( mgmt_buffers la_oen_mprj[87] ) + USE SIGNAL
+ ROUTED met2 ( 1216080 1167350 0 ) ( * 1205090 )
NEW met2 ( 1356720 1202130 ) ( * 1205090 )
NEW met3 ( 1356720 1202130 ) ( 1387920 * )
NEW met2 ( 1387920 1202130 ) ( * 1203610 )
NEW met2 ( 1387920 1203610 ) ( 1390320 * )
NEW met2 ( 1808400 1205090 ) ( * 1232470 )
NEW met2 ( 1808400 1232470 ) ( 1809120 * 0 )
NEW met3 ( 1216080 1205090 ) ( 1356720 * )
NEW met2 ( 1449360 1203610 ) ( * 1206570 )
NEW met3 ( 1449360 1206570 ) ( 1489200 * )
NEW met2 ( 1489200 1206570 ) ( * 1207310 )
NEW met2 ( 1489200 1207310 ) ( 1490640 * )
NEW met2 ( 1490640 1205090 ) ( * 1207310 )
NEW met2 ( 1490640 1205090 ) ( 1491120 * )
NEW met3 ( 1390320 1203610 ) ( 1449360 * )
NEW met3 ( 1491120 1205090 ) ( 1808400 * )
NEW met2 ( 1216080 1205090 ) via2_FR
NEW met2 ( 1356720 1205090 ) via2_FR
NEW met2 ( 1356720 1202130 ) via2_FR
NEW met2 ( 1387920 1202130 ) via2_FR
NEW met2 ( 1390320 1203610 ) via2_FR
NEW met2 ( 1808400 1205090 ) via2_FR
NEW met2 ( 1449360 1203610 ) via2_FR
NEW met2 ( 1449360 1206570 ) via2_FR
NEW met2 ( 1489200 1206570 ) via2_FR
NEW met2 ( 1491120 1205090 ) via2_FR ;
- la_oen_mprj\[88\] ( soc la_oen[88] ) ( mgmt_buffers la_oen_mprj[88] ) + USE SIGNAL
+ ROUTED met2 ( 1811280 1192325 ) ( * 1232470 0 )
NEW met2 ( 1663200 1167350 0 ) ( 1664400 * )
NEW met2 ( 1664400 1167350 ) ( * 1192325 )
NEW met1 ( 1664400 1192325 ) ( 1811280 * )
NEW met1 ( 1811280 1192325 ) M1M2_PR
NEW met1 ( 1664400 1192325 ) M1M2_PR ;
- la_oen_mprj\[89\] ( soc la_oen[89] ) ( mgmt_buffers la_oen_mprj[89] ) + USE SIGNAL
+ ROUTED met2 ( 1214400 1167350 0 ) ( 1215600 * )
NEW met2 ( 1215600 1167350 ) ( * 1186590 )
NEW met2 ( 1792560 1186590 ) ( * 1226735 )
NEW met1 ( 1792560 1226735 ) ( 1814640 * )
NEW met2 ( 1814640 1226735 ) ( * 1233950 )
NEW met2 ( 1813680 1233950 0 ) ( 1814640 * )
NEW met3 ( 1215600 1186590 ) ( 1792560 * )
NEW met2 ( 1215600 1186590 ) via2_FR
NEW met2 ( 1792560 1186590 ) via2_FR
NEW met1 ( 1792560 1226735 ) M1M2_PR
NEW met1 ( 1814640 1226735 ) M1M2_PR ;
- la_oen_mprj\[8\] ( soc la_oen[8] ) ( mgmt_buffers la_oen_mprj[8] ) + USE SIGNAL
+ ROUTED met2 ( 1637040 1207125 ) ( * 1232470 0 )
NEW met1 ( 1637040 1207125 ) ( 1664880 * )
NEW met2 ( 1665120 1167350 0 ) ( * 1168830 )
NEW met2 ( 1664880 1168830 ) ( 1665120 * )
NEW met2 ( 1664880 1168830 ) ( * 1207125 )
NEW met1 ( 1637040 1207125 ) M1M2_PR
NEW met1 ( 1664880 1207125 ) M1M2_PR ;
- la_oen_mprj\[90\] ( soc la_oen[90] ) ( mgmt_buffers la_oen_mprj[90] ) + USE SIGNAL
+ ROUTED met2 ( 1212480 1167350 0 ) ( 1213680 * )
NEW met2 ( 1213680 1167350 ) ( * 1203610 )
NEW met3 ( 1389600 1202870 ) ( * 1203610 )
NEW met2 ( 1815600 1203610 ) ( * 1232470 0 )
NEW met3 ( 1213680 1203610 ) ( 1389600 * )
NEW met3 ( 1450080 1202870 ) ( * 1203610 )
NEW met3 ( 1389600 1202870 ) ( 1450080 * )
NEW met3 ( 1450080 1203610 ) ( 1815600 * )
NEW met2 ( 1213680 1203610 ) via2_FR
NEW met2 ( 1815600 1203610 ) via2_FR ;
- la_oen_mprj\[91\] ( soc la_oen[91] ) ( mgmt_buffers la_oen_mprj[91] ) + USE SIGNAL
+ ROUTED met2 ( 1816560 1193065 ) ( * 1232470 )
NEW met2 ( 1816560 1232470 ) ( 1817760 * 0 )
NEW met2 ( 1667040 1167350 0 ) ( 1668240 * )
NEW met2 ( 1668240 1167350 ) ( * 1193065 )
NEW met1 ( 1668240 1193065 ) ( 1816560 * )
NEW met1 ( 1816560 1193065 ) M1M2_PR
NEW met1 ( 1668240 1193065 ) M1M2_PR ;
- la_oen_mprj\[92\] ( soc la_oen[92] ) ( mgmt_buffers la_oen_mprj[92] ) + USE SIGNAL
+ ROUTED met2 ( 1210560 1167350 0 ) ( 1211760 * )
NEW met2 ( 1211760 1167350 ) ( * 1192510 )
NEW met2 ( 1819440 1192510 ) ( * 1232470 )
NEW met2 ( 1819440 1232470 ) ( 1820160 * 0 )
NEW met3 ( 1211760 1192510 ) ( 1819440 * )
NEW met2 ( 1211760 1192510 ) via2_FR
NEW met2 ( 1819440 1192510 ) via2_FR ;
- la_oen_mprj\[93\] ( soc la_oen[93] ) ( mgmt_buffers la_oen_mprj[93] ) + USE SIGNAL
+ ROUTED met2 ( 1821840 1204905 ) ( * 1232470 0 )
NEW met2 ( 1668720 1167350 0 ) ( * 1204905 )
NEW met1 ( 1668720 1204905 ) ( 1821840 * )
NEW met1 ( 1821840 1204905 ) M1M2_PR
NEW met1 ( 1668720 1204905 ) M1M2_PR ;
- la_oen_mprj\[94\] ( soc la_oen[94] ) ( mgmt_buffers la_oen_mprj[94] ) + USE SIGNAL
+ ROUTED met2 ( 1208880 1167350 0 ) ( * 1202870 )
NEW met3 ( 1388640 1202130 ) ( * 1202870 )
NEW met2 ( 1824240 1202870 ) ( * 1232470 0 )
NEW met3 ( 1208880 1202870 ) ( 1388640 * )
NEW met3 ( 1467360 1202130 ) ( * 1202870 )
NEW met3 ( 1388640 1202130 ) ( 1467360 * )
NEW met3 ( 1467360 1202870 ) ( 1824240 * )
NEW met2 ( 1208880 1202870 ) via2_FR
NEW met2 ( 1824240 1202870 ) via2_FR ;
- la_oen_mprj\[95\] ( soc la_oen[95] ) ( mgmt_buffers la_oen_mprj[95] ) + USE SIGNAL
+ ROUTED met2 ( 1826640 1193435 ) ( * 1232470 0 )
NEW met2 ( 1670640 1167350 0 ) ( * 1193435 )
NEW met1 ( 1670640 1193435 ) ( 1826640 * )
NEW met1 ( 1826640 1193435 ) M1M2_PR
NEW met1 ( 1670640 1193435 ) M1M2_PR ;
- la_oen_mprj\[96\] ( soc la_oen[96] ) ( mgmt_buffers la_oen_mprj[96] ) + USE SIGNAL
+ ROUTED met2 ( 1829040 1191030 ) ( * 1232470 )
NEW met2 ( 1828800 1232470 0 ) ( 1829040 * )
NEW met2 ( 1206960 1167350 0 ) ( * 1192510 )
NEW met3 ( 1206960 1192510 ) ( 1209120 * )
NEW met4 ( 1209120 1191030 ) ( * 1192510 )
NEW met3 ( 1209120 1191030 ) ( 1829040 * )
NEW met2 ( 1829040 1191030 ) via2_FR
NEW met2 ( 1206960 1192510 ) via2_FR
NEW met3 ( 1209120 1192510 ) M3M4_PR_M
NEW met3 ( 1209120 1191030 ) M3M4_PR_M ;
- la_oen_mprj\[97\] ( soc la_oen[97] ) ( mgmt_buffers la_oen_mprj[97] ) + USE SIGNAL
+ ROUTED met2 ( 1829520 1208975 ) ( * 1232470 )
NEW met2 ( 1829520 1232470 ) ( 1830720 * 0 )
NEW met2 ( 1672560 1167350 0 ) ( * 1208975 )
NEW met1 ( 1672560 1208975 ) ( 1829520 * )
NEW met1 ( 1829520 1208975 ) M1M2_PR
NEW met1 ( 1672560 1208975 ) M1M2_PR ;
- la_oen_mprj\[98\] ( soc la_oen[98] ) ( mgmt_buffers la_oen_mprj[98] ) + USE SIGNAL
+ ROUTED met2 ( 1205040 1167350 0 ) ( * 1202130 )
NEW met2 ( 1355760 1198430 ) ( * 1202130 )
NEW met2 ( 1832880 1202130 ) ( * 1232470 0 )
NEW met3 ( 1205040 1202130 ) ( 1355760 * )
NEW met2 ( 1490160 1198430 ) ( * 1202130 )
NEW met3 ( 1355760 1198430 ) ( 1490160 * )
NEW met3 ( 1490160 1202130 ) ( 1832880 * )
NEW met2 ( 1205040 1202130 ) via2_FR
NEW met2 ( 1355760 1202130 ) via2_FR
NEW met2 ( 1355760 1198430 ) via2_FR
NEW met2 ( 1832880 1202130 ) via2_FR
NEW met2 ( 1490160 1198430 ) via2_FR
NEW met2 ( 1490160 1202130 ) via2_FR ;
- la_oen_mprj\[99\] ( soc la_oen[99] ) ( mgmt_buffers la_oen_mprj[99] ) + USE SIGNAL
+ ROUTED met2 ( 1835280 1192695 ) ( * 1232470 0 )
NEW met2 ( 1674240 1167350 0 ) ( 1674480 * )
NEW met2 ( 1674480 1167350 ) ( * 1192695 )
NEW met1 ( 1674480 1192695 ) ( 1835280 * )
NEW met1 ( 1835280 1192695 ) M1M2_PR
NEW met1 ( 1674480 1192695 ) M1M2_PR ;
- la_oen_mprj\[9\] ( soc la_oen[9] ) ( mgmt_buffers la_oen_mprj[9] ) + USE SIGNAL
+ ROUTED met2 ( 1203360 1167350 0 ) ( 1204560 * )
NEW met2 ( 1204560 1167350 ) ( * 1201575 )
NEW met2 ( 1589520 1204905 ) ( * 1209345 )
NEW met1 ( 1589520 1209345 ) ( 1639440 * )
NEW met2 ( 1639440 1209345 ) ( * 1232470 0 )
NEW met2 ( 1275600 1201575 ) ( * 1204905 )
NEW met1 ( 1204560 1201575 ) ( 1275600 * )
NEW met1 ( 1275600 1204905 ) ( 1589520 * )
NEW met1 ( 1204560 1201575 ) M1M2_PR
NEW met1 ( 1589520 1204905 ) M1M2_PR
NEW met1 ( 1589520 1209345 ) M1M2_PR
NEW met1 ( 1639440 1209345 ) M1M2_PR
NEW met1 ( 1275600 1201575 ) M1M2_PR
NEW met1 ( 1275600 1204905 ) M1M2_PR ;
- la_oen_user\[0\] ( mprj la_oen[0] ) ( mgmt_buffers la_oen_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 971760 1349945 ) ( * 1389350 0 )
NEW met2 ( 1628400 1325710 0 ) ( * 1340695 )
NEW met1 ( 1592400 1340695 ) ( 1628400 * )
NEW met2 ( 1592400 1340695 ) ( * 1349945 )
NEW met1 ( 971760 1349945 ) ( 1592400 * )
NEW met1 ( 971760 1349945 ) M1M2_PR
NEW met1 ( 1628400 1340695 ) M1M2_PR
NEW met1 ( 1592400 1340695 ) M1M2_PR
NEW met1 ( 1592400 1349945 ) M1M2_PR ;
- la_oen_user\[100\] ( mprj la_oen[100] ) ( mgmt_buffers la_oen_core[100] ) + USE SIGNAL
+ ROUTED met2 ( 2755440 1373995 ) ( * 1389350 0 )
NEW met2 ( 1892880 1373255 ) ( * 1375290 )
NEW met2 ( 1892880 1375290 ) ( 1893840 * )
NEW met2 ( 1893840 1374735 ) ( * 1375290 )
NEW met1 ( 1893840 1374735 ) ( 1923600 * )
NEW met2 ( 1923600 1373995 ) ( * 1374735 )
NEW met1 ( 1923600 1373995 ) ( 2755440 * )
NEW met2 ( 1846320 1324970 0 ) ( 1847760 * )
NEW met2 ( 1847760 1324970 ) ( * 1373255 )
NEW met1 ( 1847760 1373255 ) ( 1892880 * )
NEW met1 ( 2755440 1373995 ) M1M2_PR
NEW met1 ( 1892880 1373255 ) M1M2_PR
NEW met1 ( 1893840 1374735 ) M1M2_PR
NEW met1 ( 1923600 1374735 ) M1M2_PR
NEW met1 ( 1923600 1373995 ) M1M2_PR
NEW met1 ( 1847760 1373255 ) M1M2_PR ;
- la_oen_user\[101\] ( mprj la_oen[101] ) ( mgmt_buffers la_oen_core[101] ) + USE SIGNAL
+ ROUTED met2 ( 2773680 1341435 ) ( * 1389350 0 )
NEW met1 ( 1849680 1354015 ) ( 1872240 * )
NEW met2 ( 1872240 1347725 ) ( * 1354015 )
NEW met1 ( 1872240 1347725 ) ( 1894800 * )
NEW met2 ( 1894800 1342175 ) ( * 1347725 )
NEW met2 ( 1848240 1325710 0 ) ( 1849680 * )
NEW met2 ( 1849680 1325710 ) ( * 1354015 )
NEW met2 ( 1942320 1340510 ) ( * 1342175 )
NEW met2 ( 1942320 1340510 ) ( 1944240 * )
NEW met2 ( 1944240 1340510 ) ( * 1341435 )
NEW met1 ( 1894800 1342175 ) ( 1942320 * )
NEW met1 ( 1944240 1341435 ) ( 2773680 * )
NEW met1 ( 2773680 1341435 ) M1M2_PR
NEW met1 ( 1849680 1354015 ) M1M2_PR
NEW met1 ( 1872240 1354015 ) M1M2_PR
NEW met1 ( 1872240 1347725 ) M1M2_PR
NEW met1 ( 1894800 1347725 ) M1M2_PR
NEW met1 ( 1894800 1342175 ) M1M2_PR
NEW met1 ( 1942320 1342175 ) M1M2_PR
NEW met1 ( 1944240 1341435 ) M1M2_PR ;
- la_oen_user\[102\] ( mprj la_oen[102] ) ( mgmt_buffers la_oen_core[102] ) + USE SIGNAL
+ ROUTED met2 ( 2791440 1374735 ) ( * 1389350 0 )
NEW met1 ( 1852080 1379915 ) ( 1924080 * )
NEW met2 ( 1924080 1374735 ) ( * 1379915 )
NEW met1 ( 1924080 1374735 ) ( 2791440 * )
NEW met2 ( 1850400 1325710 0 ) ( 1852080 * )
NEW met2 ( 1852080 1325710 ) ( * 1379915 )
NEW met1 ( 2791440 1374735 ) M1M2_PR
NEW met1 ( 1852080 1379915 ) M1M2_PR
NEW met1 ( 1924080 1379915 ) M1M2_PR
NEW met1 ( 1924080 1374735 ) M1M2_PR ;
- la_oen_user\[103\] ( mprj la_oen[103] ) ( mgmt_buffers la_oen_core[103] ) + USE SIGNAL
+ ROUTED met2 ( 2809200 1352905 ) ( * 1389350 0 )
NEW met1 ( 1854000 1342915 ) ( 1884240 * )
NEW met2 ( 1884240 1342915 ) ( * 1346430 )
NEW met2 ( 1884240 1346430 ) ( 1885200 * )
NEW met2 ( 1885200 1345690 ) ( * 1346430 )
NEW met2 ( 1885200 1345690 ) ( 1885680 * )
NEW met3 ( 1885680 1345690 ) ( 1896240 * )
NEW met2 ( 1896240 1345690 ) ( * 1349945 )
NEW met1 ( 1896240 1349945 ) ( 1934640 * )
NEW met2 ( 1934640 1349945 ) ( * 1353830 )
NEW met2 ( 1934640 1353830 ) ( 1937040 * )
NEW met2 ( 1937040 1352905 ) ( * 1353830 )
NEW met1 ( 1937040 1352905 ) ( 2809200 * )
NEW met2 ( 1852800 1325710 0 ) ( 1854000 * )
NEW met2 ( 1854000 1325710 ) ( * 1342915 )
NEW met1 ( 2809200 1352905 ) M1M2_PR
NEW met1 ( 1854000 1342915 ) M1M2_PR
NEW met1 ( 1884240 1342915 ) M1M2_PR
NEW met2 ( 1885680 1345690 ) via2_FR
NEW met2 ( 1896240 1345690 ) via2_FR
NEW met1 ( 1896240 1349945 ) M1M2_PR
NEW met1 ( 1934640 1349945 ) M1M2_PR
NEW met1 ( 1937040 1352905 ) M1M2_PR ;
- la_oen_user\[104\] ( mprj la_oen[104] ) ( mgmt_buffers la_oen_core[104] ) + USE SIGNAL
+ ROUTED met2 ( 2827440 1381765 ) ( * 1389350 0 )
NEW met1 ( 1854960 1381765 ) ( 1877520 * )
NEW met2 ( 1877520 1381765 ) ( * 1391385 )
NEW met1 ( 1877520 1391385 ) ( 1925520 * )
NEW met2 ( 1925520 1381765 ) ( * 1391385 )
NEW met1 ( 1925520 1381765 ) ( 2827440 * )
NEW met2 ( 1854960 1325710 0 ) ( * 1381765 )
NEW met1 ( 2827440 1381765 ) M1M2_PR
NEW met1 ( 1854960 1381765 ) M1M2_PR
NEW met1 ( 1877520 1381765 ) M1M2_PR
NEW met1 ( 1877520 1391385 ) M1M2_PR
NEW met1 ( 1925520 1391385 ) M1M2_PR
NEW met1 ( 1925520 1381765 ) M1M2_PR ;
- la_oen_user\[105\] ( mprj la_oen[105] ) ( mgmt_buffers la_oen_core[105] ) + USE SIGNAL
+ ROUTED met2 ( 2844720 1351425 ) ( * 1389350 0 )
NEW met1 ( 1856880 1344765 ) ( 1892400 * )
NEW met2 ( 1892400 1344765 ) ( 1893840 * )
NEW met2 ( 1893840 1344210 ) ( * 1344765 )
NEW met2 ( 1893840 1344210 ) ( 1894320 * )
NEW met2 ( 1894320 1342545 ) ( * 1344210 )
NEW met1 ( 1894320 1342545 ) ( 1936560 * )
NEW met2 ( 1936560 1342545 ) ( * 1342915 )
NEW met2 ( 1936560 1342915 ) ( 1937040 * )
NEW met2 ( 1937040 1342915 ) ( * 1351425 )
NEW met1 ( 1937040 1351425 ) ( 2844720 * )
NEW met2 ( 1856880 1325710 0 ) ( * 1344765 )
NEW met1 ( 2844720 1351425 ) M1M2_PR
NEW met1 ( 1856880 1344765 ) M1M2_PR
NEW met1 ( 1892400 1344765 ) M1M2_PR
NEW met1 ( 1894320 1342545 ) M1M2_PR
NEW met1 ( 1936560 1342545 ) M1M2_PR
NEW met1 ( 1937040 1351425 ) M1M2_PR ;
- la_oen_user\[106\] ( mprj la_oen[106] ) ( mgmt_buffers la_oen_core[106] ) + USE SIGNAL
+ ROUTED met1 ( 1859280 1376955 ) ( 1888560 * )
NEW met1 ( 1888560 1376585 ) ( * 1376955 )
NEW met1 ( 1888560 1376585 ) ( 1897200 * )
NEW met2 ( 1897200 1374550 ) ( * 1376585 )
NEW met3 ( 1897200 1374550 ) ( 1900080 * )
NEW met2 ( 1900080 1374550 ) ( 1900560 * )
NEW met2 ( 1900560 1374550 ) ( * 1375475 )
NEW met1 ( 1900560 1375475 ) ( 1902960 * )
NEW met2 ( 1902960 1375475 ) ( * 1381765 )
NEW met1 ( 1902960 1381765 ) ( 1925040 * )
NEW met1 ( 1925040 1381395 ) ( * 1381765 )
NEW met2 ( 2862480 1381395 ) ( * 1389350 0 )
NEW met1 ( 1925040 1381395 ) ( 2862480 * )
NEW met2 ( 1859280 1325710 0 ) ( * 1376955 )
NEW met1 ( 1859280 1376955 ) M1M2_PR
NEW met1 ( 1897200 1376585 ) M1M2_PR
NEW met2 ( 1897200 1374550 ) via2_FR
NEW met2 ( 1900080 1374550 ) via2_FR
NEW met1 ( 1900560 1375475 ) M1M2_PR
NEW met1 ( 1902960 1375475 ) M1M2_PR
NEW met1 ( 1902960 1381765 ) M1M2_PR
NEW met1 ( 2862480 1381395 ) M1M2_PR ;
- la_oen_user\[107\] ( mprj la_oen[107] ) ( mgmt_buffers la_oen_core[107] ) + USE SIGNAL
+ ROUTED met1 ( 1862640 1352905 ) ( 1936560 * )
NEW met2 ( 1936560 1351055 ) ( * 1352905 )
NEW met2 ( 2880720 1351055 ) ( * 1389350 0 )
NEW met1 ( 1936560 1351055 ) ( 2880720 * )
NEW met2 ( 1861440 1325710 0 ) ( 1862640 * )
NEW met2 ( 1862640 1325710 ) ( * 1352905 )
NEW met1 ( 1862640 1352905 ) M1M2_PR
NEW met1 ( 1936560 1352905 ) M1M2_PR
NEW met1 ( 1936560 1351055 ) M1M2_PR
NEW met1 ( 2880720 1351055 ) M1M2_PR ;
- la_oen_user\[108\] ( mprj la_oen[108] ) ( mgmt_buffers la_oen_core[108] ) + USE SIGNAL
+ ROUTED met1 ( 1864560 1376585 ) ( 1888080 * )
NEW met2 ( 1888080 1375475 ) ( * 1376585 )
NEW met1 ( 1888080 1375475 ) ( 1900080 * )
NEW met2 ( 1900080 1375475 ) ( * 1381395 )
NEW met1 ( 1900080 1381395 ) ( 1924560 * )
NEW met2 ( 1924560 1379915 ) ( * 1381395 )
NEW met2 ( 2898480 1379915 ) ( * 1389350 0 )
NEW met1 ( 1924560 1379915 ) ( 2898480 * )
NEW met2 ( 1863360 1325710 0 ) ( 1864560 * )
NEW met2 ( 1864560 1325710 ) ( * 1376585 )
NEW met1 ( 1864560 1376585 ) M1M2_PR
NEW met1 ( 1888080 1376585 ) M1M2_PR
NEW met1 ( 1888080 1375475 ) M1M2_PR
NEW met1 ( 1900080 1375475 ) M1M2_PR
NEW met1 ( 1900080 1381395 ) M1M2_PR
NEW met1 ( 1924560 1381395 ) M1M2_PR
NEW met1 ( 1924560 1379915 ) M1M2_PR
NEW met1 ( 2898480 1379915 ) M1M2_PR ;
- la_oen_user\[109\] ( mprj la_oen[109] ) ( mgmt_buffers la_oen_core[109] ) + USE SIGNAL
+ ROUTED met1 ( 1866960 1351425 ) ( 1936080 * )
NEW met2 ( 1936080 1350315 ) ( * 1351425 )
NEW met2 ( 2916240 1350315 ) ( * 1389350 0 )
NEW met1 ( 1936080 1350315 ) ( 2916240 * )
NEW met2 ( 1865760 1325710 0 ) ( 1866960 * )
NEW met2 ( 1866960 1325710 ) ( * 1351425 )
NEW met1 ( 1866960 1351425 ) M1M2_PR
NEW met1 ( 1936080 1351425 ) M1M2_PR
NEW met1 ( 1936080 1350315 ) M1M2_PR
NEW met1 ( 2916240 1350315 ) M1M2_PR ;
- la_oen_user\[10\] ( mprj la_oen[10] ) ( mgmt_buffers la_oen_core[10] ) + USE SIGNAL
+ ROUTED met2 ( 1150320 1331075 ) ( * 1389350 0 )
NEW met2 ( 1650000 1325710 0 ) ( * 1331075 )
NEW met1 ( 1150320 1331075 ) ( 1650000 * )
NEW met1 ( 1150320 1331075 ) M1M2_PR
NEW met1 ( 1650000 1331075 ) M1M2_PR ;
- la_oen_user\[110\] ( mprj la_oen[110] ) ( mgmt_buffers la_oen_core[110] ) + USE SIGNAL
+ ROUTED met1 ( 1867920 1351055 ) ( 1935600 * )
NEW met2 ( 1935600 1349945 ) ( * 1351055 )
NEW met2 ( 2934000 1349945 ) ( * 1389350 0 )
NEW met1 ( 1935600 1349945 ) ( 2934000 * )
NEW met2 ( 1867920 1325710 0 ) ( * 1351055 )
NEW met1 ( 1867920 1351055 ) M1M2_PR
NEW met1 ( 1935600 1351055 ) M1M2_PR
NEW met1 ( 1935600 1349945 ) M1M2_PR
NEW met1 ( 2934000 1349945 ) M1M2_PR ;
- la_oen_user\[111\] ( mprj la_oen[111] ) ( mgmt_buffers la_oen_core[111] ) + USE SIGNAL
+ ROUTED met1 ( 1870320 1349945 ) ( 1872720 * )
NEW met2 ( 1872720 1349945 ) ( * 1354015 )
NEW met2 ( 2951760 1356605 ) ( * 1389350 0 )
NEW met2 ( 1870320 1325710 0 ) ( * 1349945 )
NEW met2 ( 1902000 1354015 ) ( * 1356975 )
NEW met1 ( 1902000 1356975 ) ( 1916400 * )
NEW met1 ( 1916400 1356605 ) ( * 1356975 )
NEW met1 ( 1872720 1354015 ) ( 1902000 * )
NEW met1 ( 1916400 1356605 ) ( 2951760 * )
NEW met1 ( 1870320 1349945 ) M1M2_PR
NEW met1 ( 1872720 1349945 ) M1M2_PR
NEW met1 ( 1872720 1354015 ) M1M2_PR
NEW met1 ( 2951760 1356605 ) M1M2_PR
NEW met1 ( 1902000 1354015 ) M1M2_PR
NEW met1 ( 1902000 1356975 ) M1M2_PR ;
- la_oen_user\[112\] ( mprj la_oen[112] ) ( mgmt_buffers la_oen_core[112] ) + USE SIGNAL
+ ROUTED met2 ( 2970000 1356975 ) ( * 1389350 0 )
NEW met1 ( 1873680 1359935 ) ( 1892880 * )
NEW met2 ( 1892880 1354755 ) ( * 1359935 )
NEW met2 ( 1892880 1354755 ) ( 1893840 * )
NEW met2 ( 1893840 1354755 ) ( * 1355125 )
NEW met1 ( 1893840 1355125 ) ( 1921200 * )
NEW met2 ( 1921200 1355125 ) ( * 1356975 )
NEW met1 ( 1921200 1356975 ) ( 2970000 * )
NEW met2 ( 1872240 1325710 0 ) ( 1873680 * )
NEW met2 ( 1873680 1325710 ) ( * 1359935 )
NEW met1 ( 2970000 1356975 ) M1M2_PR
NEW met1 ( 1873680 1359935 ) M1M2_PR
NEW met1 ( 1892880 1359935 ) M1M2_PR
NEW met1 ( 1893840 1355125 ) M1M2_PR
NEW met1 ( 1921200 1355125 ) M1M2_PR
NEW met1 ( 1921200 1356975 ) M1M2_PR ;
- la_oen_user\[113\] ( mprj la_oen[113] ) ( mgmt_buffers la_oen_core[113] ) + USE SIGNAL
+ ROUTED met2 ( 2987760 1348465 ) ( * 1389350 0 )
NEW met2 ( 1874400 1325710 0 ) ( * 1326450 )
NEW met2 ( 1874400 1326450 ) ( 1874640 * )
NEW met2 ( 1874640 1326450 ) ( * 1349945 )
NEW met1 ( 1874640 1349945 ) ( 1895760 * )
NEW met2 ( 1895760 1348465 ) ( * 1349945 )
NEW met1 ( 1895760 1348465 ) ( 2987760 * )
NEW met1 ( 2987760 1348465 ) M1M2_PR
NEW met1 ( 1874640 1349945 ) M1M2_PR
NEW met1 ( 1895760 1349945 ) M1M2_PR
NEW met1 ( 1895760 1348465 ) M1M2_PR ;
- la_oen_user\[114\] ( mprj la_oen[114] ) ( mgmt_buffers la_oen_core[114] ) + USE SIGNAL
+ ROUTED met2 ( 3005520 1378435 ) ( * 1389350 0 )
NEW met1 ( 1878000 1381765 ) ( 1902480 * )
NEW met2 ( 1902480 1378435 ) ( * 1381765 )
NEW met1 ( 1902480 1378435 ) ( 3005520 * )
NEW met2 ( 1876800 1325710 0 ) ( 1878000 * )
NEW met2 ( 1878000 1325710 ) ( * 1381765 )
NEW met1 ( 3005520 1378435 ) M1M2_PR
NEW met1 ( 1878000 1381765 ) M1M2_PR
NEW met1 ( 1902480 1381765 ) M1M2_PR
NEW met1 ( 1902480 1378435 ) M1M2_PR ;
- la_oen_user\[115\] ( mprj la_oen[115] ) ( mgmt_buffers la_oen_core[115] ) + USE SIGNAL
+ ROUTED met2 ( 3023280 1347725 ) ( * 1389350 0 )
NEW met1 ( 1878960 1348465 ) ( 1895280 * )
NEW met2 ( 1895280 1347725 ) ( * 1348465 )
NEW met1 ( 1895280 1347725 ) ( 3023280 * )
NEW met2 ( 1878960 1325710 0 ) ( * 1348465 )
NEW met1 ( 3023280 1347725 ) M1M2_PR
NEW met1 ( 1878960 1348465 ) M1M2_PR
NEW met1 ( 1895280 1348465 ) M1M2_PR
NEW met1 ( 1895280 1347725 ) M1M2_PR ;
- la_oen_user\[116\] ( mprj la_oen[116] ) ( mgmt_buffers la_oen_core[116] ) + USE SIGNAL
+ ROUTED met2 ( 3041040 1336625 ) ( * 1389350 0 )
NEW met2 ( 1937520 1335885 ) ( * 1336625 )
NEW met1 ( 1937520 1336625 ) ( 3041040 * )
NEW met2 ( 1880880 1325710 0 ) ( 1882320 * )
NEW met2 ( 1882320 1325710 ) ( * 1327005 )
NEW met1 ( 1882320 1327005 ) ( 1886160 * )
NEW met2 ( 1886160 1327005 ) ( * 1335885 )
NEW met1 ( 1886160 1335885 ) ( 1937520 * )
NEW met1 ( 3041040 1336625 ) M1M2_PR
NEW met1 ( 1937520 1335885 ) M1M2_PR
NEW met1 ( 1937520 1336625 ) M1M2_PR
NEW met1 ( 1882320 1327005 ) M1M2_PR
NEW met1 ( 1886160 1327005 ) M1M2_PR
NEW met1 ( 1886160 1335885 ) M1M2_PR ;
- la_oen_user\[117\] ( mprj la_oen[117] ) ( mgmt_buffers la_oen_core[117] ) + USE SIGNAL
+ ROUTED met2 ( 3059280 1383245 ) ( * 1389350 0 )
NEW met2 ( 1892880 1382690 ) ( * 1385095 )
NEW met2 ( 1892880 1382690 ) ( 1893840 * )
NEW met2 ( 1893840 1382690 ) ( * 1383245 )
NEW met1 ( 1893840 1383245 ) ( 3059280 * )
NEW met2 ( 1882800 1382875 ) ( 1883280 * )
NEW met2 ( 1882800 1382875 ) ( * 1387130 )
NEW met2 ( 1882800 1387130 ) ( 1885200 * )
NEW met2 ( 1885200 1385095 ) ( * 1387130 )
NEW met2 ( 1883280 1325710 0 ) ( * 1382875 )
NEW met1 ( 1885200 1385095 ) ( 1892880 * )
NEW met1 ( 3059280 1383245 ) M1M2_PR
NEW met1 ( 1892880 1385095 ) M1M2_PR
NEW met1 ( 1893840 1383245 ) M1M2_PR
NEW met1 ( 1885200 1385095 ) M1M2_PR ;
- la_oen_user\[118\] ( mprj la_oen[118] ) ( mgmt_buffers la_oen_core[118] ) + USE SIGNAL
+ ROUTED met2 ( 3077040 1325525 ) ( * 1389350 0 )
NEW met2 ( 1886160 1324970 ) ( * 1325155 )
NEW met2 ( 1885440 1324970 0 ) ( 1886160 * )
NEW met1 ( 1965360 1324785 ) ( * 1325155 )
NEW met1 ( 1965360 1324785 ) ( 1966800 * )
NEW met2 ( 1966800 1324785 ) ( * 1325525 )
NEW met1 ( 1886160 1325155 ) ( 1965360 * )
NEW met1 ( 1966800 1325525 ) ( 3077040 * )
NEW met1 ( 3077040 1325525 ) M1M2_PR
NEW met1 ( 1886160 1325155 ) M1M2_PR
NEW met1 ( 1966800 1324785 ) M1M2_PR
NEW met1 ( 1966800 1325525 ) M1M2_PR ;
- la_oen_user\[119\] ( mprj la_oen[119] ) ( mgmt_buffers la_oen_core[119] ) + USE SIGNAL
+ ROUTED met2 ( 3094320 1359935 ) ( * 1389350 0 )
NEW met2 ( 1887840 1325710 0 ) ( 1889040 * )
NEW met2 ( 1889040 1325710 ) ( * 1358825 )
NEW met1 ( 1889040 1358825 ) ( 1893840 * )
NEW met2 ( 1893840 1358085 ) ( * 1358825 )
NEW met2 ( 1893840 1358085 ) ( 1896240 * )
NEW met2 ( 1896240 1358085 ) ( * 1362525 )
NEW met1 ( 1896240 1362525 ) ( 1959120 * )
NEW met1 ( 1959120 1362525 ) ( * 1362895 )
NEW met1 ( 1959120 1362895 ) ( 1984080 * )
NEW met2 ( 1984080 1359935 ) ( * 1362895 )
NEW met1 ( 1984080 1359935 ) ( 3094320 * )
NEW met1 ( 3094320 1359935 ) M1M2_PR
NEW met1 ( 1889040 1358825 ) M1M2_PR
NEW met1 ( 1893840 1358825 ) M1M2_PR
NEW met1 ( 1896240 1362525 ) M1M2_PR
NEW met1 ( 1984080 1362895 ) M1M2_PR
NEW met1 ( 1984080 1359935 ) M1M2_PR ;
- la_oen_user\[11\] ( mprj la_oen[11] ) ( mgmt_buffers la_oen_core[11] ) + USE SIGNAL
+ ROUTED met2 ( 1167600 1385465 ) ( * 1389350 0 )
NEW met1 ( 1167600 1385465 ) ( 1650960 * )
NEW met2 ( 1650960 1325710 ) ( 1652160 * 0 )
NEW met2 ( 1650960 1325710 ) ( * 1385465 )
NEW met1 ( 1167600 1385465 ) M1M2_PR
NEW met1 ( 1650960 1385465 ) M1M2_PR ;
- la_oen_user\[120\] ( mprj la_oen[120] ) ( mgmt_buffers la_oen_core[120] ) + USE SIGNAL
+ ROUTED met2 ( 3112560 1376955 ) ( * 1389350 0 )
NEW met2 ( 1889520 1325710 0 ) ( 1890480 * )
NEW met2 ( 1890480 1325710 ) ( * 1342175 )
NEW met2 ( 1890000 1342175 ) ( 1890480 * )
NEW met2 ( 1890000 1342175 ) ( * 1350870 )
NEW met2 ( 1889520 1350870 ) ( 1890000 * )
NEW met2 ( 1889520 1350870 ) ( * 1376955 )
NEW met1 ( 1889520 1376955 ) ( 3112560 * )
NEW met1 ( 3112560 1376955 ) M1M2_PR
NEW met1 ( 1889520 1376955 ) M1M2_PR ;
- la_oen_user\[121\] ( mprj la_oen[121] ) ( mgmt_buffers la_oen_core[121] ) + USE SIGNAL
+ ROUTED met2 ( 3130320 1341990 ) ( * 1389350 0 )
NEW met2 ( 2030640 1341990 ) ( * 1342545 )
NEW met1 ( 2018640 1342545 ) ( 2030640 * )
NEW met2 ( 2018640 1341990 ) ( * 1342545 )
NEW met3 ( 2030640 1341990 ) ( 3130320 * )
NEW met2 ( 1890960 1325710 ) ( 1891920 * 0 )
NEW met2 ( 1890960 1325710 ) ( * 1341990 )
NEW met3 ( 1890960 1341990 ) ( 1943280 * )
NEW met2 ( 1943280 1341990 ) ( * 1342915 )
NEW met2 ( 1943280 1342915 ) ( 1944720 * )
NEW met1 ( 1944720 1342915 ) ( 1947120 * )
NEW met2 ( 1947120 1341990 ) ( * 1342915 )
NEW met3 ( 1947120 1341990 ) ( 2018640 * )
NEW met2 ( 3130320 1341990 ) via2_FR
NEW met2 ( 2030640 1341990 ) via2_FR
NEW met1 ( 2030640 1342545 ) M1M2_PR
NEW met1 ( 2018640 1342545 ) M1M2_PR
NEW met2 ( 2018640 1341990 ) via2_FR
NEW met2 ( 1890960 1341990 ) via2_FR
NEW met2 ( 1943280 1341990 ) via2_FR
NEW met1 ( 1944720 1342915 ) M1M2_PR
NEW met1 ( 1947120 1342915 ) M1M2_PR
NEW met2 ( 1947120 1341990 ) via2_FR ;
- la_oen_user\[122\] ( mprj la_oen[122] ) ( mgmt_buffers la_oen_core[122] ) + USE SIGNAL
+ ROUTED met2 ( 3148560 1325155 ) ( * 1389350 0 )
NEW met2 ( 1995600 1325155 ) ( * 1328855 )
NEW met2 ( 1894320 1325710 0 ) ( * 1328855 )
NEW met1 ( 1894320 1328855 ) ( 1995600 * )
NEW met1 ( 1995600 1325155 ) ( 3148560 * )
NEW met1 ( 3148560 1325155 ) M1M2_PR
NEW met1 ( 1995600 1328855 ) M1M2_PR
NEW met1 ( 1995600 1325155 ) M1M2_PR
NEW met1 ( 1894320 1328855 ) M1M2_PR ;
- la_oen_user\[123\] ( mprj la_oen[123] ) ( mgmt_buffers la_oen_core[123] ) + USE SIGNAL
+ ROUTED met2 ( 3165840 1376585 ) ( * 1389350 0 )
NEW met1 ( 1897680 1376585 ) ( 3165840 * )
NEW met2 ( 1896480 1325710 0 ) ( 1897680 * )
NEW met2 ( 1897680 1325710 ) ( * 1376585 )
NEW met1 ( 3165840 1376585 ) M1M2_PR
NEW met1 ( 1897680 1376585 ) M1M2_PR ;
- la_oen_user\[124\] ( mprj la_oen[124] ) ( mgmt_buffers la_oen_core[124] ) + USE SIGNAL
+ ROUTED met2 ( 3183600 1382875 ) ( * 1389350 0 )
NEW met1 ( 1899120 1382875 ) ( 3183600 * )
NEW met2 ( 1898400 1325710 0 ) ( 1899120 * )
NEW met2 ( 1899120 1325710 ) ( * 1382875 )
NEW met1 ( 3183600 1382875 ) M1M2_PR
NEW met1 ( 1899120 1382875 ) M1M2_PR ;
- la_oen_user\[125\] ( mprj la_oen[125] ) ( mgmt_buffers la_oen_core[125] ) + USE SIGNAL
+ ROUTED met2 ( 3201840 1345690 ) ( * 1389350 0 )
NEW met3 ( 1900560 1345690 ) ( 3201840 * )
NEW met2 ( 1900560 1325710 0 ) ( * 1345690 )
NEW met2 ( 3201840 1345690 ) via2_FR
NEW met2 ( 1900560 1345690 ) via2_FR ;
- la_oen_user\[126\] ( mprj la_oen[126] ) ( mgmt_buffers la_oen_core[126] ) + USE SIGNAL
+ ROUTED met2 ( 3219600 1364375 ) ( * 1389350 0 )
NEW met1 ( 1902960 1364375 ) ( 3219600 * )
NEW met2 ( 1902960 1325710 0 ) ( * 1364375 )
NEW met1 ( 3219600 1364375 ) M1M2_PR
NEW met1 ( 1902960 1364375 ) M1M2_PR ;
- la_oen_user\[127\] ( mprj la_oen[127] ) ( mgmt_buffers la_oen_core[127] ) + USE SIGNAL
+ ROUTED met2 ( 3237840 1375475 ) ( * 1389350 0 )
NEW met1 ( 1904880 1354385 ) ( 1907280 * )
NEW met2 ( 1907280 1354385 ) ( * 1375475 )
NEW met1 ( 1907280 1375475 ) ( 3237840 * )
NEW met2 ( 1904880 1325710 0 ) ( * 1354385 )
NEW met1 ( 3237840 1375475 ) M1M2_PR
NEW met1 ( 1904880 1354385 ) M1M2_PR
NEW met1 ( 1907280 1354385 ) M1M2_PR
NEW met1 ( 1907280 1375475 ) M1M2_PR ;
- la_oen_user\[12\] ( mprj la_oen[12] ) ( mgmt_buffers la_oen_core[12] ) + USE SIGNAL
+ ROUTED met2 ( 1185840 1389350 0 ) ( 1187280 * )
NEW met2 ( 1187280 1332555 ) ( * 1389350 )
NEW met2 ( 1653360 1325710 ) ( 1654560 * 0 )
NEW met2 ( 1653360 1325710 ) ( * 1332555 )
NEW met1 ( 1187280 1332555 ) ( 1653360 * )
NEW met1 ( 1187280 1332555 ) M1M2_PR
NEW met1 ( 1653360 1332555 ) M1M2_PR ;
- la_oen_user\[13\] ( mprj la_oen[13] ) ( mgmt_buffers la_oen_core[13] ) + USE SIGNAL
+ ROUTED met2 ( 1203600 1386205 ) ( * 1389350 0 )
NEW met2 ( 1656720 1325710 0 ) ( * 1387315 )
NEW met2 ( 1454160 1386205 ) ( * 1389905 )
NEW met1 ( 1203600 1386205 ) ( 1454160 * )
NEW met2 ( 1547280 1384910 ) ( * 1389905 )
NEW met3 ( 1547280 1384910 ) ( 1591920 * )
NEW met2 ( 1591920 1384910 ) ( * 1387315 )
NEW met1 ( 1454160 1389905 ) ( 1547280 * )
NEW met1 ( 1591920 1387315 ) ( 1656720 * )
NEW met1 ( 1203600 1386205 ) M1M2_PR
NEW met1 ( 1656720 1387315 ) M1M2_PR
NEW met1 ( 1454160 1386205 ) M1M2_PR
NEW met1 ( 1454160 1389905 ) M1M2_PR
NEW met1 ( 1547280 1389905 ) M1M2_PR
NEW met2 ( 1547280 1384910 ) via2_FR
NEW met2 ( 1591920 1384910 ) via2_FR
NEW met1 ( 1591920 1387315 ) M1M2_PR ;
- la_oen_user\[14\] ( mprj la_oen[14] ) ( mgmt_buffers la_oen_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 1221360 1332925 ) ( * 1389350 0 )
NEW met2 ( 1658640 1325710 0 ) ( * 1332925 )
NEW met1 ( 1221360 1332925 ) ( 1658640 * )
NEW met1 ( 1221360 1332925 ) M1M2_PR
NEW met1 ( 1658640 1332925 ) M1M2_PR ;
- la_oen_user\[15\] ( mprj la_oen[15] ) ( mgmt_buffers la_oen_core[15] ) + USE SIGNAL
+ ROUTED met2 ( 1590480 1382875 ) ( * 1387315 )
NEW met2 ( 1540080 1382875 ) ( * 1387315 )
NEW met1 ( 1540080 1387315 ) ( 1590480 * )
NEW met1 ( 1590480 1382875 ) ( 1661040 * )
NEW met2 ( 1661040 1325710 0 ) ( * 1382875 )
NEW met2 ( 1239600 1386945 ) ( * 1389350 0 )
NEW met2 ( 1461360 1382875 ) ( * 1386945 )
NEW met1 ( 1239600 1386945 ) ( 1461360 * )
NEW met1 ( 1461360 1382875 ) ( 1540080 * )
NEW met1 ( 1590480 1387315 ) M1M2_PR
NEW met1 ( 1590480 1382875 ) M1M2_PR
NEW met1 ( 1540080 1382875 ) M1M2_PR
NEW met1 ( 1540080 1387315 ) M1M2_PR
NEW met1 ( 1661040 1382875 ) M1M2_PR
NEW met1 ( 1239600 1386945 ) M1M2_PR
NEW met1 ( 1461360 1386945 ) M1M2_PR
NEW met1 ( 1461360 1382875 ) M1M2_PR ;
- la_oen_user\[16\] ( mprj la_oen[16] ) ( mgmt_buffers la_oen_core[16] ) + USE SIGNAL
+ ROUTED met2 ( 1256880 1389350 0 ) ( 1258320 * )
NEW met2 ( 1258320 1334405 ) ( * 1389350 )
NEW met2 ( 1662000 1325710 ) ( 1663200 * 0 )
NEW met2 ( 1662000 1325710 ) ( * 1334405 )
NEW met1 ( 1258320 1334405 ) ( 1662000 * )
NEW met1 ( 1258320 1334405 ) M1M2_PR
NEW met1 ( 1662000 1334405 ) M1M2_PR ;
- la_oen_user\[17\] ( mprj la_oen[17] ) ( mgmt_buffers la_oen_core[17] ) + USE SIGNAL
+ ROUTED met2 ( 1274640 1383985 ) ( * 1389350 0 )
NEW met1 ( 1274640 1383985 ) ( 1663920 * )
NEW met2 ( 1663920 1325710 ) ( 1665120 * 0 )
NEW met2 ( 1663920 1325710 ) ( * 1383985 )
NEW met1 ( 1274640 1383985 ) M1M2_PR
NEW met1 ( 1663920 1383985 ) M1M2_PR ;
- la_oen_user\[18\] ( mprj la_oen[18] ) ( mgmt_buffers la_oen_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 1292880 1374735 ) ( * 1389350 0 )
NEW met1 ( 1292880 1374735 ) ( 1665840 * )
NEW met2 ( 1665840 1325710 ) ( 1667280 * 0 )
NEW met2 ( 1665840 1325710 ) ( * 1374735 )
NEW met1 ( 1292880 1374735 ) M1M2_PR
NEW met1 ( 1665840 1374735 ) M1M2_PR ;
- la_oen_user\[19\] ( mprj la_oen[19] ) ( mgmt_buffers la_oen_core[19] ) + USE SIGNAL
+ ROUTED met2 ( 1310640 1335515 ) ( * 1389350 0 )
NEW met2 ( 1547280 1325155 ) ( * 1335515 )
NEW met1 ( 1310640 1335515 ) ( 1547280 * )
NEW met2 ( 1668240 1324970 ) ( * 1325155 )
NEW met2 ( 1668240 1324970 ) ( 1669680 * 0 )
NEW met1 ( 1547280 1325155 ) ( 1668240 * )
NEW met1 ( 1310640 1335515 ) M1M2_PR
NEW met1 ( 1547280 1335515 ) M1M2_PR
NEW met1 ( 1547280 1325155 ) M1M2_PR
NEW met1 ( 1668240 1325155 ) M1M2_PR ;
- la_oen_user\[1\] ( mprj la_oen[1] ) ( mgmt_buffers la_oen_core[1] ) + USE SIGNAL
+ ROUTED met2 ( 990960 1390645 ) ( * 1390830 )
NEW met2 ( 989520 1390830 0 ) ( 990960 * )
NEW met2 ( 1629840 1325710 ) ( 1630560 * 0 )
NEW met2 ( 1629840 1325710 ) ( * 1369185 )
NEW met1 ( 1626480 1369185 ) ( 1629840 * )
NEW met2 ( 1626480 1369185 ) ( * 1390645 )
NEW met1 ( 990960 1390645 ) ( 1626480 * )
NEW met1 ( 990960 1390645 ) M1M2_PR
NEW met1 ( 1629840 1369185 ) M1M2_PR
NEW met1 ( 1626480 1369185 ) M1M2_PR
NEW met1 ( 1626480 1390645 ) M1M2_PR ;
- la_oen_user\[20\] ( mprj la_oen[20] ) ( mgmt_buffers la_oen_core[20] ) + USE SIGNAL
+ ROUTED met2 ( 1330320 1392310 ) ( * 1392495 )
NEW met2 ( 1328880 1392310 0 ) ( 1330320 * )
NEW met1 ( 1330320 1392495 ) ( 1672080 * )
NEW met2 ( 1672080 1325710 0 ) ( * 1392495 )
NEW met1 ( 1330320 1392495 ) M1M2_PR
NEW met1 ( 1672080 1392495 ) M1M2_PR ;
- la_oen_user\[21\] ( mprj la_oen[21] ) ( mgmt_buffers la_oen_core[21] ) + USE SIGNAL
+ ROUTED met2 ( 1346160 1373995 ) ( * 1389350 0 )
NEW met1 ( 1346160 1373995 ) ( 1672560 * )
NEW met2 ( 1672560 1325710 ) ( 1673760 * 0 )
NEW met2 ( 1672560 1325710 ) ( * 1373995 )
NEW met1 ( 1346160 1373995 ) M1M2_PR
NEW met1 ( 1672560 1373995 ) M1M2_PR ;
- la_oen_user\[22\] ( mprj la_oen[22] ) ( mgmt_buffers la_oen_core[22] ) + USE SIGNAL
+ ROUTED met2 ( 1363920 1342175 ) ( * 1389350 0 )
NEW met2 ( 1674960 1325710 ) ( 1676160 * 0 )
NEW met2 ( 1674960 1325710 ) ( * 1343655 )
NEW met1 ( 1583760 1341805 ) ( * 1342175 )
NEW met1 ( 1583760 1341805 ) ( 1591440 * )
NEW met2 ( 1591440 1341805 ) ( * 1343655 )
NEW met1 ( 1363920 1342175 ) ( 1583760 * )
NEW met1 ( 1591440 1343655 ) ( 1674960 * )
NEW met1 ( 1363920 1342175 ) M1M2_PR
NEW met1 ( 1674960 1343655 ) M1M2_PR
NEW met1 ( 1591440 1341805 ) M1M2_PR
NEW met1 ( 1591440 1343655 ) M1M2_PR ;
- la_oen_user\[23\] ( mprj la_oen[23] ) ( mgmt_buffers la_oen_core[23] ) + USE SIGNAL
+ ROUTED met2 ( 1382160 1371405 ) ( * 1389350 0 )
NEW met1 ( 1382160 1371405 ) ( 1678320 * )
NEW met2 ( 1678320 1325710 0 ) ( * 1371405 )
NEW met1 ( 1382160 1371405 ) M1M2_PR
NEW met1 ( 1678320 1371405 ) M1M2_PR ;
- la_oen_user\[24\] ( mprj la_oen[24] ) ( mgmt_buffers la_oen_core[24] ) + USE SIGNAL
+ ROUTED met1 ( 1585680 1340325 ) ( 1635120 * )
NEW met2 ( 1399920 1337735 ) ( * 1389350 0 )
NEW met2 ( 1585680 1337735 ) ( * 1340325 )
NEW met2 ( 1635120 1338475 ) ( * 1340325 )
NEW met1 ( 1399920 1337735 ) ( 1585680 * )
NEW met2 ( 1680720 1325710 0 ) ( * 1338475 )
NEW met1 ( 1635120 1338475 ) ( 1680720 * )
NEW met1 ( 1585680 1340325 ) M1M2_PR
NEW met1 ( 1635120 1340325 ) M1M2_PR
NEW met1 ( 1399920 1337735 ) M1M2_PR
NEW met1 ( 1635120 1338475 ) M1M2_PR
NEW met1 ( 1585680 1337735 ) M1M2_PR
NEW met1 ( 1680720 1338475 ) M1M2_PR ;
- la_oen_user\[25\] ( mprj la_oen[25] ) ( mgmt_buffers la_oen_core[25] ) + USE SIGNAL
+ ROUTED met2 ( 1417680 1341065 ) ( * 1389350 0 )
NEW met2 ( 1682640 1325710 0 ) ( * 1342175 )
NEW met2 ( 1582800 1341065 ) ( 1584720 * )
NEW met2 ( 1584720 1341065 ) ( * 1342175 )
NEW met1 ( 1417680 1341065 ) ( 1582800 * )
NEW met1 ( 1584720 1342175 ) ( 1682640 * )
NEW met1 ( 1417680 1341065 ) M1M2_PR
NEW met1 ( 1682640 1342175 ) M1M2_PR
NEW met1 ( 1582800 1341065 ) M1M2_PR
NEW met1 ( 1584720 1342175 ) M1M2_PR ;
- la_oen_user\[26\] ( mprj la_oen[26] ) ( mgmt_buffers la_oen_core[26] ) + USE SIGNAL
+ ROUTED met2 ( 1435440 1371035 ) ( * 1389350 0 )
NEW met2 ( 1684800 1325710 0 ) ( 1685520 * )
NEW met2 ( 1685520 1325525 ) ( * 1325710 )
NEW met1 ( 1685520 1325525 ) ( 1687920 * )
NEW met2 ( 1687920 1325525 ) ( * 1371035 )
NEW met1 ( 1435440 1371035 ) ( 1687920 * )
NEW met1 ( 1435440 1371035 ) M1M2_PR
NEW met1 ( 1685520 1325525 ) M1M2_PR
NEW met1 ( 1687920 1325525 ) M1M2_PR
NEW met1 ( 1687920 1371035 ) M1M2_PR ;
- la_oen_user\[27\] ( mprj la_oen[27] ) ( mgmt_buffers la_oen_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 1590000 1343655 ) ( * 1344765 )
NEW met2 ( 1453200 1343655 ) ( * 1389350 0 )
NEW met1 ( 1453200 1343655 ) ( 1590000 * )
NEW met1 ( 1590000 1344765 ) ( 1686480 * )
NEW met2 ( 1686480 1325710 ) ( 1687200 * 0 )
NEW met2 ( 1686480 1325710 ) ( * 1344765 )
NEW met1 ( 1590000 1343655 ) M1M2_PR
NEW met1 ( 1590000 1344765 ) M1M2_PR
NEW met1 ( 1453200 1343655 ) M1M2_PR
NEW met1 ( 1686480 1344765 ) M1M2_PR ;
- la_oen_user\[28\] ( mprj la_oen[28] ) ( mgmt_buffers la_oen_core[28] ) + USE SIGNAL
+ ROUTED met2 ( 1591920 1340695 ) ( * 1344395 )
NEW met2 ( 1472880 1340695 ) ( * 1389350 )
NEW met2 ( 1471440 1389350 0 ) ( 1472880 * )
NEW met1 ( 1472880 1340695 ) ( 1591920 * )
NEW met1 ( 1591920 1344395 ) ( 1689360 * )
NEW met2 ( 1689360 1325710 0 ) ( * 1344395 )
NEW met1 ( 1591920 1340695 ) M1M2_PR
NEW met1 ( 1591920 1344395 ) M1M2_PR
NEW met1 ( 1472880 1340695 ) M1M2_PR
NEW met1 ( 1689360 1344395 ) M1M2_PR ;
- la_oen_user\[29\] ( mprj la_oen[29] ) ( mgmt_buffers la_oen_core[29] ) + USE SIGNAL
+ ROUTED met2 ( 1489200 1373255 ) ( * 1389350 0 )
NEW met1 ( 1489200 1373255 ) ( 1689840 * )
NEW met2 ( 1689840 1325710 ) ( 1691280 * 0 )
NEW met2 ( 1689840 1325710 ) ( * 1373255 )
NEW met1 ( 1489200 1373255 ) M1M2_PR
NEW met1 ( 1689840 1373255 ) M1M2_PR ;
- la_oen_user\[2\] ( mprj la_oen[2] ) ( mgmt_buffers la_oen_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1007280 1325895 ) ( * 1389350 0 )
NEW met1 ( 1260240 1325525 ) ( * 1325895 )
NEW met2 ( 1411440 1325155 ) ( * 1325895 )
NEW met1 ( 1137360 1325155 ) ( * 1325895 )
NEW met1 ( 1137360 1325155 ) ( 1137840 * )
NEW met1 ( 1137840 1325155 ) ( * 1325525 )
NEW met1 ( 1007280 1325895 ) ( 1137360 * )
NEW met1 ( 1137840 1325525 ) ( 1260240 * )
NEW met2 ( 1310640 1325155 ) ( * 1325895 )
NEW met1 ( 1260240 1325895 ) ( 1310640 * )
NEW met1 ( 1310640 1325155 ) ( 1411440 * )
NEW met1 ( 1546800 1324785 ) ( * 1325895 )
NEW met1 ( 1411440 1325895 ) ( 1546800 * )
NEW met2 ( 1593840 1324785 ) ( * 1325895 )
NEW met1 ( 1593840 1325895 ) ( 1594800 * )
NEW met1 ( 1594800 1325895 ) ( * 1326265 )
NEW met1 ( 1594800 1326265 ) ( 1595760 * )
NEW met1 ( 1595760 1326265 ) ( * 1326635 )
NEW met1 ( 1595760 1326635 ) ( 1632480 * )
NEW met2 ( 1632480 1325710 0 ) ( * 1326635 )
NEW met1 ( 1546800 1324785 ) ( 1593840 * )
NEW met1 ( 1007280 1325895 ) M1M2_PR
NEW met1 ( 1411440 1325155 ) M1M2_PR
NEW met1 ( 1411440 1325895 ) M1M2_PR
NEW met1 ( 1310640 1325895 ) M1M2_PR
NEW met1 ( 1310640 1325155 ) M1M2_PR
NEW met1 ( 1593840 1324785 ) M1M2_PR
NEW met1 ( 1593840 1325895 ) M1M2_PR
NEW met1 ( 1632480 1326635 ) M1M2_PR ;
- la_oen_user\[30\] ( mprj la_oen[30] ) ( mgmt_buffers la_oen_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 1559760 1383615 ) ( * 1389535 )
NEW met2 ( 1507920 1389350 ) ( * 1389535 )
NEW met2 ( 1506480 1389350 0 ) ( 1507920 * )
NEW met1 ( 1507920 1389535 ) ( 1559760 * )
NEW met1 ( 1559760 1383615 ) ( 1693680 * )
NEW met2 ( 1693680 1325710 0 ) ( * 1383615 )
NEW met1 ( 1559760 1389535 ) M1M2_PR
NEW met1 ( 1559760 1383615 ) M1M2_PR
NEW met1 ( 1507920 1389535 ) M1M2_PR
NEW met1 ( 1693680 1383615 ) M1M2_PR ;
- la_oen_user\[31\] ( mprj la_oen[31] ) ( mgmt_buffers la_oen_core[31] ) + USE SIGNAL
+ ROUTED met2 ( 1524720 1370295 ) ( * 1389350 0 )
NEW met1 ( 1524720 1370295 ) ( 1694640 * )
NEW met2 ( 1694640 1325710 ) ( 1695840 * 0 )
NEW met2 ( 1694640 1325710 ) ( * 1370295 )
NEW met1 ( 1524720 1370295 ) M1M2_PR
NEW met1 ( 1694640 1370295 ) M1M2_PR ;
- la_oen_user\[32\] ( mprj la_oen[32] ) ( mgmt_buffers la_oen_core[32] ) + USE SIGNAL
+ ROUTED met2 ( 1542480 1359935 ) ( * 1389350 0 )
NEW met2 ( 1697040 1325710 ) ( 1698240 * 0 )
NEW met2 ( 1697040 1325710 ) ( * 1332555 )
NEW met1 ( 1657200 1332555 ) ( 1697040 * )
NEW met2 ( 1657200 1332555 ) ( * 1359935 )
NEW met1 ( 1542480 1359935 ) ( 1657200 * )
NEW met1 ( 1542480 1359935 ) M1M2_PR
NEW met1 ( 1697040 1332555 ) M1M2_PR
NEW met1 ( 1657200 1332555 ) M1M2_PR
NEW met1 ( 1657200 1359935 ) M1M2_PR ;
- la_oen_user\[33\] ( mprj la_oen[33] ) ( mgmt_buffers la_oen_core[33] ) + USE SIGNAL
+ ROUTED met2 ( 1562160 1389350 ) ( * 1389535 )
NEW met2 ( 1560720 1389350 0 ) ( 1562160 * )
NEW met1 ( 1562160 1389535 ) ( 1699920 * )
NEW met2 ( 1699920 1325710 ) ( 1700160 * 0 )
NEW met2 ( 1699920 1325710 ) ( * 1389535 )
NEW met1 ( 1562160 1389535 ) M1M2_PR
NEW met1 ( 1699920 1389535 ) M1M2_PR ;
- la_oen_user\[34\] ( mprj la_oen[34] ) ( mgmt_buffers la_oen_core[34] ) + USE SIGNAL
+ ROUTED met2 ( 1610160 1354385 ) ( * 1356235 )
NEW met1 ( 1578480 1356235 ) ( 1610160 * )
NEW met2 ( 1578480 1356235 ) ( * 1389350 0 )
NEW met1 ( 1610160 1354385 ) ( 1702320 * )
NEW met2 ( 1702320 1325710 0 ) ( * 1354385 )
NEW met1 ( 1610160 1354385 ) M1M2_PR
NEW met1 ( 1610160 1356235 ) M1M2_PR
NEW met1 ( 1578480 1356235 ) M1M2_PR
NEW met1 ( 1702320 1354385 ) M1M2_PR ;
- la_oen_user\[35\] ( mprj la_oen[35] ) ( mgmt_buffers la_oen_core[35] ) + USE SIGNAL
+ ROUTED met1 ( 1636560 1355125 ) ( * 1355495 )
NEW met1 ( 1613520 1355125 ) ( 1636560 * )
NEW met1 ( 1613520 1355125 ) ( * 1355495 )
NEW met1 ( 1596720 1355495 ) ( 1613520 * )
NEW met2 ( 1596720 1355495 ) ( * 1389350 )
NEW met2 ( 1595760 1389350 0 ) ( 1596720 * )
NEW met1 ( 1636560 1355495 ) ( 1704720 * )
NEW met2 ( 1704720 1325710 0 ) ( * 1355495 )
NEW met1 ( 1596720 1355495 ) M1M2_PR
NEW met1 ( 1704720 1355495 ) M1M2_PR ;
- la_oen_user\[36\] ( mprj la_oen[36] ) ( mgmt_buffers la_oen_core[36] ) + USE SIGNAL
+ ROUTED met2 ( 1706640 1325710 0 ) ( * 1369555 )
NEW met1 ( 1614000 1369555 ) ( 1706640 * )
NEW met2 ( 1614000 1369555 ) ( * 1389350 0 )
NEW met1 ( 1706640 1369555 ) M1M2_PR
NEW met1 ( 1614000 1369555 ) M1M2_PR ;
- la_oen_user\[37\] ( mprj la_oen[37] ) ( mgmt_buffers la_oen_core[37] ) + USE SIGNAL
+ ROUTED met2 ( 1631760 1356235 ) ( * 1389350 0 )
NEW met1 ( 1631760 1356235 ) ( 1707600 * )
NEW met2 ( 1707600 1325710 ) ( 1708800 * 0 )
NEW met2 ( 1707600 1325710 ) ( * 1356235 )
NEW met1 ( 1631760 1356235 ) M1M2_PR
NEW met1 ( 1707600 1356235 ) M1M2_PR ;
- la_oen_user\[38\] ( mprj la_oen[38] ) ( mgmt_buffers la_oen_core[38] ) + USE SIGNAL
+ ROUTED met1 ( 1650000 1356605 ) ( 1710000 * )
NEW met2 ( 1650000 1356605 ) ( * 1389350 0 )
NEW met2 ( 1710000 1325710 ) ( 1711200 * 0 )
NEW met2 ( 1710000 1325710 ) ( * 1356605 )
NEW met1 ( 1710000 1356605 ) M1M2_PR
NEW met1 ( 1650000 1356605 ) M1M2_PR ;
- la_oen_user\[39\] ( mprj la_oen[39] ) ( mgmt_buffers la_oen_core[39] ) + USE SIGNAL
+ ROUTED met1 ( 1667280 1356975 ) ( 1713360 * )
NEW met2 ( 1667280 1356975 ) ( * 1389350 0 )
NEW met2 ( 1713360 1325710 0 ) ( * 1356975 )
NEW met1 ( 1713360 1356975 ) M1M2_PR
NEW met1 ( 1667280 1356975 ) M1M2_PR ;
- la_oen_user\[3\] ( mprj la_oen[3] ) ( mgmt_buffers la_oen_core[3] ) + USE SIGNAL
+ ROUTED met2 ( 1025040 1338845 ) ( * 1389350 0 )
NEW met2 ( 1634640 1325710 0 ) ( * 1338475 )
NEW met1 ( 1595760 1338475 ) ( 1634640 * )
NEW met1 ( 1595760 1337735 ) ( * 1338475 )
NEW met1 ( 1586160 1337735 ) ( 1595760 * )
NEW met2 ( 1586160 1336070 ) ( * 1337735 )
NEW met2 ( 1583280 1336070 ) ( 1586160 * )
NEW met2 ( 1583280 1325895 ) ( * 1336070 )
NEW met1 ( 1560240 1325895 ) ( 1583280 * )
NEW met2 ( 1560240 1325895 ) ( * 1338845 )
NEW met1 ( 1037040 1338105 ) ( * 1338845 )
NEW met1 ( 1025040 1338845 ) ( 1037040 * )
NEW met2 ( 1259760 1338105 ) ( * 1338845 )
NEW met2 ( 1109040 1338105 ) ( * 1338845 )
NEW met1 ( 1037040 1338105 ) ( 1109040 * )
NEW met1 ( 1109040 1338845 ) ( 1259760 * )
NEW met2 ( 1309200 1336995 ) ( * 1338105 )
NEW met1 ( 1309200 1336995 ) ( 1346640 * )
NEW met2 ( 1346640 1336995 ) ( * 1338845 )
NEW met1 ( 1259760 1338105 ) ( 1309200 * )
NEW met1 ( 1346640 1338845 ) ( 1560240 * )
NEW met1 ( 1025040 1338845 ) M1M2_PR
NEW met1 ( 1634640 1338475 ) M1M2_PR
NEW met1 ( 1586160 1337735 ) M1M2_PR
NEW met1 ( 1583280 1325895 ) M1M2_PR
NEW met1 ( 1560240 1325895 ) M1M2_PR
NEW met1 ( 1560240 1338845 ) M1M2_PR
NEW met1 ( 1259760 1338845 ) M1M2_PR
NEW met1 ( 1259760 1338105 ) M1M2_PR
NEW met1 ( 1109040 1338105 ) M1M2_PR
NEW met1 ( 1109040 1338845 ) M1M2_PR
NEW met1 ( 1309200 1338105 ) M1M2_PR
NEW met1 ( 1309200 1336995 ) M1M2_PR
NEW met1 ( 1346640 1336995 ) M1M2_PR
NEW met1 ( 1346640 1338845 ) M1M2_PR ;
- la_oen_user\[40\] ( mprj la_oen[40] ) ( mgmt_buffers la_oen_core[40] ) + USE SIGNAL
+ ROUTED met1 ( 1685040 1359935 ) ( 1715280 * )
NEW met2 ( 1685040 1359935 ) ( * 1389350 0 )
NEW met2 ( 1715280 1325710 0 ) ( * 1359935 )
NEW met1 ( 1715280 1359935 ) M1M2_PR
NEW met1 ( 1685040 1359935 ) M1M2_PR ;
- la_oen_user\[41\] ( mprj la_oen[41] ) ( mgmt_buffers la_oen_core[41] ) + USE SIGNAL
+ ROUTED met1 ( 1703280 1367705 ) ( 1717680 * )
NEW met2 ( 1703280 1367705 ) ( * 1389350 0 )
NEW met2 ( 1717680 1325710 0 ) ( * 1367705 )
NEW met1 ( 1717680 1367705 ) M1M2_PR
NEW met1 ( 1703280 1367705 ) M1M2_PR ;
- la_oen_user\[42\] ( mprj la_oen[42] ) ( mgmt_buffers la_oen_core[42] ) + USE SIGNAL
+ ROUTED met2 ( 1719840 1325710 0 ) ( 1721040 * )
NEW met2 ( 1721040 1325710 ) ( * 1389350 0 ) ;
- la_oen_user\[43\] ( mprj la_oen[43] ) ( mgmt_buffers la_oen_core[43] ) + USE SIGNAL
+ ROUTED met1 ( 1723440 1367705 ) ( 1738800 * )
NEW met2 ( 1738800 1367705 ) ( * 1389350 0 )
NEW met2 ( 1722240 1325710 0 ) ( 1723440 * )
NEW met2 ( 1723440 1325710 ) ( * 1367705 )
NEW met1 ( 1723440 1367705 ) M1M2_PR
NEW met1 ( 1738800 1367705 ) M1M2_PR ;
- la_oen_user\[44\] ( mprj la_oen[44] ) ( mgmt_buffers la_oen_core[44] ) + USE SIGNAL
+ ROUTED met2 ( 1756560 1354385 ) ( * 1389350 0 )
NEW met1 ( 1723920 1354385 ) ( 1756560 * )
NEW met2 ( 1723920 1325710 0 ) ( * 1354385 )
NEW met1 ( 1756560 1354385 ) M1M2_PR
NEW met1 ( 1723920 1354385 ) M1M2_PR ;
- la_oen_user\[45\] ( mprj la_oen[45] ) ( mgmt_buffers la_oen_core[45] ) + USE SIGNAL
+ ROUTED met2 ( 1774320 1355495 ) ( * 1389350 0 )
NEW met1 ( 1726320 1355495 ) ( 1774320 * )
NEW met2 ( 1726320 1325710 0 ) ( * 1355495 )
NEW met1 ( 1774320 1355495 ) M1M2_PR
NEW met1 ( 1726320 1355495 ) M1M2_PR ;
- la_oen_user\[46\] ( mprj la_oen[46] ) ( mgmt_buffers la_oen_core[46] ) + USE SIGNAL
+ ROUTED met2 ( 1790640 1356235 ) ( * 1389350 )
NEW met2 ( 1790640 1389350 ) ( 1792080 * 0 )
NEW met1 ( 1728720 1356235 ) ( 1790640 * )
NEW met2 ( 1728720 1325710 0 ) ( * 1356235 )
NEW met1 ( 1790640 1356235 ) M1M2_PR
NEW met1 ( 1728720 1356235 ) M1M2_PR ;
- la_oen_user\[47\] ( mprj la_oen[47] ) ( mgmt_buffers la_oen_core[47] ) + USE SIGNAL
+ ROUTED met2 ( 1810320 1355865 ) ( * 1389350 0 )
NEW met1 ( 1732080 1355865 ) ( 1810320 * )
NEW met2 ( 1730880 1325710 0 ) ( 1732080 * )
NEW met2 ( 1732080 1325710 ) ( * 1355865 )
NEW met1 ( 1810320 1355865 ) M1M2_PR
NEW met1 ( 1732080 1355865 ) M1M2_PR ;
- la_oen_user\[48\] ( mprj la_oen[48] ) ( mgmt_buffers la_oen_core[48] ) + USE SIGNAL
+ ROUTED met2 ( 1828080 1381765 ) ( * 1389350 0 )
NEW met1 ( 1734000 1381765 ) ( 1828080 * )
NEW met2 ( 1732800 1325710 0 ) ( 1734000 * )
NEW met2 ( 1734000 1325710 ) ( * 1381765 )
NEW met1 ( 1828080 1381765 ) M1M2_PR
NEW met1 ( 1734000 1381765 ) M1M2_PR ;
- la_oen_user\[49\] ( mprj la_oen[49] ) ( mgmt_buffers la_oen_core[49] ) + USE SIGNAL
+ ROUTED met1 ( 1792560 1354015 ) ( * 1354385 )
NEW met1 ( 1739280 1354015 ) ( 1792560 * )
NEW met2 ( 1845840 1354385 ) ( * 1389350 0 )
NEW met1 ( 1792560 1354385 ) ( 1845840 * )
NEW met2 ( 1734960 1325710 0 ) ( 1736400 * )
NEW met2 ( 1736400 1325710 ) ( * 1331075 )
NEW met1 ( 1736400 1331075 ) ( 1739280 * )
NEW met2 ( 1739280 1331075 ) ( * 1354015 )
NEW met1 ( 1739280 1354015 ) M1M2_PR
NEW met1 ( 1845840 1354385 ) M1M2_PR
NEW met1 ( 1736400 1331075 ) M1M2_PR
NEW met1 ( 1739280 1331075 ) M1M2_PR ;
- la_oen_user\[4\] ( mprj la_oen[4] ) ( mgmt_buffers la_oen_core[4] ) + USE SIGNAL
+ ROUTED met2 ( 1637040 1325710 0 ) ( * 1386575 )
NEW met2 ( 1042800 1383245 ) ( * 1389350 0 )
NEW met2 ( 1548240 1383245 ) ( * 1384170 )
NEW met3 ( 1548240 1384170 ) ( 1592400 * )
NEW met2 ( 1592400 1384170 ) ( * 1386575 )
NEW met1 ( 1042800 1383245 ) ( 1548240 * )
NEW met1 ( 1592400 1386575 ) ( 1637040 * )
NEW met1 ( 1637040 1386575 ) M1M2_PR
NEW met1 ( 1042800 1383245 ) M1M2_PR
NEW met1 ( 1548240 1383245 ) M1M2_PR
NEW met2 ( 1548240 1384170 ) via2_FR
NEW met2 ( 1592400 1384170 ) via2_FR
NEW met1 ( 1592400 1386575 ) M1M2_PR ;
- la_oen_user\[50\] ( mprj la_oen[50] ) ( mgmt_buffers la_oen_core[50] ) + USE SIGNAL
+ ROUTED met2 ( 1863600 1356975 ) ( * 1389350 0 )
NEW met1 ( 1737360 1356975 ) ( 1863600 * )
NEW met2 ( 1737360 1325710 0 ) ( * 1356975 )
NEW met1 ( 1737360 1356975 ) M1M2_PR
NEW met1 ( 1863600 1356975 ) M1M2_PR ;
- la_oen_user\[51\] ( mprj la_oen[51] ) ( mgmt_buffers la_oen_core[51] ) + USE SIGNAL
+ ROUTED met2 ( 1872720 1359935 ) ( * 1360490 )
NEW met2 ( 1872720 1360490 ) ( 1874160 * )
NEW met2 ( 1874160 1360490 ) ( * 1364005 )
NEW met1 ( 1874160 1364005 ) ( 1881360 * )
NEW met2 ( 1881360 1364005 ) ( * 1389350 0 )
NEW met1 ( 1739760 1359935 ) ( 1872720 * )
NEW met2 ( 1739760 1325710 0 ) ( * 1359935 )
NEW met1 ( 1739760 1359935 ) M1M2_PR
NEW met1 ( 1872720 1359935 ) M1M2_PR
NEW met1 ( 1874160 1364005 ) M1M2_PR
NEW met1 ( 1881360 1364005 ) M1M2_PR ;
- la_oen_user\[52\] ( mprj la_oen[52] ) ( mgmt_buffers la_oen_core[52] ) + USE SIGNAL
+ ROUTED met2 ( 1741440 1325710 0 ) ( 1742160 * )
NEW met2 ( 1742160 1325710 ) ( * 1381395 )
NEW met2 ( 1897680 1381395 ) ( * 1390090 )
NEW met2 ( 1897680 1390090 ) ( 1899600 * 0 )
NEW met1 ( 1742160 1381395 ) ( 1897680 * )
NEW met1 ( 1742160 1381395 ) M1M2_PR
NEW met1 ( 1897680 1381395 ) M1M2_PR ;
- la_oen_user\[53\] ( mprj la_oen[53] ) ( mgmt_buffers la_oen_core[53] ) + USE SIGNAL
+ ROUTED met2 ( 1743840 1325710 0 ) ( 1744560 * )
NEW met2 ( 1744560 1325710 ) ( * 1383985 )
NEW met2 ( 1916880 1383985 ) ( * 1389350 0 )
NEW met1 ( 1744560 1383985 ) ( 1916880 * )
NEW met1 ( 1744560 1383985 ) M1M2_PR
NEW met1 ( 1916880 1383985 ) M1M2_PR ;
- la_oen_user\[54\] ( mprj la_oen[54] ) ( mgmt_buffers la_oen_core[54] ) + USE SIGNAL
+ ROUTED met2 ( 1745520 1361230 ) ( 1746000 * )
NEW met2 ( 1745520 1361230 ) ( * 1385465 )
NEW met2 ( 1746000 1325710 0 ) ( * 1361230 )
NEW met2 ( 1935120 1385465 ) ( * 1389350 0 )
NEW met1 ( 1745520 1385465 ) ( 1935120 * )
NEW met1 ( 1745520 1385465 ) M1M2_PR
NEW met1 ( 1935120 1385465 ) M1M2_PR ;
- la_oen_user\[55\] ( mprj la_oen[55] ) ( mgmt_buffers la_oen_core[55] ) + USE SIGNAL
+ ROUTED met2 ( 1747920 1325710 0 ) ( * 1334405 )
NEW met1 ( 1747920 1334405 ) ( 1787280 * )
NEW met2 ( 1787280 1334405 ) ( * 1338475 )
NEW met1 ( 1787280 1338475 ) ( 1839120 * )
NEW met2 ( 1839120 1337365 ) ( * 1338475 )
NEW met2 ( 1952880 1326635 ) ( * 1389350 0 )
NEW met2 ( 1843920 1328855 ) ( * 1337365 )
NEW met1 ( 1843920 1328855 ) ( 1893840 * )
NEW met2 ( 1893840 1326635 ) ( * 1328855 )
NEW met1 ( 1839120 1337365 ) ( 1843920 * )
NEW met1 ( 1893840 1326635 ) ( 1952880 * )
NEW met1 ( 1747920 1334405 ) M1M2_PR
NEW met1 ( 1787280 1334405 ) M1M2_PR
NEW met1 ( 1787280 1338475 ) M1M2_PR
NEW met1 ( 1839120 1338475 ) M1M2_PR
NEW met1 ( 1839120 1337365 ) M1M2_PR
NEW met1 ( 1952880 1326635 ) M1M2_PR
NEW met1 ( 1843920 1337365 ) M1M2_PR
NEW met1 ( 1843920 1328855 ) M1M2_PR
NEW met1 ( 1893840 1328855 ) M1M2_PR
NEW met1 ( 1893840 1326635 ) M1M2_PR ;
- la_oen_user\[56\] ( mprj la_oen[56] ) ( mgmt_buffers la_oen_core[56] ) + USE SIGNAL
+ ROUTED met2 ( 1969200 1392125 ) ( * 1392310 )
NEW met2 ( 1969200 1392310 ) ( 1970640 * 0 )
NEW met2 ( 1750320 1325710 0 ) ( 1751760 * )
NEW met2 ( 1751760 1325710 ) ( * 1392125 )
NEW met1 ( 1751760 1392125 ) ( 1969200 * )
NEW met1 ( 1751760 1392125 ) M1M2_PR
NEW met1 ( 1969200 1392125 ) M1M2_PR ;
- la_oen_user\[57\] ( mprj la_oen[57] ) ( mgmt_buffers la_oen_core[57] ) + USE SIGNAL
+ ROUTED met2 ( 1987440 1389350 ) ( 1988880 * 0 )
NEW met2 ( 1752480 1325710 0 ) ( 1753680 * )
NEW met2 ( 1753680 1325710 ) ( * 1328855 )
NEW met1 ( 1753680 1328855 ) ( 1842960 * )
NEW met2 ( 1842960 1328855 ) ( * 1338475 )
NEW met2 ( 1987440 1338105 ) ( * 1389350 )
NEW met1 ( 1893360 1338105 ) ( * 1338475 )
NEW met1 ( 1842960 1338475 ) ( 1893360 * )
NEW met1 ( 1893360 1338105 ) ( 1987440 * )
NEW met1 ( 1753680 1328855 ) M1M2_PR
NEW met1 ( 1842960 1328855 ) M1M2_PR
NEW met1 ( 1842960 1338475 ) M1M2_PR
NEW met1 ( 1987440 1338105 ) M1M2_PR ;
- la_oen_user\[58\] ( mprj la_oen[58] ) ( mgmt_buffers la_oen_core[58] ) + USE SIGNAL
+ ROUTED met2 ( 2006160 1382505 ) ( * 1389350 0 )
NEW met2 ( 1754880 1325710 0 ) ( 1755600 * )
NEW met2 ( 1755600 1325710 ) ( * 1382505 )
NEW met1 ( 1755600 1382505 ) ( 2006160 * )
NEW met1 ( 1755600 1382505 ) M1M2_PR
NEW met1 ( 2006160 1382505 ) M1M2_PR ;
- la_oen_user\[59\] ( mprj la_oen[59] ) ( mgmt_buffers la_oen_core[59] ) + USE SIGNAL
+ ROUTED met2 ( 2023440 1389350 ) ( 2023920 * 0 )
NEW met2 ( 1756560 1325710 0 ) ( * 1338105 )
NEW met2 ( 1987920 1337365 ) ( * 1338105 )
NEW met1 ( 1987920 1338105 ) ( 2023440 * )
NEW met2 ( 2023440 1338105 ) ( * 1389350 )
NEW met1 ( 1875600 1337365 ) ( * 1338105 )
NEW met1 ( 1756560 1338105 ) ( 1875600 * )
NEW met1 ( 1875600 1337365 ) ( 1987920 * )
NEW met1 ( 1756560 1338105 ) M1M2_PR
NEW met1 ( 1987920 1337365 ) M1M2_PR
NEW met1 ( 1987920 1338105 ) M1M2_PR
NEW met1 ( 2023440 1338105 ) M1M2_PR ;
- la_oen_user\[5\] ( mprj la_oen[5] ) ( mgmt_buffers la_oen_core[5] ) + USE SIGNAL
+ ROUTED met2 ( 1061040 1389350 0 ) ( 1062480 * )
NEW met2 ( 1062480 1328855 ) ( * 1389350 )
NEW met2 ( 1639440 1325710 0 ) ( * 1328855 )
NEW met2 ( 1594320 1328855 ) ( * 1329225 )
NEW met2 ( 1594320 1329225 ) ( 1594800 * )
NEW met2 ( 1594800 1328855 ) ( * 1329225 )
NEW met1 ( 1062480 1328855 ) ( 1594320 * )
NEW met1 ( 1594800 1328855 ) ( 1639440 * )
NEW met1 ( 1062480 1328855 ) M1M2_PR
NEW met1 ( 1639440 1328855 ) M1M2_PR
NEW met1 ( 1594320 1328855 ) M1M2_PR
NEW met1 ( 1594800 1328855 ) M1M2_PR ;
- la_oen_user\[60\] ( mprj la_oen[60] ) ( mgmt_buffers la_oen_core[60] ) + USE SIGNAL
+ ROUTED met1 ( 1987440 1339215 ) ( * 1339585 )
NEW met1 ( 1987440 1339215 ) ( 2042160 * )
NEW met2 ( 2042160 1339215 ) ( * 1389350 0 )
NEW met2 ( 1758960 1325710 0 ) ( * 1344395 )
NEW met1 ( 1892880 1344395 ) ( * 1344765 )
NEW met1 ( 1892880 1344765 ) ( 1927920 * )
NEW met2 ( 1927920 1339585 ) ( * 1344765 )
NEW met1 ( 1758960 1344395 ) ( 1892880 * )
NEW met1 ( 1927920 1339585 ) ( 1987440 * )
NEW met1 ( 1758960 1344395 ) M1M2_PR
NEW met1 ( 2042160 1339215 ) M1M2_PR
NEW met1 ( 1927920 1344765 ) M1M2_PR
NEW met1 ( 1927920 1339585 ) M1M2_PR ;
- la_oen_user\[61\] ( mprj la_oen[61] ) ( mgmt_buffers la_oen_core[61] ) + USE SIGNAL
+ ROUTED met2 ( 1761360 1325710 0 ) ( * 1371035 )
NEW met2 ( 1862160 1368075 ) ( * 1371035 )
NEW met1 ( 1761360 1371035 ) ( 1862160 * )
NEW met2 ( 2059920 1368075 ) ( * 1389350 0 )
NEW met1 ( 1862160 1368075 ) ( 2059920 * )
NEW met1 ( 1761360 1371035 ) M1M2_PR
NEW met1 ( 1862160 1371035 ) M1M2_PR
NEW met1 ( 1862160 1368075 ) M1M2_PR
NEW met1 ( 2059920 1368075 ) M1M2_PR ;
- la_oen_user\[62\] ( mprj la_oen[62] ) ( mgmt_buffers la_oen_core[62] ) + USE SIGNAL
+ ROUTED met1 ( 1764720 1387315 ) ( 1787280 * )
NEW met2 ( 1787280 1387315 ) ( * 1389905 )
NEW met2 ( 1763520 1325710 0 ) ( 1764720 * )
NEW met2 ( 1764720 1325710 ) ( * 1387315 )
NEW met2 ( 2076720 1389905 ) ( * 1390090 )
NEW met2 ( 2076720 1390090 ) ( 2078160 * 0 )
NEW met1 ( 1787280 1389905 ) ( 2076720 * )
NEW met1 ( 1764720 1387315 ) M1M2_PR
NEW met1 ( 1787280 1387315 ) M1M2_PR
NEW met1 ( 1787280 1389905 ) M1M2_PR
NEW met1 ( 2076720 1389905 ) M1M2_PR ;
- la_oen_user\[63\] ( mprj la_oen[63] ) ( mgmt_buffers la_oen_core[63] ) + USE SIGNAL
+ ROUTED met2 ( 1765440 1325710 0 ) ( 1766640 * )
NEW met2 ( 1766640 1325710 ) ( * 1337735 )
NEW met2 ( 2095440 1337735 ) ( * 1389350 0 )
NEW met2 ( 1875120 1337735 ) ( * 1338475 )
NEW met2 ( 1875120 1338475 ) ( 1877040 * )
NEW met2 ( 1877040 1337735 ) ( * 1338475 )
NEW met1 ( 1766640 1337735 ) ( 1875120 * )
NEW met1 ( 1877040 1337735 ) ( 2095440 * )
NEW met1 ( 1766640 1337735 ) M1M2_PR
NEW met1 ( 2095440 1337735 ) M1M2_PR
NEW met1 ( 1875120 1337735 ) M1M2_PR
NEW met1 ( 1877040 1337735 ) M1M2_PR ;
- la_oen_user\[64\] ( mprj la_oen[64] ) ( mgmt_buffers la_oen_core[64] ) + USE SIGNAL
+ ROUTED met1 ( 1767600 1389905 ) ( 1786800 * )
NEW met1 ( 1786800 1389535 ) ( * 1389905 )
NEW met2 ( 1767600 1325710 0 ) ( * 1389905 )
NEW met2 ( 2111760 1389350 ) ( * 1389535 )
NEW met2 ( 2111760 1389350 ) ( 2113200 * 0 )
NEW met1 ( 1786800 1389535 ) ( 2111760 * )
NEW met1 ( 1767600 1389905 ) M1M2_PR
NEW met1 ( 2111760 1389535 ) M1M2_PR ;
- la_oen_user\[65\] ( mprj la_oen[65] ) ( mgmt_buffers la_oen_core[65] ) + USE SIGNAL
+ ROUTED met2 ( 1770000 1325710 0 ) ( * 1340325 )
NEW met2 ( 2131440 1340325 ) ( * 1389350 0 )
NEW met1 ( 1770000 1340325 ) ( 2131440 * )
NEW met1 ( 1770000 1340325 ) M1M2_PR
NEW met1 ( 2131440 1340325 ) M1M2_PR ;
- la_oen_user\[66\] ( mprj la_oen[66] ) ( mgmt_buffers la_oen_core[66] ) + USE SIGNAL
+ ROUTED met2 ( 2149200 1368445 ) ( * 1389350 0 )
NEW met2 ( 1772400 1325710 0 ) ( * 1368445 )
NEW met1 ( 1772400 1368445 ) ( 2149200 * )
NEW met1 ( 1772400 1368445 ) M1M2_PR
NEW met1 ( 2149200 1368445 ) M1M2_PR ;
- la_oen_user\[67\] ( mprj la_oen[67] ) ( mgmt_buffers la_oen_core[67] ) + USE SIGNAL
+ ROUTED met2 ( 1774080 1325710 0 ) ( 1775280 * )
NEW met2 ( 1775280 1325710 ) ( * 1337365 )
NEW met2 ( 2166960 1336995 ) ( * 1389350 0 )
NEW met1 ( 1835760 1336995 ) ( * 1337365 )
NEW met1 ( 1775280 1337365 ) ( 1835760 * )
NEW met1 ( 1835760 1336995 ) ( 2166960 * )
NEW met1 ( 1775280 1337365 ) M1M2_PR
NEW met1 ( 2166960 1336995 ) M1M2_PR ;
- la_oen_user\[68\] ( mprj la_oen[68] ) ( mgmt_buffers la_oen_core[68] ) + USE SIGNAL
+ ROUTED met1 ( 1777680 1389535 ) ( 1786320 * )
NEW met1 ( 1786320 1389165 ) ( * 1389535 )
NEW met2 ( 2183280 1389165 ) ( * 1389350 )
NEW met2 ( 2183280 1389350 ) ( 2184720 * 0 )
NEW met2 ( 1776480 1325710 0 ) ( 1777680 * )
NEW met2 ( 1777680 1325710 ) ( * 1389535 )
NEW met1 ( 1786320 1389165 ) ( 2183280 * )
NEW met1 ( 1777680 1389535 ) M1M2_PR
NEW met1 ( 2183280 1389165 ) M1M2_PR ;
- la_oen_user\[69\] ( mprj la_oen[69] ) ( mgmt_buffers la_oen_core[69] ) + USE SIGNAL
+ ROUTED met2 ( 2202480 1340695 ) ( * 1389350 0 )
NEW met2 ( 1778640 1325710 0 ) ( * 1340695 )
NEW met1 ( 1778640 1340695 ) ( 2202480 * )
NEW met1 ( 1778640 1340695 ) M1M2_PR
NEW met1 ( 2202480 1340695 ) M1M2_PR ;
- la_oen_user\[6\] ( mprj la_oen[6] ) ( mgmt_buffers la_oen_core[6] ) + USE SIGNAL
+ ROUTED met2 ( 1559280 1383615 ) ( * 1389905 )
NEW met2 ( 1078800 1383615 ) ( * 1389350 0 )
NEW met1 ( 1078800 1383615 ) ( 1559280 * )
NEW met2 ( 1641120 1325710 0 ) ( 1641360 * )
NEW met2 ( 1641360 1325710 ) ( * 1326450 )
NEW met2 ( 1641360 1326450 ) ( 1641840 * )
NEW met2 ( 1641840 1326450 ) ( * 1338845 )
NEW met2 ( 1640880 1338845 ) ( 1641840 * )
NEW met2 ( 1640880 1338845 ) ( * 1389905 )
NEW met1 ( 1559280 1389905 ) ( 1640880 * )
NEW met1 ( 1559280 1383615 ) M1M2_PR
NEW met1 ( 1559280 1389905 ) M1M2_PR
NEW met1 ( 1078800 1383615 ) M1M2_PR
NEW met1 ( 1640880 1389905 ) M1M2_PR ;
- la_oen_user\[70\] ( mprj la_oen[70] ) ( mgmt_buffers la_oen_core[70] ) + USE SIGNAL
+ ROUTED met2 ( 2220720 1369185 ) ( * 1389350 0 )
NEW met2 ( 1781040 1325710 0 ) ( * 1369185 )
NEW met1 ( 1781040 1369185 ) ( 2220720 * )
NEW met1 ( 2220720 1369185 ) M1M2_PR
NEW met1 ( 1781040 1369185 ) M1M2_PR ;
- la_oen_user\[71\] ( mprj la_oen[71] ) ( mgmt_buffers la_oen_core[71] ) + USE SIGNAL
+ ROUTED met2 ( 1782960 1325710 0 ) ( * 1336255 )
NEW met2 ( 2238480 1336255 ) ( * 1389350 0 )
NEW met2 ( 1845360 1336255 ) ( * 1338105 )
NEW met2 ( 1845360 1338105 ) ( 1846800 * )
NEW met2 ( 1846800 1336255 ) ( * 1338105 )
NEW met1 ( 1782960 1336255 ) ( 1845360 * )
NEW met1 ( 1846800 1336255 ) ( 2238480 * )
NEW met1 ( 1782960 1336255 ) M1M2_PR
NEW met1 ( 2238480 1336255 ) M1M2_PR
NEW met1 ( 1845360 1336255 ) M1M2_PR
NEW met1 ( 1846800 1336255 ) M1M2_PR ;
- la_oen_user\[72\] ( mprj la_oen[72] ) ( mgmt_buffers la_oen_core[72] ) + USE SIGNAL
+ ROUTED met2 ( 1784400 1364190 ) ( 1784880 * )
NEW met2 ( 1784400 1364190 ) ( * 1389165 )
NEW met1 ( 1784400 1389165 ) ( 1785840 * )
NEW met1 ( 1785840 1388795 ) ( * 1389165 )
NEW met2 ( 1785120 1325710 0 ) ( * 1327190 )
NEW met2 ( 1784880 1327190 ) ( 1785120 * )
NEW met2 ( 1784880 1327190 ) ( * 1364190 )
NEW met2 ( 2254320 1388795 ) ( * 1389350 )
NEW met2 ( 2254320 1389350 ) ( 2255760 * 0 )
NEW met1 ( 1785840 1388795 ) ( 2254320 * )
NEW met1 ( 1784400 1389165 ) M1M2_PR
NEW met1 ( 2254320 1388795 ) M1M2_PR ;
- la_oen_user\[73\] ( mprj la_oen[73] ) ( mgmt_buffers la_oen_core[73] ) + USE SIGNAL
+ ROUTED met2 ( 1787520 1325710 0 ) ( 1788720 * )
NEW met2 ( 1788720 1325710 ) ( * 1355495 )
NEW met2 ( 1857360 1352535 ) ( * 1355495 )
NEW met1 ( 1788720 1355495 ) ( 1857360 * )
NEW met2 ( 2274000 1352535 ) ( * 1389350 0 )
NEW met1 ( 1857360 1352535 ) ( 2274000 * )
NEW met1 ( 1788720 1355495 ) M1M2_PR
NEW met1 ( 1857360 1355495 ) M1M2_PR
NEW met1 ( 1857360 1352535 ) M1M2_PR
NEW met1 ( 2274000 1352535 ) M1M2_PR ;
- la_oen_user\[74\] ( mprj la_oen[74] ) ( mgmt_buffers la_oen_core[74] ) + USE SIGNAL
+ ROUTED met2 ( 1789200 1325710 0 ) ( * 1331075 )
NEW met2 ( 1879920 1331075 ) ( * 1335515 )
NEW met1 ( 1789200 1331075 ) ( 1879920 * )
NEW met1 ( 1879920 1335515 ) ( 2291760 * )
NEW met2 ( 2291760 1335515 ) ( * 1389350 0 )
NEW met1 ( 1789200 1331075 ) M1M2_PR
NEW met1 ( 1879920 1331075 ) M1M2_PR
NEW met1 ( 1879920 1335515 ) M1M2_PR
NEW met1 ( 2291760 1335515 ) M1M2_PR ;
- la_oen_user\[75\] ( mprj la_oen[75] ) ( mgmt_buffers la_oen_core[75] ) + USE SIGNAL
+ ROUTED met2 ( 1793040 1341990 ) ( 1793520 * )
NEW met2 ( 1793520 1341990 ) ( * 1348650 )
NEW met2 ( 1793520 1348650 ) ( 1794000 * )
NEW met2 ( 1794000 1348650 ) ( * 1371405 )
NEW met2 ( 1791600 1325710 0 ) ( 1793040 * )
NEW met2 ( 1793040 1325710 ) ( * 1341990 )
NEW met1 ( 1862160 1371405 ) ( * 1371775 )
NEW met1 ( 1862160 1371775 ) ( 1893840 * )
NEW met2 ( 1893840 1369555 ) ( * 1371775 )
NEW met1 ( 1794000 1371405 ) ( 1862160 * )
NEW met2 ( 2310000 1369555 ) ( * 1389350 0 )
NEW met1 ( 1893840 1369555 ) ( 2310000 * )
NEW met1 ( 1794000 1371405 ) M1M2_PR
NEW met1 ( 1893840 1371775 ) M1M2_PR
NEW met1 ( 1893840 1369555 ) M1M2_PR
NEW met1 ( 2310000 1369555 ) M1M2_PR ;
- la_oen_user\[76\] ( mprj la_oen[76] ) ( mgmt_buffers la_oen_core[76] ) + USE SIGNAL
+ ROUTED met2 ( 1794000 1341250 ) ( 1794480 * )
NEW met2 ( 1794480 1341250 ) ( * 1388425 )
NEW met2 ( 1794000 1325710 0 ) ( * 1341250 )
NEW met2 ( 2327760 1388425 ) ( * 1389350 0 )
NEW met1 ( 1794480 1388425 ) ( 2327760 * )
NEW met1 ( 1794480 1388425 ) M1M2_PR
NEW met1 ( 2327760 1388425 ) M1M2_PR ;
- la_oen_user\[77\] ( mprj la_oen[77] ) ( mgmt_buffers la_oen_core[77] ) + USE SIGNAL
+ ROUTED met2 ( 1796160 1325710 0 ) ( 1797360 * )
NEW met2 ( 1797360 1325710 ) ( * 1341065 )
NEW met2 ( 2345040 1341065 ) ( * 1389350 0 )
NEW met1 ( 1797360 1341065 ) ( 2345040 * )
NEW met1 ( 1797360 1341065 ) M1M2_PR
NEW met1 ( 2345040 1341065 ) M1M2_PR ;
- la_oen_user\[78\] ( mprj la_oen[78] ) ( mgmt_buffers la_oen_core[78] ) + USE SIGNAL
+ ROUTED met2 ( 1798080 1325710 0 ) ( 1799280 * )
NEW met2 ( 1799280 1325710 ) ( * 1334405 )
NEW met2 ( 2363280 1334405 ) ( * 1389350 0 )
NEW met1 ( 1799280 1334405 ) ( 2363280 * )
NEW met1 ( 1799280 1334405 ) M1M2_PR
NEW met1 ( 2363280 1334405 ) M1M2_PR ;
- la_oen_user\[79\] ( mprj la_oen[79] ) ( mgmt_buffers la_oen_core[79] ) + USE SIGNAL
+ ROUTED met2 ( 2381040 1370295 ) ( * 1389350 0 )
NEW met2 ( 1800240 1325710 0 ) ( * 1369555 )
NEW met1 ( 1893360 1369555 ) ( * 1369925 )
NEW met1 ( 1800240 1369555 ) ( 1893360 * )
NEW met2 ( 1915440 1369925 ) ( * 1370110 )
NEW met2 ( 1915440 1370110 ) ( 1915920 * )
NEW met3 ( 1915920 1370110 ) ( 1943280 * )
NEW met2 ( 1943280 1370110 ) ( * 1370295 )
NEW met2 ( 1943280 1370295 ) ( 1944720 * )
NEW met1 ( 1893360 1369925 ) ( 1915440 * )
NEW met1 ( 1944720 1370295 ) ( 2381040 * )
NEW met1 ( 1800240 1369555 ) M1M2_PR
NEW met1 ( 2381040 1370295 ) M1M2_PR
NEW met1 ( 1915440 1369925 ) M1M2_PR
NEW met2 ( 1915920 1370110 ) via2_FR
NEW met2 ( 1943280 1370110 ) via2_FR
NEW met1 ( 1944720 1370295 ) M1M2_PR ;
- la_oen_user\[7\] ( mprj la_oen[7] ) ( mgmt_buffers la_oen_core[7] ) + USE SIGNAL
+ ROUTED met2 ( 1591440 1335515 ) ( * 1338475 )
NEW met2 ( 1096560 1389350 0 ) ( 1098000 * )
NEW met2 ( 1098000 1338475 ) ( * 1389350 )
NEW met2 ( 1642800 1325710 ) ( 1643520 * 0 )
NEW met2 ( 1642800 1325710 ) ( * 1335515 )
NEW met1 ( 1591440 1335515 ) ( 1642800 * )
NEW met1 ( 1136160 1336995 ) ( * 1338475 )
NEW met1 ( 1136160 1336995 ) ( 1209360 * )
NEW met2 ( 1209360 1336995 ) ( * 1337735 )
NEW met1 ( 1098000 1338475 ) ( 1136160 * )
NEW met1 ( 1310640 1337735 ) ( * 1338475 )
NEW met1 ( 1209360 1337735 ) ( 1310640 * )
NEW met1 ( 1310640 1338475 ) ( 1591440 * )
NEW met1 ( 1591440 1338475 ) M1M2_PR
NEW met1 ( 1591440 1335515 ) M1M2_PR
NEW met1 ( 1098000 1338475 ) M1M2_PR
NEW met1 ( 1642800 1335515 ) M1M2_PR
NEW met1 ( 1209360 1336995 ) M1M2_PR
NEW met1 ( 1209360 1337735 ) M1M2_PR ;
- la_oen_user\[80\] ( mprj la_oen[80] ) ( mgmt_buffers la_oen_core[80] ) + USE SIGNAL
+ ROUTED met2 ( 2398800 1370665 ) ( * 1389350 0 )
NEW met2 ( 1802640 1325710 0 ) ( * 1370295 )
NEW met2 ( 1893360 1368815 ) ( * 1370295 )
NEW met2 ( 1893360 1368815 ) ( 1894320 * )
NEW met2 ( 1894320 1368815 ) ( * 1370665 )
NEW met1 ( 1802640 1370295 ) ( 1893360 * )
NEW met1 ( 1894320 1370665 ) ( 2398800 * )
NEW met1 ( 1802640 1370295 ) M1M2_PR
NEW met1 ( 2398800 1370665 ) M1M2_PR
NEW met1 ( 1893360 1370295 ) M1M2_PR
NEW met1 ( 1894320 1370665 ) M1M2_PR ;
- la_oen_user\[81\] ( mprj la_oen[81] ) ( mgmt_buffers la_oen_core[81] ) + USE SIGNAL
+ ROUTED met2 ( 2416560 1341805 ) ( * 1389350 0 )
NEW met2 ( 1805040 1325710 0 ) ( * 1341805 )
NEW met1 ( 1805040 1341805 ) ( 2416560 * )
NEW met1 ( 1805040 1341805 ) M1M2_PR
NEW met1 ( 2416560 1341805 ) M1M2_PR ;
- la_oen_user\[82\] ( mprj la_oen[82] ) ( mgmt_buffers la_oen_core[82] ) + USE SIGNAL
+ ROUTED met2 ( 2434320 1387315 ) ( * 1389350 0 )
NEW met2 ( 1806720 1325710 0 ) ( 1807920 * )
NEW met2 ( 1807920 1325710 ) ( * 1387315 )
NEW met1 ( 1807920 1387315 ) ( 2434320 * )
NEW met1 ( 1807920 1387315 ) M1M2_PR
NEW met1 ( 2434320 1387315 ) M1M2_PR ;
- la_oen_user\[83\] ( mprj la_oen[83] ) ( mgmt_buffers la_oen_core[83] ) + USE SIGNAL
+ ROUTED met2 ( 1809120 1325710 0 ) ( 1810320 * )
NEW met2 ( 1810320 1325710 ) ( * 1354015 )
NEW met2 ( 2451120 1392310 ) ( * 1392495 )
NEW met2 ( 2451120 1392310 ) ( 2452560 * 0 )
NEW met2 ( 1847280 1353090 ) ( * 1354015 )
NEW met3 ( 1847280 1353090 ) ( 1847520 * )
NEW met4 ( 1847520 1350315 ) ( * 1353090 )
NEW met5 ( 1847520 1350315 ) ( 1920480 * )
NEW met4 ( 1920480 1350315 ) ( * 1366410 )
NEW met3 ( 1920480 1366410 ) ( 1941840 * )
NEW met2 ( 1941840 1366410 ) ( * 1392495 )
NEW met1 ( 1810320 1354015 ) ( 1847280 * )
NEW met1 ( 1941840 1392495 ) ( 2451120 * )
NEW met1 ( 1810320 1354015 ) M1M2_PR
NEW met1 ( 2451120 1392495 ) M1M2_PR
NEW met1 ( 1847280 1354015 ) M1M2_PR
NEW met2 ( 1847280 1353090 ) via2_FR
NEW met3 ( 1847520 1353090 ) M3M4_PR_M
NEW met4 ( 1847520 1350315 ) via4_FR
NEW met4 ( 1920480 1350315 ) via4_FR
NEW met3 ( 1920480 1366410 ) M3M4_PR_M
NEW met2 ( 1941840 1366410 ) via2_FR
NEW met1 ( 1941840 1392495 ) M1M2_PR
NEW met3 ( 1847280 1353090 ) RECT ( -380 -150 0 150 ) ;
- la_oen_user\[84\] ( mprj la_oen[84] ) ( mgmt_buffers la_oen_core[84] ) + USE SIGNAL
+ ROUTED met2 ( 1811280 1325710 0 ) ( * 1332925 )
NEW met1 ( 1811280 1332925 ) ( 2470320 * )
NEW met2 ( 2470320 1332925 ) ( * 1389350 0 )
NEW met1 ( 1811280 1332925 ) M1M2_PR
NEW met1 ( 2470320 1332925 ) M1M2_PR ;
- la_oen_user\[85\] ( mprj la_oen[85] ) ( mgmt_buffers la_oen_core[85] ) + USE SIGNAL
+ ROUTED met2 ( 1813680 1325710 0 ) ( * 1332555 )
NEW met1 ( 1813680 1332555 ) ( 2488080 * )
NEW met2 ( 2488080 1332555 ) ( * 1389350 0 )
NEW met1 ( 1813680 1332555 ) M1M2_PR
NEW met1 ( 2488080 1332555 ) M1M2_PR ;
- la_oen_user\[86\] ( mprj la_oen[86] ) ( mgmt_buffers la_oen_core[86] ) + USE SIGNAL
+ ROUTED met2 ( 1815600 1325710 0 ) ( * 1350315 )
NEW met2 ( 2505840 1342175 ) ( * 1389350 0 )
NEW met2 ( 1935120 1344765 ) ( * 1350315 )
NEW met1 ( 1935120 1344765 ) ( 1942800 * )
NEW met2 ( 1942800 1342545 ) ( * 1344765 )
NEW met1 ( 1942800 1342545 ) ( 1943280 * )
NEW met1 ( 1943280 1342175 ) ( * 1342545 )
NEW met1 ( 1815600 1350315 ) ( 1935120 * )
NEW met1 ( 1943280 1342175 ) ( 2505840 * )
NEW met1 ( 1815600 1350315 ) M1M2_PR
NEW met1 ( 2505840 1342175 ) M1M2_PR
NEW met1 ( 1935120 1350315 ) M1M2_PR
NEW met1 ( 1935120 1344765 ) M1M2_PR
NEW met1 ( 1942800 1344765 ) M1M2_PR
NEW met1 ( 1942800 1342545 ) M1M2_PR ;
- la_oen_user\[87\] ( mprj la_oen[87] ) ( mgmt_buffers la_oen_core[87] ) + USE SIGNAL
+ ROUTED met2 ( 1817760 1325710 0 ) ( 1818960 * )
NEW met2 ( 1893360 1383245 ) ( * 1385095 )
NEW met2 ( 2523600 1385095 ) ( * 1389350 0 )
NEW met1 ( 1893360 1385095 ) ( 2523600 * )
NEW met1 ( 1818960 1383245 ) ( 1842960 * )
NEW met2 ( 1842960 1383245 ) ( * 1383430 )
NEW met2 ( 1842960 1383430 ) ( 1843920 * )
NEW met2 ( 1843920 1383245 ) ( * 1383430 )
NEW met2 ( 1818960 1325710 ) ( * 1383245 )
NEW met1 ( 1843920 1383245 ) ( 1893360 * )
NEW met1 ( 1893360 1383245 ) M1M2_PR
NEW met1 ( 1893360 1385095 ) M1M2_PR
NEW met1 ( 2523600 1385095 ) M1M2_PR
NEW met1 ( 1818960 1383245 ) M1M2_PR
NEW met1 ( 1842960 1383245 ) M1M2_PR
NEW met1 ( 1843920 1383245 ) M1M2_PR ;
- la_oen_user\[88\] ( mprj la_oen[88] ) ( mgmt_buffers la_oen_core[88] ) + USE SIGNAL
+ ROUTED met2 ( 1820160 1325710 0 ) ( 1821360 * )
NEW met2 ( 1821360 1325710 ) ( * 1378435 )
NEW met2 ( 1901520 1371035 ) ( * 1378435 )
NEW met1 ( 1821360 1378435 ) ( 1901520 * )
NEW met2 ( 2541840 1371035 ) ( * 1389350 0 )
NEW met1 ( 1901520 1371035 ) ( 2541840 * )
NEW met1 ( 1821360 1378435 ) M1M2_PR
NEW met1 ( 1901520 1378435 ) M1M2_PR
NEW met1 ( 1901520 1371035 ) M1M2_PR
NEW met1 ( 2541840 1371035 ) M1M2_PR ;
- la_oen_user\[89\] ( mprj la_oen[89] ) ( mgmt_buffers la_oen_core[89] ) + USE SIGNAL
+ ROUTED met2 ( 2559600 1371405 ) ( * 1389350 0 )
NEW met2 ( 1821840 1325710 0 ) ( * 1370665 )
NEW met1 ( 1862640 1370665 ) ( * 1371405 )
NEW met1 ( 1821840 1370665 ) ( 1862640 * )
NEW met1 ( 1862640 1371405 ) ( 2559600 * )
NEW met1 ( 1821840 1370665 ) M1M2_PR
NEW met1 ( 2559600 1371405 ) M1M2_PR ;
- la_oen_user\[8\] ( mprj la_oen[8] ) ( mgmt_buffers la_oen_core[8] ) + USE SIGNAL
+ ROUTED met2 ( 1115760 1392125 ) ( * 1392310 )
NEW met2 ( 1114320 1392310 0 ) ( 1115760 * )
NEW met1 ( 1115760 1392125 ) ( 1645680 * )
NEW met2 ( 1645680 1325710 0 ) ( * 1392125 )
NEW met1 ( 1115760 1392125 ) M1M2_PR
NEW met1 ( 1645680 1392125 ) M1M2_PR ;
- la_oen_user\[90\] ( mprj la_oen[90] ) ( mgmt_buffers la_oen_core[90] ) + USE SIGNAL
+ ROUTED met2 ( 2577360 1384355 ) ( * 1389350 0 )
NEW met1 ( 1824240 1385095 ) ( 1883760 * )
NEW met1 ( 1883760 1384355 ) ( * 1385095 )
NEW met2 ( 1824240 1325710 0 ) ( * 1385095 )
NEW met1 ( 1883760 1384355 ) ( 2577360 * )
NEW met1 ( 2577360 1384355 ) M1M2_PR
NEW met1 ( 1824240 1385095 ) M1M2_PR ;
- la_oen_user\[91\] ( mprj la_oen[91] ) ( mgmt_buffers la_oen_core[91] ) + USE SIGNAL
+ ROUTED met2 ( 2595120 1383615 ) ( * 1389350 0 )
NEW met1 ( 1826640 1384355 ) ( 1854960 * )
NEW met2 ( 1854960 1383615 ) ( * 1384355 )
NEW met2 ( 1826640 1325710 0 ) ( * 1384355 )
NEW met1 ( 1854960 1383615 ) ( 2595120 * )
NEW met1 ( 2595120 1383615 ) M1M2_PR
NEW met1 ( 1826640 1384355 ) M1M2_PR
NEW met1 ( 1854960 1384355 ) M1M2_PR
NEW met1 ( 1854960 1383615 ) M1M2_PR ;
- la_oen_user\[92\] ( mprj la_oen[92] ) ( mgmt_buffers la_oen_core[92] ) + USE SIGNAL
+ ROUTED met2 ( 1830000 1324970 ) ( * 1325155 )
NEW met2 ( 1828800 1324970 0 ) ( 1830000 * )
NEW met2 ( 2612880 1331075 ) ( * 1389350 0 )
NEW met2 ( 1883760 1325155 ) ( * 1327930 )
NEW met2 ( 1883760 1327930 ) ( 1884720 * )
NEW met2 ( 1884720 1327930 ) ( * 1331075 )
NEW met1 ( 1830000 1325155 ) ( 1883760 * )
NEW met1 ( 1884720 1331075 ) ( 2612880 * )
NEW met1 ( 1830000 1325155 ) M1M2_PR
NEW met1 ( 2612880 1331075 ) M1M2_PR
NEW met1 ( 1883760 1325155 ) M1M2_PR
NEW met1 ( 1884720 1331075 ) M1M2_PR ;
- la_oen_user\[93\] ( mprj la_oen[93] ) ( mgmt_buffers la_oen_core[93] ) + USE SIGNAL
+ ROUTED met2 ( 2630640 1343655 ) ( * 1389350 0 )
NEW met2 ( 1830720 1325710 0 ) ( 1831920 * )
NEW met2 ( 1831920 1325710 ) ( * 1339215 )
NEW met2 ( 1890000 1339030 ) ( * 1339215 )
NEW met3 ( 1890000 1339030 ) ( 1893840 * )
NEW met2 ( 1893840 1339030 ) ( * 1343655 )
NEW met1 ( 1831920 1339215 ) ( 1890000 * )
NEW met1 ( 1893840 1343655 ) ( 2630640 * )
NEW met1 ( 1831920 1339215 ) M1M2_PR
NEW met1 ( 2630640 1343655 ) M1M2_PR
NEW met1 ( 1890000 1339215 ) M1M2_PR
NEW met2 ( 1890000 1339030 ) via2_FR
NEW met2 ( 1893840 1339030 ) via2_FR
NEW met1 ( 1893840 1343655 ) M1M2_PR ;
- la_oen_user\[94\] ( mprj la_oen[94] ) ( mgmt_buffers la_oen_core[94] ) + USE SIGNAL
+ ROUTED met2 ( 2648880 1344395 ) ( * 1389350 0 )
NEW met2 ( 1832880 1325710 0 ) ( * 1342545 )
NEW met2 ( 1893360 1342545 ) ( * 1344395 )
NEW met1 ( 1832880 1342545 ) ( 1893360 * )
NEW met1 ( 1943760 1344395 ) ( * 1344765 )
NEW met2 ( 1943760 1344765 ) ( 1944240 * )
NEW met1 ( 1944240 1344395 ) ( * 1344765 )
NEW met1 ( 1893360 1344395 ) ( 1943760 * )
NEW met1 ( 1944240 1344395 ) ( 2648880 * )
NEW met1 ( 1832880 1342545 ) M1M2_PR
NEW met1 ( 2648880 1344395 ) M1M2_PR
NEW met1 ( 1893360 1342545 ) M1M2_PR
NEW met1 ( 1893360 1344395 ) M1M2_PR
NEW met1 ( 1943760 1344765 ) M1M2_PR
NEW met1 ( 1944240 1344765 ) M1M2_PR ;
- la_oen_user\[95\] ( mprj la_oen[95] ) ( mgmt_buffers la_oen_core[95] ) + USE SIGNAL
+ ROUTED met2 ( 1835280 1325710 0 ) ( * 1329595 )
NEW met2 ( 1991280 1329595 ) ( * 1335145 )
NEW met1 ( 1991280 1329595 ) ( 2666160 * )
NEW met2 ( 2666160 1329595 ) ( * 1389350 0 )
NEW met2 ( 1891920 1329595 ) ( * 1330150 )
NEW met2 ( 1891920 1330150 ) ( 1894800 * )
NEW met2 ( 1894800 1330150 ) ( * 1335145 )
NEW met1 ( 1835280 1329595 ) ( 1891920 * )
NEW met1 ( 1894800 1335145 ) ( 1991280 * )
NEW met1 ( 1835280 1329595 ) M1M2_PR
NEW met1 ( 1991280 1335145 ) M1M2_PR
NEW met1 ( 1991280 1329595 ) M1M2_PR
NEW met1 ( 2666160 1329595 ) M1M2_PR
NEW met1 ( 1891920 1329595 ) M1M2_PR
NEW met1 ( 1894800 1335145 ) M1M2_PR ;
- la_oen_user\[96\] ( mprj la_oen[96] ) ( mgmt_buffers la_oen_core[96] ) + USE SIGNAL
+ ROUTED met2 ( 1837680 1325710 0 ) ( * 1343655 )
NEW met1 ( 1893360 1343655 ) ( * 1344025 )
NEW met1 ( 1837680 1343655 ) ( 1893360 * )
NEW met2 ( 2684400 1345135 ) ( * 1389350 0 )
NEW met1 ( 2577840 1344765 ) ( * 1345135 )
NEW met1 ( 2577840 1345135 ) ( 2591760 * )
NEW met2 ( 2591760 1344950 ) ( * 1345135 )
NEW met2 ( 2591760 1344950 ) ( 2592240 * )
NEW met2 ( 2592240 1344765 ) ( * 1344950 )
NEW met1 ( 2592240 1344765 ) ( 2678160 * )
NEW met1 ( 2678160 1344765 ) ( * 1345135 )
NEW met1 ( 2678160 1345135 ) ( 2684400 * )
NEW met2 ( 2081520 1344025 ) ( * 1345135 )
NEW met1 ( 1893360 1344025 ) ( 2081520 * )
NEW met1 ( 2448240 1344765 ) ( * 1345135 )
NEW met2 ( 2448240 1344765 ) ( 2448720 * )
NEW met1 ( 2448720 1344765 ) ( 2577840 * )
NEW met1 ( 2333040 1345135 ) ( * 1345875 )
NEW met1 ( 2333040 1345875 ) ( 2433360 * )
NEW met2 ( 2433360 1345135 ) ( * 1345875 )
NEW met1 ( 2081520 1345135 ) ( 2333040 * )
NEW met1 ( 2433360 1345135 ) ( 2448240 * )
NEW met1 ( 1837680 1343655 ) M1M2_PR
NEW met1 ( 2684400 1345135 ) M1M2_PR
NEW met1 ( 2591760 1345135 ) M1M2_PR
NEW met1 ( 2592240 1344765 ) M1M2_PR
NEW met1 ( 2081520 1344025 ) M1M2_PR
NEW met1 ( 2081520 1345135 ) M1M2_PR
NEW met1 ( 2448240 1344765 ) M1M2_PR
NEW met1 ( 2448720 1344765 ) M1M2_PR
NEW met1 ( 2433360 1345875 ) M1M2_PR
NEW met1 ( 2433360 1345135 ) M1M2_PR ;
- la_oen_user\[97\] ( mprj la_oen[97] ) ( mgmt_buffers la_oen_core[97] ) + USE SIGNAL
+ ROUTED met2 ( 1839360 1325710 0 ) ( 1840560 * )
NEW met2 ( 1840560 1325710 ) ( * 1374735 )
NEW met2 ( 1893360 1373255 ) ( * 1374735 )
NEW met1 ( 1840560 1374735 ) ( 1893360 * )
NEW met2 ( 2702160 1373255 ) ( * 1389350 0 )
NEW met1 ( 1893360 1373255 ) ( 2702160 * )
NEW met1 ( 1840560 1374735 ) M1M2_PR
NEW met1 ( 1893360 1374735 ) M1M2_PR
NEW met1 ( 1893360 1373255 ) M1M2_PR
NEW met1 ( 2702160 1373255 ) M1M2_PR ;
- la_oen_user\[98\] ( mprj la_oen[98] ) ( mgmt_buffers la_oen_core[98] ) + USE SIGNAL
+ ROUTED met2 ( 2719920 1356235 ) ( * 1389350 0 )
NEW met2 ( 2405040 1356050 ) ( * 1356235 )
NEW met3 ( 2405040 1356050 ) ( 2505360 * )
NEW met2 ( 2505360 1356050 ) ( * 1356235 )
NEW met1 ( 2505360 1356235 ) ( 2719920 * )
NEW met2 ( 1841760 1325710 0 ) ( 1842480 * )
NEW met2 ( 1842480 1325710 ) ( * 1347725 )
NEW met1 ( 1842480 1347725 ) ( 1865040 * )
NEW met2 ( 1865040 1347725 ) ( * 1356235 )
NEW met2 ( 2109360 1356235 ) ( * 1357345 )
NEW met1 ( 2109360 1357345 ) ( 2159760 * )
NEW met2 ( 2159760 1356235 ) ( * 1357345 )
NEW met1 ( 1865040 1356235 ) ( 2109360 * )
NEW met1 ( 2159760 1356235 ) ( 2405040 * )
NEW met1 ( 2719920 1356235 ) M1M2_PR
NEW met1 ( 2405040 1356235 ) M1M2_PR
NEW met2 ( 2405040 1356050 ) via2_FR
NEW met2 ( 2505360 1356050 ) via2_FR
NEW met1 ( 2505360 1356235 ) M1M2_PR
NEW met1 ( 1842480 1347725 ) M1M2_PR
NEW met1 ( 1865040 1347725 ) M1M2_PR
NEW met1 ( 1865040 1356235 ) M1M2_PR
NEW met1 ( 2109360 1356235 ) M1M2_PR
NEW met1 ( 2109360 1357345 ) M1M2_PR
NEW met1 ( 2159760 1357345 ) M1M2_PR
NEW met1 ( 2159760 1356235 ) M1M2_PR ;
- la_oen_user\[99\] ( mprj la_oen[99] ) ( mgmt_buffers la_oen_core[99] ) + USE SIGNAL
+ ROUTED met1 ( 1891920 1326635 ) ( * 1327005 )
NEW met1 ( 1891920 1327005 ) ( 1894800 * )
NEW met2 ( 1894800 1327005 ) ( * 1327190 )
NEW met2 ( 1894800 1327190 ) ( 1895760 * )
NEW met2 ( 1895760 1327190 ) ( * 1327375 )
NEW met2 ( 2738160 1328855 ) ( * 1389350 0 )
NEW met2 ( 1936080 1327005 ) ( * 1327375 )
NEW met2 ( 1936080 1327005 ) ( 1938000 * )
NEW met2 ( 1938000 1327005 ) ( * 1335885 )
NEW met1 ( 1938000 1335885 ) ( 1992720 * )
NEW met2 ( 1992720 1334775 ) ( * 1335885 )
NEW met1 ( 1992720 1334775 ) ( 1996080 * )
NEW met2 ( 1996080 1328855 ) ( * 1334775 )
NEW met1 ( 1895760 1327375 ) ( 1936080 * )
NEW met1 ( 1996080 1328855 ) ( 2738160 * )
NEW met2 ( 1843920 1325710 0 ) ( 1845840 * )
NEW met2 ( 1845840 1325710 ) ( * 1337365 )
NEW met1 ( 1845840 1337365 ) ( 1874160 * )
NEW met2 ( 1874160 1327005 ) ( * 1337365 )
NEW met1 ( 1874160 1327005 ) ( 1880880 * )
NEW met1 ( 1880880 1326635 ) ( * 1327005 )
NEW met1 ( 1880880 1326635 ) ( 1891920 * )
NEW met1 ( 1894800 1327005 ) M1M2_PR
NEW met1 ( 1895760 1327375 ) M1M2_PR
NEW met1 ( 2738160 1328855 ) M1M2_PR
NEW met1 ( 1936080 1327375 ) M1M2_PR
NEW met1 ( 1938000 1335885 ) M1M2_PR
NEW met1 ( 1992720 1335885 ) M1M2_PR
NEW met1 ( 1992720 1334775 ) M1M2_PR
NEW met1 ( 1996080 1334775 ) M1M2_PR
NEW met1 ( 1996080 1328855 ) M1M2_PR
NEW met1 ( 1845840 1337365 ) M1M2_PR
NEW met1 ( 1874160 1337365 ) M1M2_PR
NEW met1 ( 1874160 1327005 ) M1M2_PR ;
- la_oen_user\[9\] ( mprj la_oen[9] ) ( mgmt_buffers la_oen_core[9] ) + USE SIGNAL
+ ROUTED met2 ( 1132080 1329595 ) ( * 1389350 0 )
NEW met2 ( 1646640 1325710 ) ( 1648080 * 0 )
NEW met2 ( 1646640 1325710 ) ( * 1325895 )
NEW met1 ( 1596720 1325895 ) ( 1646640 * )
NEW met2 ( 1596720 1325895 ) ( * 1330150 )
NEW met2 ( 1593360 1330150 ) ( 1596720 * )
NEW met2 ( 1593360 1329225 ) ( * 1330150 )
NEW met2 ( 1591920 1329225 ) ( 1593360 * )
NEW met2 ( 1591920 1329225 ) ( * 1329595 )
NEW met1 ( 1132080 1329595 ) ( 1591920 * )
NEW met1 ( 1132080 1329595 ) M1M2_PR
NEW met1 ( 1646640 1325895 ) M1M2_PR
NEW met1 ( 1596720 1325895 ) M1M2_PR
NEW met1 ( 1591920 1329595 ) M1M2_PR ;
- mask_rev\[0\] ( user_id_value mask_rev[0] ) ( soc mask_rev[0] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 404410 0 ) ( 3231120 * )
NEW met2 ( 3231120 404410 ) ( * 462685 )
NEW met2 ( 3303600 439930 0 ) ( * 462685 )
NEW met1 ( 3231120 462685 ) ( 3303600 * )
NEW met2 ( 3231120 404410 ) via2_FR
NEW met1 ( 3231120 462685 ) M1M2_PR
NEW met1 ( 3303600 462685 ) M1M2_PR ;
- mask_rev\[10\] ( user_id_value mask_rev[10] ) ( soc mask_rev[10] ) + USE SIGNAL
+ ROUTED met2 ( 3303600 390165 ) ( * 405150 0 )
NEW met3 ( 3201120 400710 ) ( * 401450 0 )
NEW met3 ( 3201120 400710 ) ( 3230160 * )
NEW met2 ( 3230160 390165 ) ( * 400710 )
NEW met1 ( 3230160 390165 ) ( 3303600 * )
NEW met1 ( 3303600 390165 ) M1M2_PR
NEW met2 ( 3230160 400710 ) via2_FR
NEW met1 ( 3230160 390165 ) M1M2_PR ;
- mask_rev\[11\] ( user_id_value mask_rev[11] ) ( soc mask_rev[11] ) + USE SIGNAL
+ ROUTED met2 ( 3287760 406445 ) ( * 406630 )
NEW met2 ( 3287760 406630 ) ( 3288960 * 0 )
NEW met3 ( 3202080 406630 ) ( * 407370 0 )
NEW met3 ( 3202080 406630 ) ( 3227280 * )
NEW met2 ( 3227280 406445 ) ( * 406630 )
NEW met1 ( 3227280 406445 ) ( 3287760 * )
NEW met1 ( 3287760 406445 ) M1M2_PR
NEW met2 ( 3227280 406630 ) via2_FR
NEW met1 ( 3227280 406445 ) M1M2_PR ;
- mask_rev\[12\] ( user_id_value mask_rev[12] ) ( soc mask_rev[12] ) + USE SIGNAL
+ ROUTED met2 ( 3284880 400895 ) ( * 405150 )
NEW met2 ( 3284880 405150 ) ( 3286080 * 0 )
NEW met3 ( 3202080 398860 0 ) ( * 399970 )
NEW met3 ( 3202080 399970 ) ( 3227280 * )
NEW met2 ( 3227280 399970 ) ( * 400895 )
NEW met1 ( 3227280 400895 ) ( 3284880 * )
NEW met1 ( 3284880 400895 ) M1M2_PR
NEW met2 ( 3227280 399970 ) via2_FR
NEW met1 ( 3227280 400895 ) M1M2_PR ;
- mask_rev\[13\] ( user_id_value mask_rev[13] ) ( soc mask_rev[13] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 409590 0 ) ( * 411070 )
NEW met3 ( 3202080 411070 ) ( 3237360 * )
NEW met2 ( 3237360 411070 ) ( * 463055 )
NEW met2 ( 3308880 439930 ) ( 3310080 * 0 )
NEW met2 ( 3308880 439930 ) ( * 463055 )
NEW met1 ( 3237360 463055 ) ( 3308880 * )
NEW met2 ( 3237360 411070 ) via2_FR
NEW met1 ( 3237360 463055 ) M1M2_PR
NEW met1 ( 3308880 463055 ) M1M2_PR ;
- mask_rev\[14\] ( user_id_value mask_rev[14] ) ( soc mask_rev[14] ) + USE SIGNAL
+ ROUTED met2 ( 3312720 392015 ) ( * 405150 0 )
NEW met3 ( 3202080 396270 0 ) ( 3229200 * )
NEW met2 ( 3229200 392015 ) ( * 396270 )
NEW met1 ( 3229200 392015 ) ( 3312720 * )
NEW met1 ( 3312720 392015 ) M1M2_PR
NEW met2 ( 3229200 396270 ) via2_FR
NEW met1 ( 3229200 392015 ) M1M2_PR ;
- mask_rev\[15\] ( user_id_value mask_rev[15] ) ( soc mask_rev[15] ) + USE SIGNAL
+ ROUTED met2 ( 3316560 409405 ) ( * 410330 )
NEW met3 ( 3316320 410330 ) ( 3316560 * )
NEW met3 ( 3316320 410330 ) ( * 413290 0 )
NEW met3 ( 3202080 412550 0 ) ( 3227280 * )
NEW met2 ( 3227280 409405 ) ( * 412550 )
NEW met1 ( 3227280 409405 ) ( 3316560 * )
NEW met1 ( 3316560 409405 ) M1M2_PR
NEW met2 ( 3316560 410330 ) via2_FR
NEW met2 ( 3227280 412550 ) via2_FR
NEW met1 ( 3227280 409405 ) M1M2_PR ;
- mask_rev\[16\] ( user_id_value mask_rev[16] ) ( soc mask_rev[16] ) + USE SIGNAL
+ ROUTED met2 ( 3294480 394605 ) ( * 405150 0 )
NEW met3 ( 3202080 393310 0 ) ( 3227280 * )
NEW met2 ( 3227280 393310 ) ( * 394605 )
NEW met1 ( 3227280 394605 ) ( 3294480 * )
NEW met1 ( 3294480 394605 ) M1M2_PR
NEW met2 ( 3227280 393310 ) via2_FR
NEW met1 ( 3227280 394605 ) M1M2_PR ;
- mask_rev\[17\] ( user_id_value mask_rev[17] ) ( soc mask_rev[17] ) + USE SIGNAL
+ ROUTED met3 ( 3201120 415510 0 ) ( * 416250 )
NEW met3 ( 3201120 416250 ) ( 3227280 * )
NEW met2 ( 3227280 416250 ) ( * 417175 )
NEW met1 ( 3227280 417175 ) ( 3285360 * )
NEW met1 ( 3285360 435675 ) ( * 436415 )
NEW met1 ( 3285360 436415 ) ( 3290160 * )
NEW met2 ( 3290160 436230 ) ( * 436415 )
NEW met2 ( 3290160 436230 ) ( 3291600 * 0 )
NEW met2 ( 3285360 417175 ) ( * 435675 )
NEW met1 ( 3285360 417175 ) M1M2_PR
NEW met2 ( 3227280 416250 ) via2_FR
NEW met1 ( 3227280 417175 ) M1M2_PR
NEW met1 ( 3285360 435675 ) M1M2_PR
NEW met1 ( 3290160 436415 ) M1M2_PR ;
- mask_rev\[18\] ( user_id_value mask_rev[18] ) ( soc mask_rev[18] ) + USE SIGNAL
+ ROUTED met2 ( 3256080 395345 ) ( * 431050 )
NEW met3 ( 3256080 431050 ) ( 3283680 * 0 )
NEW met3 ( 3202080 390720 0 ) ( * 392570 )
NEW met3 ( 3202080 392570 ) ( 3229680 * )
NEW met2 ( 3229680 392570 ) ( * 395345 )
NEW met1 ( 3229680 395345 ) ( 3256080 * )
NEW met1 ( 3256080 395345 ) M1M2_PR
NEW met2 ( 3256080 431050 ) via2_FR
NEW met2 ( 3229680 392570 ) via2_FR
NEW met1 ( 3229680 395345 ) M1M2_PR ;
- mask_rev\[19\] ( user_id_value mask_rev[19] ) ( soc mask_rev[19] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 417730 0 ) ( * 419210 )
NEW met2 ( 3253200 419210 ) ( * 436785 )
NEW met1 ( 3253200 436785 ) ( 3296880 * )
NEW met2 ( 3296880 436785 ) ( * 436970 )
NEW met2 ( 3296880 436970 ) ( 3298080 * 0 )
NEW met3 ( 3202080 419210 ) ( 3253200 * )
NEW met2 ( 3253200 419210 ) via2_FR
NEW met1 ( 3253200 436785 ) M1M2_PR
NEW met1 ( 3296880 436785 ) M1M2_PR ;
- mask_rev\[1\] ( user_id_value mask_rev[1] ) ( soc mask_rev[1] ) + USE SIGNAL
+ ROUTED met2 ( 3255120 388315 ) ( * 421430 )
NEW met3 ( 3255120 421430 ) ( 3283680 * 0 )
NEW met3 ( 3202080 388130 0 ) ( 3227280 * )
NEW met2 ( 3227280 388130 ) ( * 388315 )
NEW met1 ( 3227280 388315 ) ( 3255120 * )
NEW met1 ( 3255120 388315 ) M1M2_PR
NEW met2 ( 3255120 421430 ) via2_FR
NEW met2 ( 3227280 388130 ) via2_FR
NEW met1 ( 3227280 388315 ) M1M2_PR ;
- mask_rev\[20\] ( user_id_value mask_rev[20] ) ( soc mask_rev[20] ) + USE SIGNAL
+ ROUTED met2 ( 3317040 409035 ) ( * 414030 )
NEW met3 ( 3317040 414030 ) ( 3317280 * )
NEW met3 ( 3317280 414030 ) ( * 416990 0 )
NEW met3 ( 3202080 420690 0 ) ( 3229200 * )
NEW met2 ( 3229200 409035 ) ( * 420690 )
NEW met1 ( 3229200 409035 ) ( 3317040 * )
NEW met1 ( 3317040 409035 ) M1M2_PR
NEW met2 ( 3317040 414030 ) via2_FR
NEW met2 ( 3229200 420690 ) via2_FR
NEW met1 ( 3229200 409035 ) M1M2_PR ;
- mask_rev\[21\] ( user_id_value mask_rev[21] ) ( soc mask_rev[21] ) + USE SIGNAL
+ ROUTED met2 ( 3314640 386465 ) ( * 405890 )
NEW met3 ( 3314640 405890 ) ( 3315360 * )
NEW met3 ( 3315360 405890 ) ( * 408850 0 )
NEW met3 ( 3202080 385170 0 ) ( 3227280 * )
NEW met2 ( 3227280 385170 ) ( * 386465 )
NEW met1 ( 3227280 386465 ) ( 3314640 * )
NEW met1 ( 3314640 386465 ) M1M2_PR
NEW met2 ( 3314640 405890 ) via2_FR
NEW met2 ( 3227280 385170 ) via2_FR
NEW met1 ( 3227280 386465 ) M1M2_PR ;
- mask_rev\[22\] ( user_id_value mask_rev[22] ) ( soc mask_rev[22] ) + USE SIGNAL
+ ROUTED met3 ( 3201120 423650 0 ) ( * 425130 )
NEW met3 ( 3201120 425130 ) ( 3227280 * )
NEW met2 ( 3227280 425130 ) ( * 426055 )
NEW met1 ( 3227280 426055 ) ( 3254640 * )
NEW met3 ( 3254640 434750 ) ( 3283680 * 0 )
NEW met2 ( 3254640 426055 ) ( * 434750 )
NEW met1 ( 3254640 426055 ) M1M2_PR
NEW met2 ( 3227280 425130 ) via2_FR
NEW met1 ( 3227280 426055 ) M1M2_PR
NEW met2 ( 3254640 434750 ) via2_FR ;
- mask_rev\[23\] ( user_id_value mask_rev[23] ) ( soc mask_rev[23] ) + USE SIGNAL
+ ROUTED met2 ( 3291600 384615 ) ( * 405150 0 )
NEW met3 ( 3202080 382580 0 ) ( * 383690 )
NEW met3 ( 3202080 383690 ) ( 3227280 * )
NEW met2 ( 3227280 383690 ) ( * 384615 )
NEW met1 ( 3227280 384615 ) ( 3291600 * )
NEW met1 ( 3291600 384615 ) M1M2_PR
NEW met2 ( 3227280 383690 ) via2_FR
NEW met1 ( 3227280 384615 ) M1M2_PR ;
- mask_rev\[24\] ( user_id_value mask_rev[24] ) ( soc mask_rev[24] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 425870 0 ) ( * 427350 )
NEW met3 ( 3202080 427350 ) ( 3238800 * )
NEW met2 ( 3238800 427350 ) ( * 461205 )
NEW met2 ( 3312720 439930 0 ) ( * 461205 )
NEW met1 ( 3238800 461205 ) ( 3312720 * )
NEW met2 ( 3238800 427350 ) via2_FR
NEW met1 ( 3238800 461205 ) M1M2_PR
NEW met1 ( 3312720 461205 ) M1M2_PR ;
- mask_rev\[25\] ( user_id_value mask_rev[25] ) ( soc mask_rev[25] ) + USE SIGNAL
+ ROUTED met2 ( 3254640 383505 ) ( * 413290 )
NEW met3 ( 3254640 413290 ) ( 3283680 * 0 )
NEW met3 ( 3202080 379990 0 ) ( 3229200 * )
NEW met2 ( 3229200 379990 ) ( * 383505 )
NEW met1 ( 3229200 383505 ) ( 3254640 * )
NEW met1 ( 3254640 383505 ) M1M2_PR
NEW met2 ( 3254640 413290 ) via2_FR
NEW met2 ( 3229200 379990 ) via2_FR
NEW met1 ( 3229200 383505 ) M1M2_PR ;
- mask_rev\[26\] ( user_id_value mask_rev[26] ) ( soc mask_rev[26] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 428830 0 ) ( 3236400 * )
NEW met2 ( 3236400 428830 ) ( * 461575 )
NEW met2 ( 3306000 439930 ) ( 3307200 * 0 )
NEW met2 ( 3306000 439930 ) ( * 461575 )
NEW met1 ( 3236400 461575 ) ( 3306000 * )
NEW met2 ( 3236400 428830 ) via2_FR
NEW met1 ( 3236400 461575 ) M1M2_PR
NEW met1 ( 3306000 461575 ) M1M2_PR ;
- mask_rev\[27\] ( user_id_value mask_rev[27] ) ( soc mask_rev[27] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 377030 0 ) ( 3227280 * )
NEW met2 ( 3227280 377030 ) ( * 377585 )
NEW met1 ( 3227280 377585 ) ( 3284400 * )
NEW met2 ( 3284400 436230 ) ( 3288960 * 0 )
NEW met2 ( 3284400 377585 ) ( * 436230 )
NEW met1 ( 3284400 377585 ) M1M2_PR
NEW met2 ( 3227280 377030 ) via2_FR
NEW met1 ( 3227280 377585 ) M1M2_PR ;
- mask_rev\[28\] ( user_id_value mask_rev[28] ) ( soc mask_rev[28] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 431050 ) ( * 431790 0 )
NEW met3 ( 3202080 431050 ) ( 3239280 * )
NEW met2 ( 3239280 431050 ) ( * 461945 )
NEW met2 ( 3315600 439930 0 ) ( * 461945 )
NEW met1 ( 3239280 461945 ) ( 3315600 * )
NEW met2 ( 3239280 431050 ) via2_FR
NEW met1 ( 3239280 461945 ) M1M2_PR
NEW met1 ( 3315600 461945 ) M1M2_PR ;
- mask_rev\[29\] ( user_id_value mask_rev[29] ) ( soc mask_rev[29] ) + USE SIGNAL
+ ROUTED met2 ( 3308880 384985 ) ( * 405150 )
NEW met2 ( 3308880 405150 ) ( 3310080 * 0 )
NEW met3 ( 3202080 374440 0 ) ( * 376290 )
NEW met3 ( 3202080 376290 ) ( 3229680 * )
NEW met2 ( 3229680 376290 ) ( * 384985 )
NEW met1 ( 3229680 384985 ) ( 3308880 * )
NEW met1 ( 3308880 384985 ) M1M2_PR
NEW met2 ( 3229680 376290 ) via2_FR
NEW met1 ( 3229680 384985 ) M1M2_PR ;
- mask_rev\[2\] ( user_id_value mask_rev[2] ) ( soc mask_rev[2] ) + USE SIGNAL
+ ROUTED met3 ( 3255600 416990 ) ( 3283680 * 0 )
NEW met3 ( 3201120 433270 ) ( * 434010 0 )
NEW met3 ( 3201120 433270 ) ( 3229200 * )
NEW met2 ( 3229200 432345 ) ( * 433270 )
NEW met1 ( 3229200 432345 ) ( 3255600 * )
NEW met2 ( 3255600 416990 ) ( * 432345 )
NEW met2 ( 3255600 416990 ) via2_FR
NEW met2 ( 3229200 433270 ) via2_FR
NEW met1 ( 3229200 432345 ) M1M2_PR
NEW met1 ( 3255600 432345 ) M1M2_PR ;
- mask_rev\[30\] ( user_id_value mask_rev[30] ) ( soc mask_rev[30] ) + USE SIGNAL
+ ROUTED met2 ( 3317040 402930 ) ( 3317520 * )
NEW met2 ( 3317520 402930 ) ( * 428090 )
NEW met3 ( 3317280 428090 ) ( 3317520 * )
NEW met3 ( 3317280 428090 ) ( * 431050 0 )
NEW met2 ( 3317040 365005 ) ( * 402930 )
NEW met3 ( 3202080 371850 0 ) ( 3227280 * )
NEW met2 ( 3227280 365005 ) ( * 371850 )
NEW met1 ( 3227280 365005 ) ( 3317040 * )
NEW met2 ( 3317520 428090 ) via2_FR
NEW met1 ( 3317040 365005 ) M1M2_PR
NEW met2 ( 3227280 371850 ) via2_FR
NEW met1 ( 3227280 365005 ) M1M2_PR ;
- mask_rev\[31\] ( user_id_value mask_rev[31] ) ( soc mask_rev[31] ) + USE SIGNAL
+ ROUTED met2 ( 3297360 388685 ) ( * 403485 )
NEW met2 ( 3297840 403485 ) ( * 403670 )
NEW met2 ( 3297840 403670 ) ( 3298080 * )
NEW met2 ( 3298080 403670 ) ( * 405150 0 )
NEW met1 ( 3297360 403485 ) ( 3297840 * )
NEW met1 ( 3238320 388685 ) ( 3297360 * )
NEW met3 ( 3202080 436970 0 ) ( 3238320 * )
NEW met2 ( 3238320 388685 ) ( * 436970 )
NEW met1 ( 3297360 388685 ) M1M2_PR
NEW met1 ( 3297360 403485 ) M1M2_PR
NEW met1 ( 3297840 403485 ) M1M2_PR
NEW met1 ( 3238320 388685 ) M1M2_PR
NEW met2 ( 3238320 436970 ) via2_FR ;
- mask_rev\[3\] ( user_id_value mask_rev[3] ) ( soc mask_rev[3] ) + USE SIGNAL
+ ROUTED met2 ( 3300720 361675 ) ( * 405150 0 )
NEW met3 ( 3202080 368890 0 ) ( 3229200 * )
NEW met2 ( 3229200 361675 ) ( * 368890 )
NEW met1 ( 3229200 361675 ) ( 3300720 * )
NEW met1 ( 3300720 361675 ) M1M2_PR
NEW met2 ( 3229200 368890 ) via2_FR
NEW met1 ( 3229200 361675 ) M1M2_PR ;
- mask_rev\[4\] ( user_id_value mask_rev[4] ) ( soc mask_rev[4] ) + USE SIGNAL
+ ROUTED met3 ( 3316320 425870 ) ( 3316560 * )
NEW met3 ( 3316320 422910 0 ) ( * 425870 )
NEW met3 ( 3202080 438450 ) ( * 439930 0 )
NEW met3 ( 3202080 438450 ) ( 3227280 * )
NEW met2 ( 3227280 435305 ) ( * 438450 )
NEW met1 ( 3227280 435305 ) ( 3316560 * )
NEW met2 ( 3316560 425870 ) ( * 435305 )
NEW met2 ( 3316560 425870 ) via2_FR
NEW met2 ( 3227280 438450 ) via2_FR
NEW met1 ( 3227280 435305 ) M1M2_PR
NEW met1 ( 3316560 435305 ) M1M2_PR ;
- mask_rev\[5\] ( user_id_value mask_rev[5] ) ( soc mask_rev[5] ) + USE SIGNAL
+ ROUTED met2 ( 3316080 423650 ) ( 3317040 * )
NEW met3 ( 3317040 423650 ) ( 3317280 * )
NEW met3 ( 3317280 423650 ) ( * 426610 0 )
NEW met2 ( 3316080 360565 ) ( * 423650 )
NEW met3 ( 3202080 364450 ) ( * 366300 0 )
NEW met3 ( 3202080 364450 ) ( 3227280 * )
NEW met2 ( 3227280 360565 ) ( * 364450 )
NEW met1 ( 3227280 360565 ) ( 3316080 * )
NEW met2 ( 3317040 423650 ) via2_FR
NEW met1 ( 3316080 360565 ) M1M2_PR
NEW met2 ( 3227280 364450 ) via2_FR
NEW met1 ( 3227280 360565 ) M1M2_PR ;
- mask_rev\[6\] ( user_id_value mask_rev[6] ) ( soc mask_rev[6] ) + USE SIGNAL
+ ROUTED met3 ( 3255120 426610 ) ( 3283680 * 0 )
NEW met3 ( 3201120 440670 ) ( * 442150 0 )
NEW met3 ( 3201120 440670 ) ( 3229200 * )
NEW met2 ( 3229200 434935 ) ( * 440670 )
NEW met1 ( 3229200 434935 ) ( 3255120 * )
NEW met2 ( 3255120 426610 ) ( * 434935 )
NEW met2 ( 3255120 426610 ) via2_FR
NEW met2 ( 3229200 440670 ) via2_FR
NEW met1 ( 3229200 434935 ) M1M2_PR
NEW met1 ( 3255120 434935 ) M1M2_PR ;
- mask_rev\[7\] ( user_id_value mask_rev[7] ) ( soc mask_rev[7] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 363710 0 ) ( 3232080 * )
NEW met2 ( 3232080 363710 ) ( * 463425 )
NEW met2 ( 3299280 439930 ) ( 3300720 * 0 )
NEW met2 ( 3299280 439930 ) ( * 463425 )
NEW met1 ( 3232080 463425 ) ( 3299280 * )
NEW met2 ( 3232080 363710 ) via2_FR
NEW met1 ( 3232080 463425 ) M1M2_PR
NEW met1 ( 3299280 463425 ) M1M2_PR ;
- mask_rev\[8\] ( user_id_value mask_rev[8] ) ( soc mask_rev[8] ) + USE SIGNAL
+ ROUTED met2 ( 3305520 408110 ) ( 3307200 * 0 )
NEW met2 ( 3305520 408110 ) ( * 408295 )
NEW met1 ( 3237840 408295 ) ( 3305520 * )
NEW met3 ( 3202080 445110 0 ) ( 3237840 * )
NEW met2 ( 3237840 408295 ) ( * 445110 )
NEW met1 ( 3305520 408295 ) M1M2_PR
NEW met1 ( 3237840 408295 ) M1M2_PR
NEW met2 ( 3237840 445110 ) via2_FR ;
- mask_rev\[9\] ( user_id_value mask_rev[9] ) ( soc mask_rev[9] ) + USE SIGNAL
+ ROUTED met3 ( 3202080 360750 0 ) ( 3233520 * )
NEW met2 ( 3233520 360750 ) ( * 460835 )
NEW met2 ( 3294480 439930 0 ) ( * 460835 )
NEW met1 ( 3233520 460835 ) ( 3294480 * )
NEW met2 ( 3233520 360750 ) via2_FR
NEW met1 ( 3233520 460835 ) M1M2_PR
NEW met1 ( 3294480 460835 ) M1M2_PR ;
- mgmt_addr\[0\] ( storage mgmt_addr[0] ) ( soc mgmt_addr[0] ) + USE SIGNAL
+ ROUTED met3 ( 704160 267880 0 ) ( * 270470 )
NEW met3 ( 704160 270470 ) ( 722640 * )
NEW met2 ( 722640 270470 ) ( * 270655 )
NEW met1 ( 722640 270655 ) ( 741840 * )
NEW met2 ( 741840 270655 ) ( * 302475 )
NEW met2 ( 1009200 302475 ) ( * 306730 )
NEW met3 ( 1009200 306730 ) ( 1052640 * 0 )
NEW met1 ( 741840 302475 ) ( 1009200 * )
NEW met2 ( 722640 270470 ) via2_FR
NEW met1 ( 722640 270655 ) M1M2_PR
NEW met1 ( 741840 270655 ) M1M2_PR
NEW met1 ( 741840 302475 ) M1M2_PR
NEW met1 ( 1009200 302475 ) M1M2_PR
NEW met2 ( 1009200 306730 ) via2_FR ;
- mgmt_addr\[1\] ( storage mgmt_addr[1] ) ( soc mgmt_addr[1] ) + USE SIGNAL
+ ROUTED met1 ( 724080 273245 ) ( 755760 * )
NEW met2 ( 724080 273245 ) ( * 273430 )
NEW met3 ( 704160 273430 0 ) ( 724080 * )
NEW met2 ( 755760 273245 ) ( * 302845 )
NEW met2 ( 1007760 302845 ) ( * 308950 )
NEW met3 ( 1007760 308950 ) ( 1052640 * 0 )
NEW met1 ( 755760 302845 ) ( 1007760 * )
NEW met1 ( 755760 273245 ) M1M2_PR
NEW met1 ( 724080 273245 ) M1M2_PR
NEW met2 ( 724080 273430 ) via2_FR
NEW met1 ( 755760 302845 ) M1M2_PR
NEW met1 ( 1007760 302845 ) M1M2_PR
NEW met2 ( 1007760 308950 ) via2_FR ;
- mgmt_addr\[2\] ( storage mgmt_addr[2] ) ( soc mgmt_addr[2] ) + USE SIGNAL
+ ROUTED met3 ( 704160 279370 0 ) ( * 282310 )
NEW met3 ( 704160 282310 ) ( 720240 * )
NEW met2 ( 720240 282310 ) ( * 282495 )
NEW met1 ( 720240 282495 ) ( 763440 * )
NEW met2 ( 763440 282495 ) ( * 303215 )
NEW met2 ( 977520 303215 ) ( * 303770 )
NEW met3 ( 977520 303770 ) ( 1052640 * 0 )
NEW met1 ( 763440 303215 ) ( 977520 * )
NEW met2 ( 720240 282310 ) via2_FR
NEW met1 ( 720240 282495 ) M1M2_PR
NEW met1 ( 763440 282495 ) M1M2_PR
NEW met1 ( 763440 303215 ) M1M2_PR
NEW met1 ( 977520 303215 ) M1M2_PR
NEW met2 ( 977520 303770 ) via2_FR ;
- mgmt_addr\[3\] ( storage mgmt_addr[3] ) ( soc mgmt_addr[3] ) + USE SIGNAL
+ ROUTED met2 ( 781680 285455 ) ( * 303585 )
NEW met2 ( 734160 285270 ) ( * 285455 )
NEW met3 ( 704160 285270 0 ) ( 734160 * )
NEW met1 ( 734160 285455 ) ( 781680 * )
NEW met2 ( 1008240 303585 ) ( * 311910 )
NEW met3 ( 1008240 311910 ) ( 1052640 * 0 )
NEW met1 ( 781680 303585 ) ( 1008240 * )
NEW met1 ( 781680 285455 ) M1M2_PR
NEW met1 ( 781680 303585 ) M1M2_PR
NEW met1 ( 734160 285455 ) M1M2_PR
NEW met2 ( 734160 285270 ) via2_FR
NEW met1 ( 1008240 303585 ) M1M2_PR
NEW met2 ( 1008240 311910 ) via2_FR ;
- mgmt_addr\[4\] ( storage mgmt_addr[4] ) ( soc mgmt_addr[4] ) + USE SIGNAL
+ ROUTED met3 ( 704160 291610 0 ) ( * 293410 )
NEW met3 ( 704160 293410 ) ( 734160 * )
NEW met2 ( 734160 293410 ) ( * 295075 )
NEW met2 ( 1008720 295075 ) ( * 300810 )
NEW met3 ( 1008720 300810 ) ( 1052640 * 0 )
NEW met1 ( 734160 295075 ) ( 1008720 * )
NEW met2 ( 734160 293410 ) via2_FR
NEW met1 ( 734160 295075 ) M1M2_PR
NEW met1 ( 1008720 295075 ) M1M2_PR
NEW met2 ( 1008720 300810 ) via2_FR ;
- mgmt_addr\[5\] ( storage mgmt_addr[5] ) ( soc mgmt_addr[5] ) + USE SIGNAL
+ ROUTED met2 ( 802800 298035 ) ( * 303955 )
NEW met2 ( 734160 297850 ) ( * 298035 )
NEW met3 ( 704160 297850 0 ) ( 734160 * )
NEW met1 ( 734160 298035 ) ( 802800 * )
NEW met2 ( 1008720 303955 ) ( * 314870 )
NEW met3 ( 1008720 314870 ) ( 1052640 * 0 )
NEW met1 ( 802800 303955 ) ( 1008720 * )
NEW met1 ( 802800 298035 ) M1M2_PR
NEW met1 ( 802800 303955 ) M1M2_PR
NEW met1 ( 734160 298035 ) M1M2_PR
NEW met2 ( 734160 297850 ) via2_FR
NEW met1 ( 1008720 303955 ) M1M2_PR
NEW met2 ( 1008720 314870 ) via2_FR ;
- mgmt_addr\[6\] ( storage mgmt_addr[6] ) ( soc mgmt_addr[6] ) + USE SIGNAL
+ ROUTED met2 ( 734640 302105 ) ( * 303770 )
NEW met3 ( 704160 303770 0 ) ( 734640 * )
NEW met2 ( 1050000 298220 ) ( * 302105 )
NEW met3 ( 1050000 298220 ) ( 1052640 * 0 )
NEW met1 ( 734640 302105 ) ( 1050000 * )
NEW met1 ( 734640 302105 ) M1M2_PR
NEW met2 ( 734640 303770 ) via2_FR
NEW met1 ( 1050000 302105 ) M1M2_PR
NEW met2 ( 1050000 298220 ) via2_FR ;
- mgmt_addr\[7\] ( storage mgmt_addr[7] ) ( soc mgmt_addr[7] ) + USE SIGNAL
+ ROUTED met2 ( 734640 311910 ) ( * 316905 )
NEW met3 ( 704160 311910 ) ( 734640 * )
NEW met3 ( 704160 309320 0 ) ( * 311910 )
NEW met2 ( 977520 316905 ) ( * 317090 )
NEW met3 ( 977520 317090 ) ( 1052640 * 0 )
NEW met1 ( 734640 316905 ) ( 977520 * )
NEW met1 ( 734640 316905 ) M1M2_PR
NEW met2 ( 734640 311910 ) via2_FR
NEW met1 ( 977520 316905 ) M1M2_PR
NEW met2 ( 977520 317090 ) via2_FR ;
- mgmt_addr_ro\[0\] ( storage mgmt_addr_ro[0] ) ( soc mgmt_addr_ro[0] ) + USE SIGNAL
+ ROUTED met3 ( 704160 312650 ) ( * 315240 0 )
NEW met3 ( 704160 312650 ) ( 734160 * )
NEW met2 ( 734160 312465 ) ( * 312650 )
NEW met1 ( 734160 312465 ) ( 749040 * )
NEW met2 ( 749040 301735 ) ( * 312465 )
NEW met2 ( 991920 295630 ) ( * 301735 )
NEW met3 ( 991920 295630 ) ( 1052640 * 0 )
NEW met1 ( 749040 301735 ) ( 991920 * )
NEW met2 ( 734160 312650 ) via2_FR
NEW met1 ( 734160 312465 ) M1M2_PR
NEW met1 ( 749040 312465 ) M1M2_PR
NEW met1 ( 749040 301735 ) M1M2_PR
NEW met1 ( 991920 301735 ) M1M2_PR
NEW met2 ( 991920 295630 ) via2_FR ;
- mgmt_addr_ro\[1\] ( storage mgmt_addr_ro[1] ) ( soc mgmt_addr_ro[1] ) + USE SIGNAL
+ ROUTED met2 ( 734160 323750 ) ( * 323935 )
NEW met3 ( 704160 323750 ) ( 734160 * )
NEW met3 ( 704160 321670 0 ) ( * 323750 )
NEW met2 ( 1009200 320050 ) ( * 323935 )
NEW met3 ( 1009200 320050 ) ( 1052640 * 0 )
NEW met1 ( 734160 323935 ) ( 1009200 * )
NEW met1 ( 734160 323935 ) M1M2_PR
NEW met2 ( 734160 323750 ) via2_FR
NEW met1 ( 1009200 323935 ) M1M2_PR
NEW met2 ( 1009200 320050 ) via2_FR ;
- mgmt_addr_ro\[2\] ( storage mgmt_addr_ro[2] ) ( soc mgmt_addr_ro[2] ) + USE SIGNAL
+ ROUTED met2 ( 733680 324305 ) ( * 327450 )
NEW met3 ( 704160 327450 0 ) ( 733680 * )
NEW met2 ( 1008720 323010 ) ( * 324305 )
NEW met3 ( 1008720 323010 ) ( 1052640 * 0 )
NEW met1 ( 733680 324305 ) ( 1008720 * )
NEW met1 ( 733680 324305 ) M1M2_PR
NEW met2 ( 733680 327450 ) via2_FR
NEW met1 ( 1008720 324305 ) M1M2_PR
NEW met2 ( 1008720 323010 ) via2_FR ;
- mgmt_addr_ro\[3\] ( storage mgmt_addr_ro[3] ) ( soc mgmt_addr_ro[3] ) + USE SIGNAL
+ ROUTED met1 ( 749040 330965 ) ( * 331335 )
NEW met1 ( 734160 331335 ) ( 749040 * )
NEW met2 ( 734160 331335 ) ( * 331890 )
NEW met3 ( 704160 331890 ) ( 734160 * )
NEW met3 ( 704160 331890 ) ( * 333740 0 )
NEW met2 ( 1008720 325230 ) ( * 330965 )
NEW met3 ( 1008720 325230 ) ( 1052640 * 0 )
NEW met1 ( 749040 330965 ) ( 1008720 * )
NEW met1 ( 734160 331335 ) M1M2_PR
NEW met2 ( 734160 331890 ) via2_FR
NEW met1 ( 1008720 330965 ) M1M2_PR
NEW met2 ( 1008720 325230 ) via2_FR ;
- mgmt_addr_ro\[4\] ( storage mgmt_addr_ro[4] ) ( soc mgmt_addr_ro[4] ) + USE SIGNAL
+ ROUTED met3 ( 704160 337070 ) ( * 339660 0 )
NEW met3 ( 704160 337070 ) ( 733680 * )
NEW met2 ( 733680 336885 ) ( * 337070 )
NEW met1 ( 733680 336885 ) ( 763440 * )
NEW met2 ( 763440 330595 ) ( * 336885 )
NEW met2 ( 992880 328190 ) ( * 330595 )
NEW met3 ( 992880 328190 ) ( 1052640 * 0 )
NEW met1 ( 763440 330595 ) ( 992880 * )
NEW met2 ( 733680 337070 ) via2_FR
NEW met1 ( 733680 336885 ) M1M2_PR
NEW met1 ( 763440 336885 ) M1M2_PR
NEW met1 ( 763440 330595 ) M1M2_PR
NEW met1 ( 992880 330595 ) M1M2_PR
NEW met2 ( 992880 328190 ) via2_FR ;
- mgmt_addr_ro\[5\] ( storage mgmt_addr_ro[5] ) ( soc mgmt_addr_ro[5] ) + USE SIGNAL
+ ROUTED met2 ( 791760 330225 ) ( * 332075 )
NEW met2 ( 729360 332075 ) ( * 345210 )
NEW met3 ( 704160 345210 0 ) ( 729360 * )
NEW met1 ( 729360 332075 ) ( 791760 * )
NEW met2 ( 968400 330225 ) ( * 331150 )
NEW met3 ( 968400 331150 ) ( 1052640 * 0 )
NEW met1 ( 791760 330225 ) ( 968400 * )
NEW met1 ( 791760 332075 ) M1M2_PR
NEW met1 ( 791760 330225 ) M1M2_PR
NEW met1 ( 729360 332075 ) M1M2_PR
NEW met2 ( 729360 345210 ) via2_FR
NEW met1 ( 968400 330225 ) M1M2_PR
NEW met2 ( 968400 331150 ) via2_FR ;
- mgmt_addr_ro\[6\] ( storage mgmt_addr_ro[6] ) ( soc mgmt_addr_ro[6] ) + USE SIGNAL
+ ROUTED met3 ( 704160 348910 ) ( * 351450 0 )
NEW met3 ( 704160 348910 ) ( 720240 * )
NEW met2 ( 720240 345765 ) ( * 348910 )
NEW met1 ( 720240 345765 ) ( 734640 * )
NEW met1 ( 734640 345395 ) ( * 345765 )
NEW met2 ( 1009200 333370 ) ( * 345395 )
NEW met3 ( 1009200 333370 ) ( 1052640 * 0 )
NEW met1 ( 734640 345395 ) ( 1009200 * )
NEW met2 ( 720240 348910 ) via2_FR
NEW met1 ( 720240 345765 ) M1M2_PR
NEW met1 ( 1009200 345395 ) M1M2_PR
NEW met2 ( 1009200 333370 ) via2_FR ;
- mgmt_addr_ro\[7\] ( storage mgmt_addr_ro[7] ) ( soc mgmt_addr_ro[7] ) + USE SIGNAL
+ ROUTED met3 ( 704160 354830 ) ( * 357420 0 )
NEW met3 ( 704160 354830 ) ( 733680 * )
NEW met2 ( 733680 354645 ) ( * 354830 )
NEW met1 ( 733680 354645 ) ( 749040 * )
NEW met2 ( 749040 345025 ) ( * 354645 )
NEW met2 ( 1008240 336330 ) ( * 345025 )
NEW met3 ( 1008240 336330 ) ( 1052640 * 0 )
NEW met1 ( 749040 345025 ) ( 1008240 * )
NEW met2 ( 733680 354830 ) via2_FR
NEW met1 ( 733680 354645 ) M1M2_PR
NEW met1 ( 749040 354645 ) M1M2_PR
NEW met1 ( 749040 345025 ) M1M2_PR
NEW met1 ( 1008240 345025 ) M1M2_PR
NEW met2 ( 1008240 336330 ) via2_FR ;
- mgmt_ena\[0\] ( storage mgmt_ena[0] ) ( soc mgmt_ena[0] ) + USE SIGNAL
+ ROUTED met2 ( 769680 344655 ) ( * 360195 )
NEW met1 ( 733680 360195 ) ( 769680 * )
NEW met2 ( 733680 360195 ) ( * 369630 )
NEW met3 ( 704160 369630 0 ) ( 733680 * )
NEW met2 ( 1008720 339290 ) ( * 344655 )
NEW met3 ( 1008720 339290 ) ( 1052640 * 0 )
NEW met1 ( 769680 344655 ) ( 1008720 * )
NEW met1 ( 769680 344655 ) M1M2_PR
NEW met1 ( 769680 360195 ) M1M2_PR
NEW met1 ( 733680 360195 ) M1M2_PR
NEW met2 ( 733680 369630 ) via2_FR
NEW met1 ( 1008720 344655 ) M1M2_PR
NEW met2 ( 1008720 339290 ) via2_FR ;
- mgmt_ena\[1\] ( storage mgmt_ena[1] ) ( soc mgmt_ena[1] ) + USE SIGNAL
+ ROUTED met2 ( 782160 344285 ) ( * 374995 )
NEW met1 ( 740400 374995 ) ( * 375365 )
NEW met1 ( 731280 375365 ) ( 740400 * )
NEW met1 ( 731280 375365 ) ( * 375735 )
NEW met1 ( 720240 375735 ) ( 731280 * )
NEW met2 ( 720240 375550 ) ( * 375735 )
NEW met3 ( 704160 375550 ) ( 720240 * )
NEW met3 ( 704160 375550 ) ( * 375920 0 )
NEW met1 ( 740400 374995 ) ( 782160 * )
NEW met2 ( 981360 341510 ) ( * 344285 )
NEW met3 ( 981360 341510 ) ( 1052640 * 0 )
NEW met1 ( 782160 344285 ) ( 981360 * )
NEW met1 ( 782160 374995 ) M1M2_PR
NEW met1 ( 782160 344285 ) M1M2_PR
NEW met1 ( 720240 375735 ) M1M2_PR
NEW met2 ( 720240 375550 ) via2_FR
NEW met1 ( 981360 344285 ) M1M2_PR
NEW met2 ( 981360 341510 ) via2_FR ;
- mgmt_ena_ro ( storage mgmt_ena_ro ) ( soc mgmt_ena_ro ) + USE SIGNAL
+ ROUTED met2 ( 800400 343915 ) ( * 375365 )
NEW met3 ( 704160 378510 ) ( * 381100 0 )
NEW met3 ( 704160 378510 ) ( 731760 * )
NEW met2 ( 731760 376475 ) ( * 378510 )
NEW met1 ( 731760 376475 ) ( 740880 * )
NEW met1 ( 740880 375365 ) ( * 376475 )
NEW met1 ( 740880 375365 ) ( 800400 * )
NEW met2 ( 977520 343915 ) ( * 344470 )
NEW met3 ( 977520 344470 ) ( 1052640 * 0 )
NEW met1 ( 800400 343915 ) ( 977520 * )
NEW met1 ( 800400 375365 ) M1M2_PR
NEW met1 ( 800400 343915 ) M1M2_PR
NEW met2 ( 731760 378510 ) via2_FR
NEW met1 ( 731760 376475 ) M1M2_PR
NEW met1 ( 977520 343915 ) M1M2_PR
NEW met2 ( 977520 344470 ) via2_FR ;
- mgmt_io_in\[0\] ( soc mgmt_in_data[0] ) ( gpio_control_bidir\[0\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3373680 605875 ) ( * 609390 )
NEW met3 ( 3373680 609390 ) ( 3373920 * )
NEW met3 ( 3373920 609390 ) ( * 610870 0 )
NEW met3 ( 3202080 607170 ) ( * 608650 0 )
NEW met3 ( 3202080 607170 ) ( 3229680 * )
NEW met2 ( 3229680 605875 ) ( * 607170 )
NEW met1 ( 3229680 605875 ) ( 3373680 * )
NEW met1 ( 3373680 605875 ) M1M2_PR
NEW met2 ( 3373680 609390 ) via2_FR
NEW met2 ( 3229680 607170 ) via2_FR
NEW met1 ( 3229680 605875 ) M1M2_PR ;
- mgmt_io_in\[10\] ( soc mgmt_out_data[10] ) ( soc mgmt_in_data[10] ) ( gpio_control_in\[10\] mgmt_gpio_out ) ( gpio_control_in\[10\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3197760 1167350 0 ) ( 3198960 * )
NEW met2 ( 3198960 1167350 ) ( * 1180855 )
NEW met1 ( 3198960 1180855 ) ( 3250320 * )
NEW met2 ( 3250320 1180855 ) ( * 3067485 )
NEW met2 ( 3198480 258445 ) ( * 1167350 )
NEW met3 ( 3385440 3075810 0 ) ( * 3076550 )
NEW met3 ( 3385440 3076550 ) ( 3385680 * )
NEW met2 ( 3385680 3076550 ) ( * 3079510 )
NEW met3 ( 3385440 3079510 ) ( 3385680 * )
NEW met3 ( 3385440 3079510 ) ( * 3080990 0 )
NEW met2 ( 3385680 3067485 ) ( * 3076550 )
NEW met1 ( 3250320 3067485 ) ( 3385680 * )
NEW met1 ( 1096560 258445 ) ( * 258815 )
NEW met1 ( 1069200 258815 ) ( 1096560 * )
NEW met2 ( 1069200 258815 ) ( * 262145 )
NEW met1 ( 1096560 258445 ) ( 3198480 * )
NEW met2 ( 1056240 262145 ) ( * 268250 )
NEW met2 ( 1056000 268250 0 ) ( 1056240 * )
NEW met1 ( 1056240 262145 ) ( 1069200 * )
NEW met1 ( 3198480 258445 ) M1M2_PR
NEW met1 ( 3250320 3067485 ) M1M2_PR
NEW met1 ( 3198960 1180855 ) M1M2_PR
NEW met1 ( 3250320 1180855 ) M1M2_PR
NEW met2 ( 3385680 3076550 ) via2_FR
NEW met2 ( 3385680 3079510 ) via2_FR
NEW met1 ( 3385680 3067485 ) M1M2_PR
NEW met1 ( 1069200 258815 ) M1M2_PR
NEW met1 ( 1069200 262145 ) M1M2_PR
NEW met1 ( 1056240 262145 ) M1M2_PR ;
- mgmt_io_in\[11\] ( soc mgmt_out_data[11] ) ( soc mgmt_in_data[11] ) ( gpio_control_in\[11\] mgmt_gpio_out ) ( gpio_control_in\[11\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 3227280 1080215 ) ( 3229200 * )
NEW met1 ( 3227280 431975 ) ( 3230640 * )
NEW met2 ( 3230640 331335 ) ( * 431975 )
NEW met1 ( 3227760 331335 ) ( 3230640 * )
NEW met2 ( 3227760 259185 ) ( * 331335 )
NEW met1 ( 3227280 1036555 ) ( 3229200 * )
NEW met2 ( 3227280 1036555 ) ( * 1080215 )
NEW met1 ( 3229200 1165685 ) ( 3249840 * )
NEW met3 ( 3202080 1163280 0 ) ( * 1163650 )
NEW met3 ( 3202080 1163650 ) ( 3229200 * )
NEW met2 ( 3229200 1080215 ) ( * 1165685 )
NEW met2 ( 3249840 1165685 ) ( * 3297625 )
NEW met1 ( 3227280 434565 ) ( 3229680 * )
NEW met2 ( 3229680 434565 ) ( * 532615 )
NEW met1 ( 3227760 532615 ) ( 3229680 * )
NEW met2 ( 3227280 431975 ) ( * 434565 )
NEW met2 ( 1099920 259185 ) ( * 263995 )
NEW met1 ( 1099920 259185 ) ( 3227760 * )
NEW met1 ( 3227280 705405 ) ( 3229200 * )
NEW met2 ( 3229200 633255 ) ( * 705405 )
NEW met1 ( 3227760 633255 ) ( 3229200 * )
NEW met2 ( 3227760 532615 ) ( * 633255 )
NEW met1 ( 3227280 706145 ) ( 3229200 * )
NEW met2 ( 3227280 705405 ) ( * 706145 )
NEW met2 ( 3229200 706145 ) ( * 1036555 )
NEW met3 ( 3374880 3300770 0 ) ( * 3302250 )
NEW met3 ( 3374640 3302250 ) ( 3374880 * )
NEW met2 ( 3374640 3302250 ) ( * 3304470 )
NEW met3 ( 3374640 3304470 ) ( 3374880 * )
NEW met3 ( 3374880 3304470 ) ( * 3305950 0 )
NEW met2 ( 3374640 3297625 ) ( * 3302250 )
NEW met1 ( 3249840 3297625 ) ( 3374640 * )
NEW met2 ( 1058160 263995 ) ( * 266770 )
NEW met2 ( 1057920 266770 ) ( 1058160 * )
NEW met2 ( 1057920 266770 ) ( * 268250 0 )
NEW met1 ( 1058160 263995 ) ( 1099920 * )
NEW met1 ( 3227760 259185 ) M1M2_PR
NEW met1 ( 3227280 1080215 ) M1M2_PR
NEW met1 ( 3229200 1080215 ) M1M2_PR
NEW met1 ( 3249840 3297625 ) M1M2_PR
NEW met1 ( 3227280 431975 ) M1M2_PR
NEW met1 ( 3230640 431975 ) M1M2_PR
NEW met1 ( 3230640 331335 ) M1M2_PR
NEW met1 ( 3227760 331335 ) M1M2_PR
NEW met1 ( 3227280 1036555 ) M1M2_PR
NEW met1 ( 3229200 1036555 ) M1M2_PR
NEW met1 ( 3229200 1165685 ) M1M2_PR
NEW met1 ( 3249840 1165685 ) M1M2_PR
NEW met2 ( 3229200 1163650 ) via2_FR
NEW met1 ( 3227280 434565 ) M1M2_PR
NEW met1 ( 3229680 434565 ) M1M2_PR
NEW met1 ( 3229680 532615 ) M1M2_PR
NEW met1 ( 3227760 532615 ) M1M2_PR
NEW met1 ( 1099920 259185 ) M1M2_PR
NEW met1 ( 1099920 263995 ) M1M2_PR
NEW met1 ( 3227280 705405 ) M1M2_PR
NEW met1 ( 3229200 705405 ) M1M2_PR
NEW met1 ( 3229200 633255 ) M1M2_PR
NEW met1 ( 3227760 633255 ) M1M2_PR
NEW met1 ( 3227280 706145 ) M1M2_PR
NEW met1 ( 3229200 706145 ) M1M2_PR
NEW met2 ( 3374640 3302250 ) via2_FR
NEW met2 ( 3374640 3304470 ) via2_FR
NEW met1 ( 3374640 3297625 ) M1M2_PR
NEW met1 ( 1058160 263995 ) M1M2_PR
NEW met2 ( 3229200 1163650 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[12\] ( soc mgmt_out_data[12] ) ( soc mgmt_in_data[12] ) ( gpio_control_in\[12\] mgmt_gpio_out ) ( gpio_control_in\[12\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3196080 1167350 0 ) ( * 1181595 )
NEW met1 ( 3196080 1181595 ) ( 3249360 * )
NEW met3 ( 3187680 1168090 ) ( 3194640 * )
NEW met2 ( 3194640 1167350 ) ( * 1168090 )
NEW met2 ( 3194640 1167350 ) ( 3196080 * 0 )
NEW met2 ( 3249360 1181595 ) ( * 3513705 )
NEW met4 ( 3187680 260110 ) ( * 1168090 )
NEW met3 ( 3385440 3526840 0 ) ( * 3527950 )
NEW met3 ( 3385200 3527950 ) ( 3385440 * )
NEW met2 ( 3385200 3527950 ) ( * 3530910 )
NEW met3 ( 3385200 3530910 ) ( 3385440 * )
NEW met3 ( 3385440 3530910 ) ( * 3532390 0 )
NEW met2 ( 3385200 3513705 ) ( * 3527950 )
NEW met1 ( 3249360 3513705 ) ( 3385200 * )
NEW met2 ( 1059600 260110 ) ( * 268250 )
NEW met2 ( 1059600 268250 ) ( 1059840 * 0 )
NEW met3 ( 1059600 260110 ) ( 3187680 * )
NEW met1 ( 3249360 3513705 ) M1M2_PR
NEW met3 ( 3187680 260110 ) M3M4_PR_M
NEW met1 ( 3196080 1181595 ) M1M2_PR
NEW met1 ( 3249360 1181595 ) M1M2_PR
NEW met3 ( 3187680 1168090 ) M3M4_PR_M
NEW met2 ( 3194640 1168090 ) via2_FR
NEW met2 ( 3385200 3527950 ) via2_FR
NEW met2 ( 3385200 3530910 ) via2_FR
NEW met1 ( 3385200 3513705 ) M1M2_PR
NEW met2 ( 1059600 260110 ) via2_FR ;
- mgmt_io_in\[13\] ( soc mgmt_out_data[13] ) ( soc mgmt_in_data[13] ) ( gpio_control_in\[13\] mgmt_gpio_out ) ( gpio_control_in\[13\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 3228720 1166055 ) ( 3248880 * )
NEW met3 ( 3202080 1159950 ) ( * 1160690 0 )
NEW met3 ( 3202080 1159950 ) ( 3228720 * )
NEW met2 ( 3248880 1166055 ) ( * 3744215 )
NEW met2 ( 3228720 258815 ) ( * 1166055 )
NEW met3 ( 3385440 3751800 0 ) ( * 3752910 )
NEW met3 ( 3385200 3752910 ) ( 3385440 * )
NEW met2 ( 3385200 3752910 ) ( * 3755870 )
NEW met3 ( 3385200 3755870 ) ( 3385440 * )
NEW met3 ( 3385440 3755870 ) ( * 3757350 0 )
NEW met2 ( 3385200 3744215 ) ( * 3752910 )
NEW met1 ( 3248880 3744215 ) ( 3385200 * )
NEW met1 ( 1099440 258815 ) ( * 259185 )
NEW met1 ( 1067280 259185 ) ( 1099440 * )
NEW met2 ( 1067280 259185 ) ( * 262515 )
NEW met1 ( 1099440 258815 ) ( 3228720 * )
NEW met2 ( 1061520 262515 ) ( * 268250 0 )
NEW met1 ( 1061520 262515 ) ( 1067280 * )
NEW met1 ( 3228720 258815 ) M1M2_PR
NEW met1 ( 3248880 3744215 ) M1M2_PR
NEW met1 ( 3228720 1166055 ) M1M2_PR
NEW met1 ( 3248880 1166055 ) M1M2_PR
NEW met2 ( 3228720 1159950 ) via2_FR
NEW met2 ( 3385200 3752910 ) via2_FR
NEW met2 ( 3385200 3755870 ) via2_FR
NEW met1 ( 3385200 3744215 ) M1M2_PR
NEW met1 ( 1067280 259185 ) M1M2_PR
NEW met1 ( 1067280 262515 ) M1M2_PR
NEW met1 ( 1061520 262515 ) M1M2_PR
NEW met2 ( 3228720 1159950 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[14\] ( soc mgmt_out_data[14] ) ( soc mgmt_in_data[14] ) ( gpio_control_in\[14\] mgmt_gpio_out ) ( gpio_control_in\[14\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3385440 4642390 ) ( * 4643870 0 )
NEW met3 ( 3385200 4642390 ) ( 3385440 * )
NEW met2 ( 3385200 4637025 ) ( * 4642390 )
NEW met3 ( 3385440 4647570 ) ( * 4649050 0 )
NEW met3 ( 3385200 4647570 ) ( 3385440 * )
NEW met2 ( 3385200 4642390 ) ( * 4647570 )
NEW met1 ( 3248400 4637025 ) ( 3385200 * )
NEW met2 ( 3194160 1167350 0 ) ( * 1181225 )
NEW met1 ( 3194160 1181225 ) ( 3248400 * )
NEW met3 ( 3188640 1168830 ) ( 3194160 * )
NEW met2 ( 3248400 1181225 ) ( * 4637025 )
NEW met4 ( 3188640 259370 ) ( * 1168830 )
NEW met2 ( 1063440 259370 ) ( * 268250 0 )
NEW met3 ( 1063440 259370 ) ( 3188640 * )
NEW met1 ( 3248400 4637025 ) M1M2_PR
NEW met3 ( 3188640 259370 ) M3M4_PR_M
NEW met2 ( 3385200 4642390 ) via2_FR
NEW met1 ( 3385200 4637025 ) M1M2_PR
NEW met2 ( 3385200 4647570 ) via2_FR
NEW met1 ( 3194160 1181225 ) M1M2_PR
NEW met1 ( 3248400 1181225 ) M1M2_PR
NEW met3 ( 3188640 1168830 ) M3M4_PR_M
NEW met2 ( 3194160 1168830 ) via2_FR
NEW met2 ( 1063440 259370 ) via2_FR
NEW met2 ( 3194160 1168830 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[15\] ( soc mgmt_out_data[15] ) ( soc mgmt_in_data[15] ) ( gpio_control_in\[15\] mgmt_gpio_out ) ( gpio_control_in\[15\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 2735760 1180855 ) ( 2746320 * )
NEW met2 ( 2745120 1167350 0 ) ( 2746320 * )
NEW met2 ( 2735760 1173270 ) ( * 1180855 )
NEW met2 ( 2746320 1167350 ) ( * 1188625 )
NEW met1 ( 2746320 1188625 ) ( 3246960 * )
NEW met2 ( 3246960 1188625 ) ( * 4975575 )
NEW met3 ( 2757120 4978350 ) ( * 4979090 0 )
NEW met3 ( 2751840 4978350 ) ( 2757120 * )
NEW met3 ( 2751840 4978350 ) ( * 4979090 0 )
NEW met2 ( 2777040 4975575 ) ( * 4978350 )
NEW met3 ( 2757120 4978350 ) ( 2777040 * )
NEW met1 ( 2777040 4975575 ) ( 3246960 * )
NEW met3 ( 1058400 1173270 ) ( 2735760 * )
NEW met2 ( 1065360 267510 ) ( * 268250 0 )
NEW met3 ( 1065120 267510 ) ( 1065360 * )
NEW met4 ( 1054560 424575 ) ( 1056480 * )
NEW met4 ( 1056480 374625 ) ( * 424575 )
NEW met4 ( 1056480 374625 ) ( 1059360 * )
NEW met4 ( 1055520 364635 ) ( 1059360 * )
NEW met4 ( 1055520 364450 ) ( * 364635 )
NEW met3 ( 1050720 364450 ) ( 1055520 * )
NEW met4 ( 1050720 347985 ) ( * 364450 )
NEW met4 ( 1050720 347985 ) ( 1053600 * )
NEW met4 ( 1053600 274725 ) ( * 347985 )
NEW met5 ( 1053600 274725 ) ( 1067040 * )
NEW met4 ( 1067040 274725 ) ( * 278055 )
NEW met4 ( 1065120 278055 ) ( 1067040 * )
NEW met4 ( 1059360 364635 ) ( * 374625 )
NEW met4 ( 1065120 267510 ) ( * 278055 )
NEW met3 ( 1050960 1025270 ) ( 1055520 * )
NEW met4 ( 1055520 1025270 ) ( 1056480 * )
NEW met4 ( 1056480 1025270 ) ( * 1027305 )
NEW met4 ( 1056480 1027305 ) ( 1058400 * )
NEW met4 ( 1058400 1027305 ) ( * 1173270 )
NEW met4 ( 1051680 624375 ) ( 1054560 * )
NEW met4 ( 1051680 624375 ) ( * 686350 )
NEW met3 ( 1051680 686350 ) ( 1055520 * )
NEW met4 ( 1055520 686350 ) ( * 687645 )
NEW met4 ( 1055520 687645 ) ( 1056480 * )
NEW met4 ( 1054560 424575 ) ( * 624375 )
NEW met3 ( 1050960 821030 ) ( 1055520 * )
NEW met4 ( 1055520 820845 ) ( * 821030 )
NEW met4 ( 1055520 820845 ) ( 1056480 * )
NEW met2 ( 1050960 821030 ) ( * 1025270 )
NEW met4 ( 1056480 687645 ) ( * 820845 )
NEW met1 ( 3246960 4975575 ) M1M2_PR
NEW met1 ( 2746320 1188625 ) M1M2_PR
NEW met1 ( 2735760 1180855 ) M1M2_PR
NEW met1 ( 2746320 1180855 ) M1M2_PR
NEW met2 ( 2735760 1173270 ) via2_FR
NEW met1 ( 3246960 1188625 ) M1M2_PR
NEW met1 ( 2777040 4975575 ) M1M2_PR
NEW met2 ( 2777040 4978350 ) via2_FR
NEW met3 ( 1058400 1173270 ) M3M4_PR_M
NEW met2 ( 1065360 267510 ) via2_FR
NEW met3 ( 1065120 267510 ) M3M4_PR_M
NEW met3 ( 1055520 364450 ) M3M4_PR_M
NEW met3 ( 1050720 364450 ) M3M4_PR_M
NEW met4 ( 1053600 274725 ) via4_FR
NEW met4 ( 1067040 274725 ) via4_FR
NEW met2 ( 1050960 1025270 ) via2_FR
NEW met3 ( 1055520 1025270 ) M3M4_PR_M
NEW met3 ( 1051680 686350 ) M3M4_PR_M
NEW met3 ( 1055520 686350 ) M3M4_PR_M
NEW met2 ( 1050960 821030 ) via2_FR
NEW met3 ( 1055520 821030 ) M3M4_PR_M
NEW met2 ( 2746320 1180855 ) RECT ( -70 0 70 485 )
NEW met3 ( 1065120 267510 ) RECT ( -380 -150 0 150 ) ;
- mgmt_io_in\[16\] ( soc mgmt_out_data[16] ) ( soc mgmt_in_data[16] ) ( gpio_control_in\[16\] mgmt_gpio_out ) ( gpio_control_in\[16\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3015600 1196025 ) ( * 1223775 )
NEW met1 ( 3015600 1223775 ) ( 3024240 * )
NEW met1 ( 3024240 1223775 ) ( * 1224145 )
NEW met1 ( 3024240 1224145 ) ( 3038640 * )
NEW met2 ( 3038640 1224145 ) ( * 1252635 )
NEW met1 ( 3038640 1252635 ) ( 3053040 * )
NEW met1 ( 3053040 1252635 ) ( * 1253005 )
NEW met1 ( 3053040 1253005 ) ( 3081840 * )
NEW met1 ( 3197040 1383985 ) ( 3247920 * )
NEW met2 ( 3081840 1253005 ) ( * 1288895 )
NEW met2 ( 3197040 1368075 ) ( * 1383985 )
NEW met2 ( 3247920 1383985 ) ( * 4917855 )
NEW met3 ( 2500320 5078990 0 ) ( * 5079730 )
NEW met3 ( 2496480 5079730 ) ( 2500320 * )
NEW met3 ( 2496480 5078990 ) ( * 5079730 )
NEW met3 ( 2494560 5078990 0 ) ( 2496480 * )
NEW met2 ( 2981040 1188255 ) ( * 1196025 )
NEW met1 ( 2981040 1196025 ) ( 3015600 * )
NEW met3 ( 2500320 4996850 0 ) ( 2501040 * )
NEW met2 ( 2501040 4996850 ) ( * 4997035 )
NEW met1 ( 2501040 4997035 ) ( 2579280 * )
NEW met2 ( 2579280 4917855 ) ( * 4997035 )
NEW met3 ( 2500320 4996850 0 ) ( * 5078990 0 )
NEW met2 ( 3153840 1288895 ) ( * 1368075 )
NEW met1 ( 3081840 1288895 ) ( 3153840 * )
NEW met1 ( 3153840 1368075 ) ( 3197040 * )
NEW met1 ( 2579280 4917855 ) ( 3247920 * )
NEW met2 ( 1067040 268250 0 ) ( 1067280 * )
NEW met2 ( 1067280 265845 ) ( * 268250 )
NEW met1 ( 1049040 1168645 ) ( 1061040 * )
NEW met2 ( 1061040 1168645 ) ( * 1183630 )
NEW met2 ( 1137360 1183630 ) ( 1138320 * )
NEW met3 ( 1061040 1183630 ) ( 1137360 * )
NEW met4 ( 1195680 1181410 ) ( * 1183630 )
NEW met3 ( 1195680 1181410 ) ( 1295760 * )
NEW met2 ( 1295760 1181410 ) ( * 1183630 )
NEW met3 ( 1138320 1183630 ) ( 1195680 * )
NEW met2 ( 2001840 1183445 ) ( * 1183630 )
NEW met1 ( 2001840 1183445 ) ( 2102160 * )
NEW met2 ( 2102160 1183445 ) ( * 1183630 )
NEW met3 ( 1295760 1183630 ) ( 2001840 * )
NEW met2 ( 2203440 1183445 ) ( * 1183630 )
NEW met1 ( 2203440 1183445 ) ( 2303760 * )
NEW met2 ( 2303760 1183445 ) ( * 1183630 )
NEW met3 ( 2102160 1183630 ) ( 2203440 * )
NEW met2 ( 2487600 1167350 0 ) ( * 1178635 )
NEW met1 ( 2405040 1178635 ) ( 2487600 * )
NEW met2 ( 2405040 1178635 ) ( * 1183630 )
NEW met2 ( 2487600 1178635 ) ( * 1188255 )
NEW met3 ( 2303760 1183630 ) ( 2405040 * )
NEW met1 ( 2487600 1188255 ) ( 2981040 * )
NEW met1 ( 1049040 359825 ) ( 1050960 * )
NEW met2 ( 1050960 312650 ) ( * 359825 )
NEW met3 ( 1050960 312650 ) ( 1051680 * )
NEW met4 ( 1051680 271210 ) ( * 312650 )
NEW met3 ( 1051680 271210 ) ( 1052400 * )
NEW met2 ( 1052400 265845 ) ( * 271210 )
NEW met1 ( 1052400 265845 ) ( 1067280 * )
NEW met2 ( 1049040 359825 ) ( * 1168645 )
NEW met1 ( 3015600 1196025 ) M1M2_PR
NEW met1 ( 3015600 1223775 ) M1M2_PR
NEW met1 ( 3038640 1224145 ) M1M2_PR
NEW met1 ( 3038640 1252635 ) M1M2_PR
NEW met1 ( 3081840 1253005 ) M1M2_PR
NEW met1 ( 3197040 1383985 ) M1M2_PR
NEW met1 ( 3247920 1383985 ) M1M2_PR
NEW met1 ( 3081840 1288895 ) M1M2_PR
NEW met1 ( 3197040 1368075 ) M1M2_PR
NEW met1 ( 3247920 4917855 ) M1M2_PR
NEW met1 ( 2981040 1188255 ) M1M2_PR
NEW met1 ( 2981040 1196025 ) M1M2_PR
NEW met2 ( 2501040 4996850 ) via2_FR
NEW met1 ( 2501040 4997035 ) M1M2_PR
NEW met1 ( 2579280 4997035 ) M1M2_PR
NEW met1 ( 2579280 4917855 ) M1M2_PR
NEW met1 ( 3153840 1288895 ) M1M2_PR
NEW met1 ( 3153840 1368075 ) M1M2_PR
NEW met1 ( 1067280 265845 ) M1M2_PR
NEW met1 ( 1049040 1168645 ) M1M2_PR
NEW met1 ( 1061040 1168645 ) M1M2_PR
NEW met2 ( 1061040 1183630 ) via2_FR
NEW met2 ( 1137360 1183630 ) via2_FR
NEW met2 ( 1138320 1183630 ) via2_FR
NEW met3 ( 1195680 1183630 ) M3M4_PR_M
NEW met3 ( 1195680 1181410 ) M3M4_PR_M
NEW met2 ( 1295760 1181410 ) via2_FR
NEW met2 ( 1295760 1183630 ) via2_FR
NEW met2 ( 2001840 1183630 ) via2_FR
NEW met1 ( 2001840 1183445 ) M1M2_PR
NEW met1 ( 2102160 1183445 ) M1M2_PR
NEW met2 ( 2102160 1183630 ) via2_FR
NEW met2 ( 2203440 1183630 ) via2_FR
NEW met1 ( 2203440 1183445 ) M1M2_PR
NEW met1 ( 2303760 1183445 ) M1M2_PR
NEW met2 ( 2303760 1183630 ) via2_FR
NEW met1 ( 2487600 1178635 ) M1M2_PR
NEW met1 ( 2405040 1178635 ) M1M2_PR
NEW met2 ( 2405040 1183630 ) via2_FR
NEW met1 ( 2487600 1188255 ) M1M2_PR
NEW met1 ( 1049040 359825 ) M1M2_PR
NEW met1 ( 1050960 359825 ) M1M2_PR
NEW met2 ( 1050960 312650 ) via2_FR
NEW met3 ( 1051680 312650 ) M3M4_PR_M
NEW met3 ( 1051680 271210 ) M3M4_PR_M
NEW met2 ( 1052400 271210 ) via2_FR
NEW met1 ( 1052400 265845 ) M1M2_PR ;
- mgmt_io_in\[17\] ( soc mgmt_out_data[17] ) ( soc mgmt_in_data[17] ) ( gpio_control_in\[17\] mgmt_gpio_out ) ( gpio_control_in\[17\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3038160 1187885 ) ( * 1202315 )
NEW met2 ( 3210960 1296295 ) ( * 1324970 )
NEW met2 ( 3210960 1324970 ) ( 3211440 * )
NEW met2 ( 3211440 1324970 ) ( * 1367705 )
NEW met1 ( 3211440 1367705 ) ( 3225840 * )
NEW met1 ( 3225840 1367705 ) ( * 1368075 )
NEW met1 ( 3225840 1368075 ) ( 3247440 * )
NEW met2 ( 3247440 1368075 ) ( * 4917485 )
NEW met2 ( 3139440 1202315 ) ( * 1240425 )
NEW met1 ( 3139440 1240425 ) ( 3162480 * )
NEW met1 ( 3038160 1202315 ) ( 3139440 * )
NEW met2 ( 3162480 1240425 ) ( * 1296295 )
NEW met1 ( 3162480 1296295 ) ( 3210960 * )
NEW met3 ( 1048560 1183630 ) ( 1060320 * )
NEW met3 ( 1060320 1182150 ) ( * 1183630 )
NEW met2 ( 2103120 1167350 0 ) ( * 1187885 )
NEW met3 ( 1060320 1182150 ) ( 2103120 * )
NEW met3 ( 2109600 4979090 0 ) ( 2111520 * )
NEW met3 ( 2111520 4978350 ) ( * 4979090 )
NEW met3 ( 2111520 4978350 ) ( 2115360 * )
NEW met3 ( 2115360 4978350 ) ( * 4979090 0 )
NEW met1 ( 2103120 1187885 ) ( 3038160 * )
NEW met1 ( 2110800 4963735 ) ( 2131440 * )
NEW met2 ( 2131440 4917485 ) ( * 4963735 )
NEW met2 ( 2110800 4963735 ) ( * 4979090 )
NEW met1 ( 2131440 4917485 ) ( 3247440 * )
NEW met2 ( 1068960 270470 0 ) ( * 270655 )
NEW met1 ( 1048560 270655 ) ( 1068960 * )
NEW met2 ( 1048560 270655 ) ( * 1183630 )
NEW met1 ( 3038160 1187885 ) M1M2_PR
NEW met1 ( 3038160 1202315 ) M1M2_PR
NEW met1 ( 3210960 1296295 ) M1M2_PR
NEW met1 ( 3211440 1367705 ) M1M2_PR
NEW met1 ( 3247440 1368075 ) M1M2_PR
NEW met1 ( 3247440 4917485 ) M1M2_PR
NEW met1 ( 3139440 1202315 ) M1M2_PR
NEW met1 ( 3139440 1240425 ) M1M2_PR
NEW met1 ( 3162480 1240425 ) M1M2_PR
NEW met1 ( 3162480 1296295 ) M1M2_PR
NEW met2 ( 1048560 1183630 ) via2_FR
NEW met1 ( 2103120 1187885 ) M1M2_PR
NEW met2 ( 2103120 1182150 ) via2_FR
NEW met2 ( 2110800 4979090 ) via2_FR
NEW met1 ( 2110800 4963735 ) M1M2_PR
NEW met1 ( 2131440 4963735 ) M1M2_PR
NEW met1 ( 2131440 4917485 ) M1M2_PR
NEW met1 ( 1068960 270655 ) M1M2_PR
NEW met1 ( 1048560 270655 ) M1M2_PR
NEW met2 ( 2103120 1182150 ) RECT ( -70 -485 70 0 )
NEW met3 ( 2110800 4979090 ) RECT ( -800 -150 0 150 ) ;
- mgmt_io_in\[18\] ( soc mgmt_out_data[18] ) ( soc mgmt_in_data[18] ) ( gpio_control_in\[18\] mgmt_gpio_out ) ( gpio_control_in\[18\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 1772640 5078990 0 ) ( * 5079730 )
NEW met3 ( 1772640 5079730 ) ( 1776480 * )
NEW met3 ( 1776480 5078990 ) ( * 5079730 )
NEW met3 ( 1776480 5078990 ) ( 1778400 * 0 )
NEW met3 ( 1767120 5079730 ) ( 1772640 * )
NEW met1 ( 324240 4942645 ) ( 334320 * )
NEW met2 ( 334320 4942645 ) ( * 4975575 )
NEW met2 ( 324240 1389535 ) ( * 4942645 )
NEW met2 ( 1757040 1194175 ) ( * 1195470 )
NEW met1 ( 1757040 1194175 ) ( 1794480 * )
NEW met2 ( 1794480 1167350 ) ( * 1194175 )
NEW met2 ( 1794480 1167350 ) ( 1795680 * 0 )
NEW met2 ( 1748400 4975575 ) ( * 5039030 )
NEW met3 ( 1748400 5039030 ) ( 1766640 * )
NEW met2 ( 1766640 5039030 ) ( 1767120 * )
NEW met2 ( 1767120 5039030 ) ( * 5079730 )
NEW met1 ( 964560 1310355 ) ( * 1310725 )
NEW met1 ( 964560 1310355 ) ( 965040 * )
NEW met2 ( 965040 1267065 ) ( * 1310355 )
NEW met1 ( 965040 1267065 ) ( 993840 * )
NEW met2 ( 993840 1238575 ) ( * 1267065 )
NEW met1 ( 993840 1238575 ) ( 1036560 * )
NEW met1 ( 911280 1310725 ) ( 964560 * )
NEW met1 ( 1036560 1238205 ) ( * 1238575 )
NEW met1 ( 324240 1389535 ) ( 911280 * )
NEW met2 ( 911280 1310725 ) ( * 1389535 )
NEW met2 ( 1047120 1190290 ) ( 1049520 * )
NEW met2 ( 1047120 1190290 ) ( * 1238205 )
NEW met1 ( 1036560 1238205 ) ( 1047120 * )
NEW met3 ( 1047120 1195470 ) ( 1757040 * )
NEW met1 ( 334320 4975575 ) ( 1748400 * )
NEW met2 ( 1070640 268250 ) ( 1070880 * 0 )
NEW met2 ( 1070640 258445 ) ( * 268250 )
NEW met1 ( 1049520 258445 ) ( 1070640 * )
NEW met2 ( 1049520 258445 ) ( * 1190290 )
NEW met1 ( 324240 1389535 ) M1M2_PR
NEW met2 ( 1767120 5079730 ) via2_FR
NEW met1 ( 324240 4942645 ) M1M2_PR
NEW met1 ( 334320 4942645 ) M1M2_PR
NEW met1 ( 334320 4975575 ) M1M2_PR
NEW met1 ( 911280 1310725 ) M1M2_PR
NEW met2 ( 1757040 1195470 ) via2_FR
NEW met1 ( 1757040 1194175 ) M1M2_PR
NEW met1 ( 1794480 1194175 ) M1M2_PR
NEW met1 ( 1748400 4975575 ) M1M2_PR
NEW met2 ( 1748400 5039030 ) via2_FR
NEW met2 ( 1766640 5039030 ) via2_FR
NEW met1 ( 965040 1310355 ) M1M2_PR
NEW met1 ( 965040 1267065 ) M1M2_PR
NEW met1 ( 993840 1267065 ) M1M2_PR
NEW met1 ( 993840 1238575 ) M1M2_PR
NEW met1 ( 911280 1389535 ) M1M2_PR
NEW met1 ( 1047120 1238205 ) M1M2_PR
NEW met2 ( 1047120 1195470 ) via2_FR
NEW met1 ( 1070640 258445 ) M1M2_PR
NEW met1 ( 1049520 258445 ) M1M2_PR
NEW met2 ( 1047120 1195470 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[19\] ( soc mgmt_out_data[19] ) ( soc mgmt_in_data[19] ) ( gpio_control_in\[19\] mgmt_gpio_out ) ( gpio_control_in\[19\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 423600 1267435 ) ( 432240 * )
NEW met1 ( 432240 1267065 ) ( * 1267435 )
NEW met1 ( 432240 1267065 ) ( 461040 * )
NEW met2 ( 461040 1252635 ) ( * 1267065 )
NEW met2 ( 423600 1267435 ) ( * 1303325 )
NEW met1 ( 461040 1252635 ) ( 486000 * )
NEW met1 ( 324720 1362155 ) ( 359760 * )
NEW met2 ( 359760 1353090 ) ( * 1362155 )
NEW met2 ( 359760 1353090 ) ( 360240 * )
NEW met2 ( 360240 1303325 ) ( * 1353090 )
NEW met1 ( 360240 1303325 ) ( 423600 * )
NEW met2 ( 324720 1362155 ) ( * 4917485 )
NEW met2 ( 1339440 4917485 ) ( * 4931915 )
NEW met1 ( 324720 4917485 ) ( 1339440 * )
NEW met1 ( 1339440 4931915 ) ( 1454640 * )
NEW met2 ( 1796400 1167350 ) ( * 1182890 )
NEW met2 ( 1796400 1167350 ) ( 1797600 * 0 )
NEW met2 ( 486000 1223405 ) ( * 1252635 )
NEW met2 ( 712560 1188070 ) ( * 1223405 )
NEW met1 ( 486000 1223405 ) ( 712560 * )
NEW met2 ( 1074000 1182890 ) ( * 1188070 )
NEW met3 ( 712560 1188070 ) ( 1074000 * )
NEW met1 ( 1454640 4971505 ) ( 1495920 * )
NEW met2 ( 1495920 4971505 ) ( * 5029410 )
NEW met2 ( 1454640 4931915 ) ( * 4971505 )
NEW met3 ( 1074000 1182890 ) ( 1796400 * )
NEW met2 ( 1072560 258075 ) ( * 268250 0 )
NEW met1 ( 1048080 258075 ) ( 1072560 * )
NEW met3 ( 1520640 4996110 0 ) ( 1521840 * )
NEW met2 ( 1521840 4996110 ) ( * 4996295 )
NEW met1 ( 1521840 4996295 ) ( 1524720 * )
NEW met2 ( 1524720 4996110 ) ( * 4996295 )
NEW met3 ( 1524720 4996110 ) ( 1525920 * 0 )
NEW met2 ( 1515120 4996295 ) ( * 5029410 )
NEW met1 ( 1515120 4996295 ) ( 1521840 * )
NEW met3 ( 1495920 5029410 ) ( 1515120 * )
NEW met2 ( 1048080 258075 ) ( * 1188070 )
NEW met1 ( 423600 1267435 ) M1M2_PR
NEW met1 ( 461040 1267065 ) M1M2_PR
NEW met1 ( 461040 1252635 ) M1M2_PR
NEW met1 ( 423600 1303325 ) M1M2_PR
NEW met1 ( 1454640 4931915 ) M1M2_PR
NEW met1 ( 486000 1252635 ) M1M2_PR
NEW met1 ( 324720 1362155 ) M1M2_PR
NEW met1 ( 359760 1362155 ) M1M2_PR
NEW met1 ( 360240 1303325 ) M1M2_PR
NEW met1 ( 324720 4917485 ) M1M2_PR
NEW met1 ( 1339440 4917485 ) M1M2_PR
NEW met1 ( 1339440 4931915 ) M1M2_PR
NEW met2 ( 1796400 1182890 ) via2_FR
NEW met1 ( 486000 1223405 ) M1M2_PR
NEW met2 ( 712560 1188070 ) via2_FR
NEW met1 ( 712560 1223405 ) M1M2_PR
NEW met2 ( 1074000 1188070 ) via2_FR
NEW met2 ( 1074000 1182890 ) via2_FR
NEW met2 ( 1048080 1188070 ) via2_FR
NEW met1 ( 1454640 4971505 ) M1M2_PR
NEW met1 ( 1495920 4971505 ) M1M2_PR
NEW met2 ( 1495920 5029410 ) via2_FR
NEW met1 ( 1072560 258075 ) M1M2_PR
NEW met1 ( 1048080 258075 ) M1M2_PR
NEW met2 ( 1521840 4996110 ) via2_FR
NEW met1 ( 1521840 4996295 ) M1M2_PR
NEW met1 ( 1524720 4996295 ) M1M2_PR
NEW met2 ( 1524720 4996110 ) via2_FR
NEW met2 ( 1515120 5029410 ) via2_FR
NEW met1 ( 1515120 4996295 ) M1M2_PR
NEW met3 ( 1048080 1188070 ) RECT ( -800 -150 0 150 ) ;
- mgmt_io_in\[1\] ( soc mgmt_in_data[1] ) ( gpio_control_bidir\[1\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3202080 831390 0 ) ( 3231600 * )
NEW met2 ( 3231600 831390 ) ( * 836015 )
NEW met2 ( 3373680 835830 ) ( * 836015 )
NEW met3 ( 3373680 835830 ) ( 3373920 * )
NEW met3 ( 3373920 835830 ) ( * 836570 0 )
NEW met1 ( 3231600 836015 ) ( 3373680 * )
NEW met2 ( 3231600 831390 ) via2_FR
NEW met1 ( 3231600 836015 ) M1M2_PR
NEW met1 ( 3373680 836015 ) M1M2_PR
NEW met2 ( 3373680 835830 ) via2_FR ;
- mgmt_io_in\[20\] ( soc mgmt_out_data[20] ) ( soc mgmt_in_data[20] ) ( gpio_control_in\[20\] mgmt_gpio_out ) ( gpio_control_in\[20\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 396240 1325155 ) ( * 1367705 )
NEW met1 ( 396240 1325155 ) ( 417840 * )
NEW met1 ( 417840 1324785 ) ( * 1325155 )
NEW met1 ( 417840 1324785 ) ( 432240 * )
NEW met2 ( 432240 1296295 ) ( * 1324785 )
NEW met1 ( 432240 1296295 ) ( 446640 * )
NEW met1 ( 446640 1295925 ) ( * 1296295 )
NEW met1 ( 446640 1295925 ) ( 461520 * )
NEW met2 ( 461520 1274465 ) ( * 1295925 )
NEW met1 ( 323760 1392865 ) ( 333360 * )
NEW met2 ( 522000 1250415 ) ( * 1274465 )
NEW met1 ( 522000 1250415 ) ( 533040 * )
NEW met1 ( 461520 1274465 ) ( 522000 * )
NEW met1 ( 333360 1368075 ) ( 345840 * )
NEW met1 ( 345840 1367705 ) ( * 1368075 )
NEW met2 ( 333360 1368075 ) ( * 1392865 )
NEW met1 ( 345840 1367705 ) ( 396240 * )
NEW met2 ( 323760 1392865 ) ( * 4917855 )
NEW met2 ( 1127760 4917855 ) ( * 4924885 )
NEW met1 ( 1127760 4924885 ) ( 1166160 * )
NEW met2 ( 1166160 4924885 ) ( * 4944310 )
NEW met2 ( 1166160 4944310 ) ( 1166640 * )
NEW met2 ( 1166640 4944310 ) ( * 4975205 )
NEW met1 ( 323760 4917855 ) ( 1127760 * )
NEW met1 ( 533040 1224145 ) ( 547440 * )
NEW met1 ( 547440 1223775 ) ( * 1224145 )
NEW met2 ( 533040 1224145 ) ( * 1250415 )
NEW met2 ( 788880 1188810 ) ( * 1198985 )
NEW met1 ( 748080 1198985 ) ( 788880 * )
NEW met2 ( 748080 1198985 ) ( * 1223775 )
NEW met1 ( 547440 1223775 ) ( 748080 * )
NEW met2 ( 1083600 1167350 0 ) ( * 1188810 )
NEW met3 ( 788880 1188810 ) ( 1083600 * )
NEW met3 ( 1262880 4978350 ) ( * 4979090 0 )
NEW met3 ( 1262880 4978350 ) ( 1268160 * )
NEW met3 ( 1268160 4978350 ) ( * 4979090 0 )
NEW met2 ( 1239120 4975205 ) ( * 4978350 )
NEW met3 ( 1239120 4978350 ) ( 1262880 * )
NEW met1 ( 1166640 4975205 ) ( 1239120 * )
NEW met2 ( 1074000 271210 ) ( 1074480 * 0 )
NEW met3 ( 1058400 271210 ) ( 1074000 * )
NEW met3 ( 1050720 454730 ) ( 1052640 * )
NEW met4 ( 1052640 354645 ) ( 1058400 * )
NEW met4 ( 1052640 354645 ) ( * 454730 )
NEW met4 ( 1058400 271210 ) ( * 354645 )
NEW met3 ( 1050720 606430 ) ( 1050960 * )
NEW met2 ( 1050960 606430 ) ( * 625670 )
NEW met4 ( 1050720 454730 ) ( * 606430 )
NEW met4 ( 1054560 1030635 ) ( 1057440 * )
NEW met4 ( 1057440 1030635 ) ( * 1188810 )
NEW met4 ( 1052640 870795 ) ( 1054560 * )
NEW met4 ( 1052640 625670 ) ( * 690975 )
NEW met4 ( 1052640 690975 ) ( 1055520 * )
NEW met3 ( 1050960 625670 ) ( 1052640 * )
NEW met3 ( 1054560 972730 ) ( * 974950 )
NEW met4 ( 1054560 870795 ) ( * 972730 )
NEW met4 ( 1054560 974950 ) ( * 1030635 )
NEW met4 ( 1052640 717615 ) ( 1055520 * )
NEW met4 ( 1052640 717615 ) ( * 870795 )
NEW met4 ( 1055520 690975 ) ( * 717615 )
NEW met1 ( 461520 1274465 ) M1M2_PR
NEW met1 ( 396240 1367705 ) M1M2_PR
NEW met1 ( 396240 1325155 ) M1M2_PR
NEW met1 ( 432240 1324785 ) M1M2_PR
NEW met1 ( 432240 1296295 ) M1M2_PR
NEW met1 ( 461520 1295925 ) M1M2_PR
NEW met1 ( 323760 1392865 ) M1M2_PR
NEW met1 ( 333360 1392865 ) M1M2_PR
NEW met1 ( 522000 1274465 ) M1M2_PR
NEW met1 ( 522000 1250415 ) M1M2_PR
NEW met1 ( 533040 1250415 ) M1M2_PR
NEW met1 ( 333360 1368075 ) M1M2_PR
NEW met1 ( 323760 4917855 ) M1M2_PR
NEW met1 ( 1127760 4917855 ) M1M2_PR
NEW met1 ( 1127760 4924885 ) M1M2_PR
NEW met1 ( 1166160 4924885 ) M1M2_PR
NEW met1 ( 1166640 4975205 ) M1M2_PR
NEW met1 ( 533040 1224145 ) M1M2_PR
NEW met2 ( 788880 1188810 ) via2_FR
NEW met1 ( 788880 1198985 ) M1M2_PR
NEW met1 ( 748080 1198985 ) M1M2_PR
NEW met1 ( 748080 1223775 ) M1M2_PR
NEW met2 ( 1083600 1188810 ) via2_FR
NEW met3 ( 1057440 1188810 ) M3M4_PR_M
NEW met1 ( 1239120 4975205 ) M1M2_PR
NEW met2 ( 1239120 4978350 ) via2_FR
NEW met2 ( 1074000 271210 ) via2_FR
NEW met3 ( 1058400 271210 ) M3M4_PR_M
NEW met3 ( 1050720 454730 ) M3M4_PR_M
NEW met3 ( 1052640 454730 ) M3M4_PR_M
NEW met3 ( 1050720 606430 ) M3M4_PR_M
NEW met2 ( 1050960 606430 ) via2_FR
NEW met2 ( 1050960 625670 ) via2_FR
NEW met3 ( 1052640 625670 ) M3M4_PR_M
NEW met3 ( 1054560 972730 ) M3M4_PR_M
NEW met3 ( 1054560 974950 ) M3M4_PR_M
NEW met3 ( 1057440 1188810 ) RECT ( -800 -150 0 150 )
NEW met3 ( 1050720 606430 ) RECT ( -380 -150 0 150 ) ;
- mgmt_io_in\[21\] ( soc mgmt_out_data[21] ) ( soc mgmt_in_data[21] ) ( gpio_control_in\[21\] mgmt_gpio_out ) ( gpio_control_in\[21\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 325200 4931915 ) ( 986160 * )
NEW met3 ( 1005600 4979090 0 ) ( 1007520 * )
NEW met3 ( 1007520 4978350 ) ( * 4979090 )
NEW met3 ( 1007520 4978350 ) ( 1011360 * )
NEW met3 ( 1011360 4978350 ) ( * 4979090 0 )
NEW met3 ( 986160 4978350 ) ( 1007520 * )
NEW met2 ( 986160 4931915 ) ( * 4978350 )
NEW met2 ( 325200 1233395 ) ( * 4931915 )
NEW met2 ( 1054800 1167350 ) ( 1056000 * 0 )
NEW met2 ( 1054800 1167350 ) ( * 1233395 )
NEW met1 ( 1051440 1167535 ) ( 1054800 * )
NEW met2 ( 1076400 267695 ) ( * 268250 0 )
NEW met2 ( 403440 1233395 ) ( * 1234690 )
NEW met2 ( 403440 1234690 ) ( 404880 * )
NEW met2 ( 404880 1233395 ) ( * 1234690 )
NEW met1 ( 325200 1233395 ) ( 403440 * )
NEW met1 ( 404880 1233395 ) ( 1054800 * )
NEW met1 ( 1047120 313945 ) ( 1051440 * )
NEW met2 ( 1047120 267695 ) ( * 313945 )
NEW met1 ( 1047120 267695 ) ( 1076400 * )
NEW met2 ( 1051440 313945 ) ( * 1167535 )
NEW met1 ( 986160 4931915 ) M1M2_PR
NEW met1 ( 325200 4931915 ) M1M2_PR
NEW met2 ( 986160 4978350 ) via2_FR
NEW met1 ( 325200 1233395 ) M1M2_PR
NEW met1 ( 1054800 1233395 ) M1M2_PR
NEW met1 ( 1051440 1167535 ) M1M2_PR
NEW met1 ( 1054800 1167535 ) M1M2_PR
NEW met1 ( 1076400 267695 ) M1M2_PR
NEW met1 ( 403440 1233395 ) M1M2_PR
NEW met1 ( 404880 1233395 ) M1M2_PR
NEW met1 ( 1051440 313945 ) M1M2_PR
NEW met1 ( 1047120 313945 ) M1M2_PR
NEW met1 ( 1047120 267695 ) M1M2_PR
NEW met2 ( 1054800 1167535 ) RECT ( -70 0 70 485 ) ;
- mgmt_io_in\[22\] ( soc mgmt_out_data[22] ) ( soc mgmt_in_data[22] ) ( gpio_control_in\[22\] mgmt_gpio_out ) ( gpio_control_in\[22\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 748800 5078990 0 ) ( * 5079730 )
NEW met3 ( 748800 5079730 ) ( 754080 * )
NEW met3 ( 754080 5078990 0 ) ( * 5079730 )
NEW met3 ( 742800 5079730 ) ( 748800 * )
NEW met1 ( 326160 4932285 ) ( 726960 * )
NEW met2 ( 726960 4932285 ) ( * 5039770 )
NEW met3 ( 726960 5039770 ) ( 742800 * )
NEW met2 ( 742800 5039770 ) ( * 5079730 )
NEW met2 ( 326160 1233765 ) ( * 4932285 )
NEW met1 ( 403440 1233765 ) ( * 1234875 )
NEW met1 ( 403440 1234875 ) ( 405840 * )
NEW met2 ( 405840 1233765 ) ( * 1234875 )
NEW met1 ( 326160 1233765 ) ( 403440 * )
NEW met1 ( 405840 1233765 ) ( 1025520 * )
NEW met2 ( 1078080 268990 0 ) ( 1078320 * )
NEW met2 ( 1078320 266215 ) ( * 268990 )
NEW met1 ( 1024560 266215 ) ( 1078320 * )
NEW met1 ( 1025520 1162355 ) ( * 1163095 )
NEW met2 ( 1025520 1124430 ) ( * 1162355 )
NEW met2 ( 1024560 1124430 ) ( 1025520 * )
NEW met3 ( 1052640 1163280 0 ) ( * 1163650 )
NEW met3 ( 1025520 1163650 ) ( 1052640 * )
NEW met2 ( 1024560 266215 ) ( * 1124430 )
NEW met2 ( 1025520 1163095 ) ( * 1233765 )
NEW met2 ( 726960 5039770 ) via2_FR
NEW met2 ( 742800 5079730 ) via2_FR
NEW met1 ( 326160 4932285 ) M1M2_PR
NEW met1 ( 726960 4932285 ) M1M2_PR
NEW met2 ( 742800 5039770 ) via2_FR
NEW met1 ( 1025520 1233765 ) M1M2_PR
NEW met1 ( 326160 1233765 ) M1M2_PR
NEW met1 ( 405840 1234875 ) M1M2_PR
NEW met1 ( 405840 1233765 ) M1M2_PR
NEW met1 ( 1078320 266215 ) M1M2_PR
NEW met1 ( 1024560 266215 ) M1M2_PR
NEW met1 ( 1025520 1163095 ) M1M2_PR
NEW met1 ( 1025520 1162355 ) M1M2_PR
NEW met2 ( 1025520 1163650 ) via2_FR
NEW met2 ( 1025520 1163650 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[23\] ( soc mgmt_out_data[23] ) ( soc mgmt_in_data[23] ) ( gpio_control_in\[23\] mgmt_gpio_out ) ( gpio_control_in\[23\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 492000 5078250 0 ) ( 492960 * )
NEW met3 ( 492960 5078250 ) ( * 5079730 )
NEW met3 ( 492960 5079730 ) ( 497280 * )
NEW met3 ( 497280 5078990 0 ) ( * 5079730 )
NEW met1 ( 325680 4933765 ) ( 467760 * )
NEW met3 ( 939360 1231730 ) ( * 1232470 )
NEW met2 ( 325680 1231730 ) ( * 4933765 )
NEW met3 ( 492000 5068630 0 ) ( * 5078250 0 )
NEW met3 ( 325680 1231730 ) ( 939360 * )
NEW met3 ( 1055280 1218410 ) ( 1055520 * )
NEW met2 ( 1055280 1218410 ) ( * 1232470 )
NEW met3 ( 939360 1232470 ) ( 1055280 * )
NEW met2 ( 1080000 267510 ) ( * 268250 0 )
NEW met3 ( 1080000 267510 ) ( * 268250 )
NEW met3 ( 489840 4979090 ) ( 492000 * 0 )
NEW met2 ( 489840 4978905 ) ( * 4979090 )
NEW met1 ( 467760 4978905 ) ( 489840 * )
NEW met2 ( 467760 4933765 ) ( * 4978905 )
NEW met3 ( 492000 4979090 0 ) ( * 5068630 0 )
NEW met2 ( 1057920 1167350 0 ) ( 1058640 * )
NEW met3 ( 1058640 1167350 ) ( 1061280 * )
NEW met3 ( 1055520 1167350 ) ( 1058640 * )
NEW met4 ( 1055520 1167350 ) ( * 1218410 )
NEW met3 ( 1061280 268250 ) ( 1080000 * )
NEW met3 ( 1050720 413290 ) ( 1054560 * )
NEW met4 ( 1050720 413290 ) ( * 451770 )
NEW met3 ( 1050720 451770 ) ( 1055520 * )
NEW met4 ( 1054560 357975 ) ( 1059360 * )
NEW met4 ( 1059360 274725 ) ( * 357975 )
NEW met4 ( 1059360 274725 ) ( 1061280 * )
NEW met4 ( 1054560 357975 ) ( * 413290 )
NEW met4 ( 1061280 268250 ) ( * 274725 )
NEW met4 ( 1055520 664335 ) ( 1057440 * )
NEW met4 ( 1057440 777555 ) ( 1059360 * )
NEW met4 ( 1057440 664335 ) ( * 777555 )
NEW met4 ( 1056480 827505 ) ( 1059360 * )
NEW met4 ( 1056480 827505 ) ( * 852850 )
NEW met4 ( 1055520 852850 ) ( 1056480 * )
NEW met3 ( 1050720 852850 ) ( 1055520 * )
NEW met4 ( 1059360 777555 ) ( * 827505 )
NEW met4 ( 1055520 970695 ) ( 1061280 * )
NEW met4 ( 1055520 970510 ) ( * 970695 )
NEW met3 ( 1055520 970510 ) ( * 974950 )
NEW met4 ( 1055520 974950 ) ( * 977355 )
NEW met4 ( 1055520 977355 ) ( 1061280 * )
NEW met4 ( 1061280 977355 ) ( * 1167350 )
NEW met3 ( 1050720 950530 ) ( 1055520 * )
NEW met4 ( 1055520 950530 ) ( * 950715 )
NEW met4 ( 1055520 950715 ) ( 1061280 * )
NEW met4 ( 1050720 852850 ) ( * 950530 )
NEW met4 ( 1061280 950715 ) ( * 970695 )
NEW met3 ( 1051680 590150 ) ( 1055520 * )
NEW met4 ( 1051680 590150 ) ( * 606430 )
NEW met3 ( 1051680 606430 ) ( * 608650 )
NEW met4 ( 1051680 608650 ) ( * 615310 )
NEW met3 ( 1051680 615310 ) ( 1055520 * )
NEW met4 ( 1055520 451770 ) ( * 590150 )
NEW met4 ( 1055520 615310 ) ( * 664335 )
NEW met1 ( 467760 4933765 ) M1M2_PR
NEW met1 ( 325680 4933765 ) M1M2_PR
NEW met2 ( 325680 1231730 ) via2_FR
NEW met3 ( 1055520 1218410 ) M3M4_PR_M
NEW met2 ( 1055280 1218410 ) via2_FR
NEW met2 ( 1055280 1232470 ) via2_FR
NEW met2 ( 1080000 267510 ) via2_FR
NEW met2 ( 489840 4979090 ) via2_FR
NEW met1 ( 489840 4978905 ) M1M2_PR
NEW met1 ( 467760 4978905 ) M1M2_PR
NEW met2 ( 1058640 1167350 ) via2_FR
NEW met3 ( 1061280 1167350 ) M3M4_PR_M
NEW met3 ( 1055520 1167350 ) M3M4_PR_M
NEW met3 ( 1061280 268250 ) M3M4_PR_M
NEW met3 ( 1054560 413290 ) M3M4_PR_M
NEW met3 ( 1050720 413290 ) M3M4_PR_M
NEW met3 ( 1050720 451770 ) M3M4_PR_M
NEW met3 ( 1055520 451770 ) M3M4_PR_M
NEW met3 ( 1055520 852850 ) M3M4_PR_M
NEW met3 ( 1050720 852850 ) M3M4_PR_M
NEW met3 ( 1055520 970510 ) M3M4_PR_M
NEW met3 ( 1055520 974950 ) M3M4_PR_M
NEW met3 ( 1050720 950530 ) M3M4_PR_M
NEW met3 ( 1055520 950530 ) M3M4_PR_M
NEW met3 ( 1055520 590150 ) M3M4_PR_M
NEW met3 ( 1051680 590150 ) M3M4_PR_M
NEW met3 ( 1051680 606430 ) M3M4_PR_M
NEW met3 ( 1051680 608650 ) M3M4_PR_M
NEW met3 ( 1051680 615310 ) M3M4_PR_M
NEW met3 ( 1055520 615310 ) M3M4_PR_M
NEW met3 ( 1055520 1218410 ) RECT ( 0 -150 380 150 ) ;
- mgmt_io_in\[24\] ( soc mgmt_out_data[24] ) ( soc mgmt_in_data[24] ) ( gpio_control_in\[24\] mgmt_gpio_out ) ( gpio_control_in\[24\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 4663850 ) ( 213600 * )
NEW met3 ( 212640 4661630 0 ) ( * 4663850 )
NEW met2 ( 213360 4660705 ) ( * 4660890 )
NEW met3 ( 212640 4660890 ) ( 213360 * )
NEW met3 ( 212640 4660890 ) ( * 4661630 0 )
NEW met1 ( 213360 4660705 ) ( 266160 * )
NEW met3 ( 212640 4666070 ) ( 213600 * )
NEW met3 ( 212640 4666070 ) ( * 4667550 0 )
NEW met3 ( 213600 4663850 ) ( * 4666070 )
NEW met2 ( 266160 1232655 ) ( * 4660705 )
NEW met2 ( 1081920 267695 ) ( * 268250 0 )
NEW met1 ( 1081920 267695 ) ( * 268065 )
NEW met1 ( 266160 1232655 ) ( 1023600 * )
NEW met1 ( 1039920 268065 ) ( 1081920 * )
NEW met3 ( 1039920 1160690 ) ( 1052640 * 0 )
NEW met3 ( 1023600 1160690 ) ( 1039920 * )
NEW met2 ( 1023600 1160690 ) ( * 1232655 )
NEW met2 ( 1039920 268065 ) ( * 1160690 )
NEW met1 ( 266160 4660705 ) M1M2_PR
NEW met1 ( 213360 4660705 ) M1M2_PR
NEW met2 ( 213360 4660890 ) via2_FR
NEW met1 ( 1023600 1232655 ) M1M2_PR
NEW met1 ( 266160 1232655 ) M1M2_PR
NEW met1 ( 1081920 267695 ) M1M2_PR
NEW met1 ( 1039920 268065 ) M1M2_PR
NEW met2 ( 1039920 1160690 ) via2_FR
NEW met2 ( 1023600 1160690 ) via2_FR ;
- mgmt_io_in\[25\] ( soc mgmt_out_data[25] ) ( soc mgmt_in_data[25] ) ( gpio_control_in\[25\] mgmt_gpio_out ) ( gpio_control_in\[25\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 4032630 0 ) ( 222960 * )
NEW met3 ( 212640 4036330 ) ( * 4038180 0 )
NEW met3 ( 212640 4036330 ) ( 213600 * )
NEW met3 ( 213600 4034110 ) ( * 4036330 )
NEW met3 ( 212640 4034110 ) ( 213600 * )
NEW met3 ( 212640 4032630 0 ) ( * 4034110 )
NEW met2 ( 222960 1230990 ) ( * 4032630 )
NEW met3 ( 1049040 1215450 ) ( 1053600 * )
NEW met2 ( 1049040 1215450 ) ( * 1231730 )
NEW met2 ( 1083600 266770 ) ( * 268250 0 )
NEW met3 ( 957600 1230990 ) ( * 1231730 )
NEW met3 ( 222960 1230990 ) ( 957600 * )
NEW met3 ( 957600 1231730 ) ( 1049040 * )
NEW met3 ( 1062240 266770 ) ( 1083600 * )
NEW met4 ( 1060320 374625 ) ( 1061280 * )
NEW met4 ( 1060320 374625 ) ( * 384615 )
NEW met4 ( 1060320 384615 ) ( 1062240 * )
NEW met4 ( 1061280 324675 ) ( 1062240 * )
NEW met4 ( 1061280 324675 ) ( * 374625 )
NEW met4 ( 1062240 266770 ) ( * 324675 )
NEW met3 ( 1049760 856550 ) ( 1055520 * )
NEW met4 ( 1055520 854145 ) ( * 856550 )
NEW met4 ( 1055520 854145 ) ( 1057440 * )
NEW met4 ( 1057440 837495 ) ( * 854145 )
NEW met4 ( 1057440 837495 ) ( 1062240 * )
NEW met4 ( 1059360 754245 ) ( 1061280 * )
NEW met4 ( 1059360 754245 ) ( * 759610 )
NEW met4 ( 1059360 759610 ) ( 1061280 * )
NEW met4 ( 1061280 759610 ) ( * 774225 )
NEW met4 ( 1061280 774225 ) ( 1062240 * )
NEW met4 ( 1062240 774225 ) ( * 837495 )
NEW met2 ( 1059840 1167350 0 ) ( 1060560 * )
NEW met2 ( 1060560 1167350 ) ( * 1168090 )
NEW met3 ( 1060560 1168090 ) ( 1062000 * )
NEW met3 ( 1062000 1167350 ) ( * 1168090 )
NEW met3 ( 1062000 1167350 ) ( 1064160 * )
NEW met3 ( 1053600 1168090 ) ( 1060560 * )
NEW met4 ( 1053600 1168090 ) ( * 1215450 )
NEW met3 ( 1049760 878750 ) ( 1055520 * )
NEW met4 ( 1055520 878750 ) ( 1064160 * )
NEW met4 ( 1049760 856550 ) ( * 878750 )
NEW met4 ( 1064160 878750 ) ( * 1167350 )
NEW met4 ( 1059360 587745 ) ( 1061280 * )
NEW met4 ( 1059360 587745 ) ( * 611055 )
NEW met4 ( 1059360 611055 ) ( 1061280 * )
NEW met4 ( 1061280 611055 ) ( * 754245 )
NEW met4 ( 1056480 511155 ) ( 1061280 * )
NEW met4 ( 1056480 447885 ) ( * 511155 )
NEW met4 ( 1055520 447885 ) ( 1056480 * )
NEW met4 ( 1055520 446590 ) ( * 447885 )
NEW met3 ( 1053600 446590 ) ( 1055520 * )
NEW met4 ( 1053600 436230 ) ( * 446590 )
NEW met3 ( 1053600 436230 ) ( 1055520 * )
NEW met4 ( 1055520 434565 ) ( * 436230 )
NEW met4 ( 1055520 434565 ) ( 1062240 * )
NEW met4 ( 1061280 511155 ) ( * 587745 )
NEW met4 ( 1062240 384615 ) ( * 434565 )
NEW met2 ( 222960 1230990 ) via2_FR
NEW met2 ( 222960 4032630 ) via2_FR
NEW met3 ( 1053600 1215450 ) M3M4_PR_M
NEW met2 ( 1049040 1215450 ) via2_FR
NEW met2 ( 1049040 1231730 ) via2_FR
NEW met2 ( 1083600 266770 ) via2_FR
NEW met3 ( 1062240 266770 ) M3M4_PR_M
NEW met3 ( 1049760 856550 ) M3M4_PR_M
NEW met3 ( 1055520 856550 ) M3M4_PR_M
NEW met2 ( 1060560 1168090 ) via2_FR
NEW met3 ( 1064160 1167350 ) M3M4_PR_M
NEW met3 ( 1053600 1168090 ) M3M4_PR_M
NEW met3 ( 1049760 878750 ) M3M4_PR_M
NEW met3 ( 1055520 878750 ) M3M4_PR_M
NEW met3 ( 1055520 446590 ) M3M4_PR_M
NEW met3 ( 1053600 446590 ) M3M4_PR_M
NEW met3 ( 1053600 436230 ) M3M4_PR_M
NEW met3 ( 1055520 436230 ) M3M4_PR_M ;
- mgmt_io_in\[26\] ( soc mgmt_out_data[26] ) ( soc mgmt_in_data[26] ) ( gpio_control_in\[26\] mgmt_gpio_out ) ( gpio_control_in\[26\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 3815810 ) ( * 3816550 0 )
NEW met3 ( 212640 3815810 ) ( 213600 * )
NEW met3 ( 213600 3815810 ) ( * 3816550 )
NEW met3 ( 212640 3820250 ) ( * 3822470 0 )
NEW met3 ( 212640 3820250 ) ( 213600 * )
NEW met3 ( 213600 3818030 ) ( * 3820250 )
NEW met3 ( 211680 3818030 ) ( 213600 * )
NEW met3 ( 211680 3816550 0 ) ( * 3818030 )
NEW met3 ( 211680 3816550 0 ) ( 212640 * 0 )
NEW met3 ( 213600 3816550 ) ( 266640 * )
NEW met2 ( 266640 1238390 ) ( * 3816550 )
NEW met2 ( 1085040 270470 ) ( 1085520 * 0 )
NEW met2 ( 1061520 1167350 0 ) ( * 1168830 )
NEW met3 ( 1061520 1168830 ) ( 1065120 * )
NEW met3 ( 1060320 1168830 ) ( 1061520 * )
NEW met2 ( 984240 1211010 ) ( * 1238390 )
NEW met3 ( 984240 1211010 ) ( 1060320 * )
NEW met3 ( 266640 1238390 ) ( 984240 * )
NEW met4 ( 1060320 1168830 ) ( * 1211010 )
NEW met3 ( 1064160 270470 ) ( 1085040 * )
NEW met4 ( 1064160 501165 ) ( 1065120 * )
NEW met4 ( 1063200 374625 ) ( 1065120 * )
NEW met4 ( 1064160 437895 ) ( 1065120 * )
NEW met4 ( 1064160 437895 ) ( * 501165 )
NEW met4 ( 1065120 374625 ) ( * 437895 )
NEW met4 ( 1063200 371295 ) ( 1064160 * )
NEW met4 ( 1063200 371295 ) ( * 374625 )
NEW met4 ( 1064160 270470 ) ( * 371295 )
NEW met4 ( 1065120 501165 ) ( * 1168830 )
NEW met2 ( 266640 3816550 ) via2_FR
NEW met2 ( 266640 1238390 ) via2_FR
NEW met2 ( 1085040 270470 ) via2_FR
NEW met2 ( 1061520 1168830 ) via2_FR
NEW met3 ( 1065120 1168830 ) M3M4_PR_M
NEW met3 ( 1060320 1168830 ) M3M4_PR_M
NEW met2 ( 984240 1238390 ) via2_FR
NEW met2 ( 984240 1211010 ) via2_FR
NEW met3 ( 1060320 1211010 ) M3M4_PR_M
NEW met3 ( 1064160 270470 ) M3M4_PR_M ;
- mgmt_io_in\[27\] ( soc mgmt_out_data[27] ) ( soc mgmt_in_data[27] ) ( gpio_control_in\[27\] mgmt_gpio_out ) ( gpio_control_in\[27\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 3600470 ) ( * 3600840 0 )
NEW met3 ( 212640 3604910 ) ( * 3606390 0 )
NEW met3 ( 212640 3604910 ) ( 213600 * )
NEW met3 ( 213600 3602690 ) ( * 3604910 )
NEW met3 ( 212640 3602690 ) ( 213600 * )
NEW met3 ( 212640 3600840 0 ) ( * 3602690 )
NEW met3 ( 212640 3600470 ) ( 267120 * )
NEW met2 ( 267120 1232285 ) ( * 3600470 )
NEW met1 ( 267120 1232285 ) ( 1024080 * )
NEW met2 ( 1087440 271210 0 ) ( * 271395 )
NEW met1 ( 1046640 271395 ) ( 1087440 * )
NEW met3 ( 1046640 1157730 ) ( 1052640 * 0 )
NEW met3 ( 1024080 1157730 ) ( 1046640 * )
NEW met2 ( 1024080 1157730 ) ( * 1232285 )
NEW met2 ( 1046640 271395 ) ( * 1157730 )
NEW met2 ( 267120 3600470 ) via2_FR
NEW met1 ( 1024080 1232285 ) M1M2_PR
NEW met1 ( 267120 1232285 ) M1M2_PR
NEW met1 ( 1087440 271395 ) M1M2_PR
NEW met1 ( 1046640 271395 ) M1M2_PR
NEW met2 ( 1046640 1157730 ) via2_FR
NEW met2 ( 1024080 1157730 ) via2_FR ;
- mgmt_io_in\[28\] ( soc mgmt_out_data[28] ) ( soc mgmt_in_data[28] ) ( gpio_control_in\[28\] mgmt_gpio_out ) ( gpio_control_in\[28\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 3384390 ) ( * 3384760 0 )
NEW met3 ( 212640 3388830 ) ( * 3390310 0 )
NEW met3 ( 212640 3388830 ) ( 213600 * )
NEW met3 ( 213600 3386610 ) ( * 3388830 )
NEW met3 ( 212640 3386610 ) ( 213600 * )
NEW met3 ( 212640 3384760 0 ) ( * 3386610 )
NEW met3 ( 212640 3384390 ) ( 268080 * )
NEW met2 ( 938640 1228030 ) ( * 1232470 )
NEW met2 ( 268080 1232470 ) ( * 3384390 )
NEW met3 ( 268080 1232470 ) ( 938640 * )
NEW met3 ( 1050000 1218410 ) ( 1051680 * )
NEW met2 ( 1050000 1218410 ) ( * 1228030 )
NEW met3 ( 938640 1228030 ) ( 1050000 * )
NEW met2 ( 1089120 268990 0 ) ( 1089360 * )
NEW met2 ( 1089360 266030 ) ( * 268990 )
NEW met3 ( 1057440 266030 ) ( 1089360 * )
NEW met4 ( 1051680 351315 ) ( 1057440 * )
NEW met4 ( 1057440 266030 ) ( * 351315 )
NEW met4 ( 1062240 757575 ) ( 1064160 * )
NEW met3 ( 1053600 872090 ) ( 1055520 * )
NEW met4 ( 1055520 872090 ) ( 1064160 * )
NEW met4 ( 1064160 757575 ) ( * 872090 )
NEW met2 ( 1062960 1167350 ) ( 1063440 * 0 )
NEW met2 ( 1062960 1167350 ) ( * 1168090 )
NEW met3 ( 1062960 1168090 ) ( 1063200 * )
NEW met4 ( 1062960 1168090 ) ( 1063200 * )
NEW met4 ( 1062960 1160505 ) ( * 1168090 )
NEW met4 ( 1062240 1160505 ) ( 1062960 * )
NEW met3 ( 1051680 1169570 ) ( 1062960 * )
NEW met2 ( 1062960 1168090 ) ( * 1169570 )
NEW met4 ( 1051680 1169570 ) ( * 1218410 )
NEW met4 ( 1053600 974025 ) ( 1062240 * )
NEW met4 ( 1053600 872090 ) ( * 974025 )
NEW met4 ( 1062240 974025 ) ( * 1160505 )
NEW met4 ( 1062240 606430 ) ( 1063200 * )
NEW met4 ( 1062240 606430 ) ( * 757575 )
NEW met3 ( 1051680 438450 ) ( 1055520 * )
NEW met4 ( 1055520 438450 ) ( 1057440 * )
NEW met4 ( 1057440 438450 ) ( * 497835 )
NEW met4 ( 1057440 497835 ) ( 1062240 * )
NEW met4 ( 1062240 497835 ) ( * 501165 )
NEW met4 ( 1062240 501165 ) ( 1063200 * )
NEW met4 ( 1051680 351315 ) ( * 438450 )
NEW met4 ( 1063200 501165 ) ( * 606430 )
NEW met2 ( 268080 3384390 ) via2_FR
NEW met2 ( 938640 1232470 ) via2_FR
NEW met2 ( 938640 1228030 ) via2_FR
NEW met2 ( 268080 1232470 ) via2_FR
NEW met3 ( 1051680 1218410 ) M3M4_PR_M
NEW met2 ( 1050000 1218410 ) via2_FR
NEW met2 ( 1050000 1228030 ) via2_FR
NEW met2 ( 1089360 266030 ) via2_FR
NEW met3 ( 1057440 266030 ) M3M4_PR_M
NEW met3 ( 1053600 872090 ) M3M4_PR_M
NEW met3 ( 1055520 872090 ) M3M4_PR_M
NEW met2 ( 1062960 1168090 ) via2_FR
NEW met3 ( 1063200 1168090 ) M3M4_PR_M
NEW met3 ( 1051680 1169570 ) M3M4_PR_M
NEW met2 ( 1062960 1169570 ) via2_FR
NEW met3 ( 1051680 438450 ) M3M4_PR_M
NEW met3 ( 1055520 438450 ) M3M4_PR_M
NEW met3 ( 1062960 1168090 ) RECT ( -380 -150 0 150 ) ;
- mgmt_io_in\[29\] ( soc mgmt_out_data[29] ) ( soc mgmt_in_data[29] ) ( gpio_control_in\[29\] mgmt_gpio_out ) ( gpio_control_in\[29\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 3169050 0 ) ( * 3169790 )
NEW met3 ( 212640 3172750 ) ( * 3174230 0 )
NEW met3 ( 212640 3172750 ) ( 213600 * )
NEW met3 ( 213600 3170530 ) ( * 3172750 )
NEW met3 ( 212640 3170530 ) ( 213600 * )
NEW met3 ( 212640 3169790 ) ( * 3170530 )
NEW met3 ( 212640 3169790 ) ( 280560 * )
NEW met2 ( 280560 1233025 ) ( * 3169790 )
NEW met2 ( 403920 1232470 ) ( * 1233025 )
NEW met2 ( 403920 1232470 ) ( 404400 * )
NEW met2 ( 404400 1231730 ) ( * 1232470 )
NEW met2 ( 404400 1231730 ) ( 405360 * )
NEW met2 ( 405360 1230990 ) ( * 1231730 )
NEW met2 ( 405360 1230990 ) ( 406320 * )
NEW met2 ( 406320 1230990 ) ( * 1233025 )
NEW met1 ( 280560 1233025 ) ( 403920 * )
NEW met1 ( 406320 1233025 ) ( 1024560 * )
NEW met2 ( 1091040 269730 0 ) ( * 269915 )
NEW met1 ( 1028400 269915 ) ( 1091040 * )
NEW met1 ( 1024560 1135715 ) ( 1028400 * )
NEW met3 ( 1024560 1154770 ) ( 1052640 * 0 )
NEW met2 ( 1024560 1135715 ) ( * 1233025 )
NEW met2 ( 1028400 269915 ) ( * 1135715 )
NEW met2 ( 280560 3169790 ) via2_FR
NEW met1 ( 1024560 1233025 ) M1M2_PR
NEW met1 ( 280560 1233025 ) M1M2_PR
NEW met1 ( 403920 1233025 ) M1M2_PR
NEW met1 ( 406320 1233025 ) M1M2_PR
NEW met1 ( 1091040 269915 ) M1M2_PR
NEW met1 ( 1028400 269915 ) M1M2_PR
NEW met1 ( 1024560 1135715 ) M1M2_PR
NEW met1 ( 1028400 1135715 ) M1M2_PR
NEW met2 ( 1024560 1154770 ) via2_FR
NEW met2 ( 1024560 1154770 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[2\] ( soc mgmt_out_data[2] ) ( soc mgmt_in_data[2] ) ( gpio_control_in\[2\] mgmt_gpio_out ) ( gpio_control_in\[2\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3201120 1051910 ) ( * 1054130 0 )
NEW met3 ( 3201120 1051910 ) ( 3239760 * )
NEW met2 ( 3239760 1051355 ) ( * 1051910 )
NEW met3 ( 3385440 1060050 ) ( * 1061530 0 )
NEW met3 ( 3385200 1060050 ) ( 3385440 * )
NEW met2 ( 3385200 1051355 ) ( * 1060050 )
NEW met3 ( 3385440 1065970 ) ( * 1067450 0 )
NEW met3 ( 3385200 1065970 ) ( 3385440 * )
NEW met2 ( 3385200 1060050 ) ( * 1065970 )
NEW met1 ( 3239760 1051355 ) ( 3385200 * )
NEW met2 ( 3228240 244755 ) ( * 1051910 )
NEW met2 ( 1093200 244755 ) ( * 266770 )
NEW met2 ( 1092960 266770 ) ( 1093200 * )
NEW met2 ( 1092960 266770 ) ( * 268250 0 )
NEW met1 ( 1093200 244755 ) ( 3228240 * )
NEW met1 ( 3228240 244755 ) M1M2_PR
NEW met2 ( 3239760 1051910 ) via2_FR
NEW met1 ( 3239760 1051355 ) M1M2_PR
NEW met2 ( 3228240 1051910 ) via2_FR
NEW met2 ( 3385200 1060050 ) via2_FR
NEW met1 ( 3385200 1051355 ) M1M2_PR
NEW met2 ( 3385200 1065970 ) via2_FR
NEW met1 ( 1093200 244755 ) M1M2_PR
NEW met3 ( 3228240 1051910 ) RECT ( -800 -150 0 150 ) ;
- mgmt_io_in\[30\] ( soc mgmt_out_data[30] ) ( soc mgmt_in_data[30] ) ( gpio_control_in\[30\] mgmt_gpio_out ) ( gpio_control_in\[30\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 269040 1245975 ) ( * 2952970 )
NEW met3 ( 212640 2956670 ) ( * 2958150 0 )
NEW met3 ( 212640 2956670 ) ( 213600 * )
NEW met3 ( 213600 2954450 ) ( * 2956670 )
NEW met3 ( 212640 2954450 ) ( 213600 * )
NEW met3 ( 212640 2952970 0 ) ( * 2954450 )
NEW met3 ( 212640 2952970 0 ) ( 269040 * )
NEW met2 ( 1065360 1167350 0 ) ( * 1180855 )
NEW met1 ( 1053840 1180855 ) ( 1065360 * )
NEW met1 ( 1051920 1180855 ) ( 1053840 * )
NEW met2 ( 1094640 267325 ) ( * 268250 0 )
NEW met1 ( 269040 1245975 ) ( 1053840 * )
NEW met2 ( 1053840 1180855 ) ( * 1245975 )
NEW met2 ( 1051440 313390 ) ( 1051920 * )
NEW met2 ( 1051440 313205 ) ( * 313390 )
NEW met1 ( 1047600 313205 ) ( 1051440 * )
NEW met2 ( 1047600 267325 ) ( * 313205 )
NEW met1 ( 1047600 267325 ) ( 1094640 * )
NEW met2 ( 1051920 313390 ) ( * 1180855 )
NEW met1 ( 269040 1245975 ) M1M2_PR
NEW met2 ( 269040 2952970 ) via2_FR
NEW met1 ( 1065360 1180855 ) M1M2_PR
NEW met1 ( 1053840 1180855 ) M1M2_PR
NEW met1 ( 1051920 1180855 ) M1M2_PR
NEW met1 ( 1094640 267325 ) M1M2_PR
NEW met1 ( 1053840 1245975 ) M1M2_PR
NEW met1 ( 1051440 313205 ) M1M2_PR
NEW met1 ( 1047600 313205 ) M1M2_PR
NEW met1 ( 1047600 267325 ) M1M2_PR ;
- mgmt_io_in\[31\] ( soc mgmt_out_data[31] ) ( soc mgmt_in_data[31] ) ( gpio_control_in\[31\] mgmt_gpio_out ) ( gpio_control_in\[31\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 2736890 0 ) ( 224400 * )
NEW met3 ( 212640 2740590 ) ( * 2742070 0 )
NEW met3 ( 212640 2740590 ) ( 213600 * )
NEW met3 ( 213600 2738370 ) ( * 2740590 )
NEW met3 ( 212640 2738370 ) ( 213600 * )
NEW met3 ( 212640 2736890 0 ) ( * 2738370 )
NEW met2 ( 224400 1231545 ) ( * 2736890 )
NEW met2 ( 1065840 1167350 ) ( 1067040 * 0 )
NEW met2 ( 1065840 1167350 ) ( * 1224515 )
NEW met1 ( 1048560 1224515 ) ( 1065840 * )
NEW met2 ( 1048560 1224515 ) ( * 1231545 )
NEW met1 ( 1050000 1167905 ) ( 1065840 * )
NEW met2 ( 1096080 271210 ) ( 1096560 * 0 )
NEW met2 ( 1096080 271025 ) ( * 271210 )
NEW met1 ( 224400 1231545 ) ( 1048560 * )
NEW met1 ( 1049040 359085 ) ( 1050000 * )
NEW met2 ( 1049040 271025 ) ( * 359085 )
NEW met1 ( 1049040 271025 ) ( 1096080 * )
NEW met2 ( 1050000 359085 ) ( * 1167905 )
NEW met1 ( 224400 1231545 ) M1M2_PR
NEW met2 ( 224400 2736890 ) via2_FR
NEW met1 ( 1065840 1224515 ) M1M2_PR
NEW met1 ( 1048560 1224515 ) M1M2_PR
NEW met1 ( 1048560 1231545 ) M1M2_PR
NEW met1 ( 1050000 1167905 ) M1M2_PR
NEW met1 ( 1065840 1167905 ) M1M2_PR
NEW met1 ( 1096080 271025 ) M1M2_PR
NEW met1 ( 1050000 359085 ) M1M2_PR
NEW met1 ( 1049040 359085 ) M1M2_PR
NEW met1 ( 1049040 271025 ) M1M2_PR
NEW met2 ( 1065840 1167905 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[32\] ( soc mgmt_out_data[32] ) ( soc mgmt_in_data[32] ) ( gpio_control_in\[32\] mgmt_gpio_out ) ( gpio_control_in\[32\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 281040 1246345 ) ( * 2088095 )
NEW met2 ( 1027440 1222850 ) ( 1028400 * )
NEW met3 ( 211680 2097530 ) ( * 2099010 0 )
NEW met3 ( 211680 2097530 ) ( 211920 * )
NEW met2 ( 211920 2088095 ) ( * 2097530 )
NEW met3 ( 211680 2102710 ) ( * 2104190 0 )
NEW met3 ( 211680 2102710 ) ( 211920 * )
NEW met2 ( 211920 2097530 ) ( * 2102710 )
NEW met1 ( 211920 2088095 ) ( 281040 * )
NEW met1 ( 281040 1246345 ) ( 1028400 * )
NEW met2 ( 1028400 1222850 ) ( * 1246345 )
NEW met2 ( 1098480 266585 ) ( * 268250 0 )
NEW met3 ( 1047600 314130 ) ( 1049760 * )
NEW met4 ( 1049760 270470 ) ( * 314130 )
NEW met3 ( 1049760 270470 ) ( 1051440 * )
NEW met2 ( 1051440 266585 ) ( * 270470 )
NEW met1 ( 1051440 266585 ) ( 1098480 * )
NEW met3 ( 1047600 1152550 ) ( 1052640 * 0 )
NEW met3 ( 1027440 1152550 ) ( 1047600 * )
NEW met2 ( 1027440 1152550 ) ( * 1222850 )
NEW met2 ( 1047600 314130 ) ( * 1152550 )
NEW met1 ( 281040 1246345 ) M1M2_PR
NEW met1 ( 281040 2088095 ) M1M2_PR
NEW met2 ( 211920 2097530 ) via2_FR
NEW met1 ( 211920 2088095 ) M1M2_PR
NEW met2 ( 211920 2102710 ) via2_FR
NEW met1 ( 1028400 1246345 ) M1M2_PR
NEW met1 ( 1098480 266585 ) M1M2_PR
NEW met2 ( 1047600 314130 ) via2_FR
NEW met3 ( 1049760 314130 ) M3M4_PR_M
NEW met3 ( 1049760 270470 ) M3M4_PR_M
NEW met2 ( 1051440 270470 ) via2_FR
NEW met1 ( 1051440 266585 ) M1M2_PR
NEW met2 ( 1047600 1152550 ) via2_FR
NEW met2 ( 1027440 1152550 ) via2_FR ;
- mgmt_io_in\[33\] ( soc mgmt_out_data[33] ) ( soc mgmt_in_data[33] ) ( gpio_control_in\[33\] mgmt_gpio_out ) ( gpio_control_in\[33\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 1882930 0 ) ( 213360 * )
NEW met2 ( 213360 1882745 ) ( * 1882930 )
NEW met1 ( 213360 1882745 ) ( 224880 * )
NEW met3 ( 212640 1888110 0 ) ( 213360 * )
NEW met2 ( 213360 1882930 ) ( * 1888110 )
NEW met2 ( 224880 1231175 ) ( * 1882745 )
NEW met2 ( 1067760 1167350 ) ( 1068960 * 0 )
NEW met2 ( 1067760 1167350 ) ( * 1225625 )
NEW met1 ( 1048080 1225625 ) ( 1067760 * )
NEW met2 ( 1048080 1225625 ) ( * 1231175 )
NEW met1 ( 1040880 1168275 ) ( 1067760 * )
NEW met2 ( 1100160 268250 0 ) ( 1100400 * )
NEW met2 ( 1100400 244015 ) ( * 268250 )
NEW met1 ( 1040880 244015 ) ( 1100400 * )
NEW met2 ( 403920 1230990 ) ( * 1231175 )
NEW met2 ( 403920 1230990 ) ( 404880 * )
NEW met2 ( 404880 1230990 ) ( * 1231175 )
NEW met1 ( 224880 1231175 ) ( 403920 * )
NEW met1 ( 404880 1231175 ) ( 1048080 * )
NEW met2 ( 1040880 244015 ) ( * 1168275 )
NEW met1 ( 224880 1231175 ) M1M2_PR
NEW met2 ( 213360 1882930 ) via2_FR
NEW met1 ( 213360 1882745 ) M1M2_PR
NEW met1 ( 224880 1882745 ) M1M2_PR
NEW met2 ( 213360 1888110 ) via2_FR
NEW met1 ( 1067760 1225625 ) M1M2_PR
NEW met1 ( 1048080 1225625 ) M1M2_PR
NEW met1 ( 1048080 1231175 ) M1M2_PR
NEW met1 ( 1040880 1168275 ) M1M2_PR
NEW met1 ( 1067760 1168275 ) M1M2_PR
NEW met1 ( 1100400 244015 ) M1M2_PR
NEW met1 ( 1040880 244015 ) M1M2_PR
NEW met1 ( 403920 1231175 ) M1M2_PR
NEW met1 ( 404880 1231175 ) M1M2_PR
NEW met2 ( 1067760 1168275 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[34\] ( soc mgmt_out_data[34] ) ( soc mgmt_in_data[34] ) ( gpio_control_in\[34\] mgmt_gpio_out ) ( gpio_control_in\[34\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 238320 1245605 ) ( * 1666665 )
NEW met2 ( 1035120 633070 ) ( 1035600 * )
NEW met3 ( 212640 1666850 0 ) ( 214320 * )
NEW met2 ( 214320 1666665 ) ( * 1666850 )
NEW met3 ( 212640 1670550 ) ( * 1672030 0 )
NEW met3 ( 212640 1670550 ) ( 213600 * )
NEW met3 ( 213600 1668330 ) ( * 1670550 )
NEW met3 ( 212640 1668330 ) ( 213600 * )
NEW met3 ( 212640 1666850 0 ) ( * 1668330 )
NEW met1 ( 214320 1666665 ) ( 238320 * )
NEW met1 ( 238320 1245605 ) ( 1034640 * )
NEW met2 ( 1101840 265475 ) ( * 266955 )
NEW met2 ( 1101840 266955 ) ( 1102080 * )
NEW met2 ( 1102080 266955 ) ( * 268250 0 )
NEW met1 ( 1033680 849335 ) ( 1035120 * )
NEW met2 ( 1034640 1014910 ) ( 1035120 * )
NEW met1 ( 1040400 265475 ) ( 1101840 * )
NEW met2 ( 1033680 712990 ) ( 1035120 * )
NEW met2 ( 1033680 712990 ) ( * 849335 )
NEW met2 ( 1035120 633070 ) ( * 712990 )
NEW met1 ( 1034640 964775 ) ( 1035120 * )
NEW met2 ( 1034640 964775 ) ( * 1014910 )
NEW met2 ( 1035120 849335 ) ( * 964775 )
NEW met1 ( 1034640 1153475 ) ( 1035600 * )
NEW met2 ( 1035600 1111110 ) ( * 1153475 )
NEW met2 ( 1035120 1111110 ) ( 1035600 * )
NEW met3 ( 1052640 1148850 ) ( * 1149590 0 )
NEW met3 ( 1035600 1148850 ) ( 1052640 * )
NEW met2 ( 1034640 1153475 ) ( * 1245605 )
NEW met2 ( 1035120 1014910 ) ( * 1111110 )
NEW met1 ( 1035600 604765 ) ( 1036080 * )
NEW met2 ( 1035600 604765 ) ( * 633070 )
NEW met3 ( 1036080 489510 ) ( 1040400 * )
NEW met2 ( 1036080 489510 ) ( * 604765 )
NEW met2 ( 1040400 265475 ) ( * 489510 )
NEW met1 ( 238320 1245605 ) M1M2_PR
NEW met1 ( 238320 1666665 ) M1M2_PR
NEW met2 ( 214320 1666850 ) via2_FR
NEW met1 ( 214320 1666665 ) M1M2_PR
NEW met1 ( 1034640 1245605 ) M1M2_PR
NEW met1 ( 1101840 265475 ) M1M2_PR
NEW met1 ( 1033680 849335 ) M1M2_PR
NEW met1 ( 1035120 849335 ) M1M2_PR
NEW met1 ( 1040400 265475 ) M1M2_PR
NEW met1 ( 1034640 964775 ) M1M2_PR
NEW met1 ( 1035120 964775 ) M1M2_PR
NEW met1 ( 1034640 1153475 ) M1M2_PR
NEW met1 ( 1035600 1153475 ) M1M2_PR
NEW met2 ( 1035600 1148850 ) via2_FR
NEW met1 ( 1035600 604765 ) M1M2_PR
NEW met1 ( 1036080 604765 ) M1M2_PR
NEW met2 ( 1036080 489510 ) via2_FR
NEW met2 ( 1040400 489510 ) via2_FR
NEW met2 ( 1035600 1148850 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[35\] ( soc mgmt_out_data[35] ) ( soc mgmt_in_data[35] ) ( gpio_control_in\[35\] mgmt_gpio_out ) ( gpio_control_in\[35\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 1449290 ) ( * 1450770 0 )
NEW met3 ( 212640 1449290 ) ( 212880 * )
NEW met2 ( 212880 1449105 ) ( * 1449290 )
NEW met3 ( 212640 1454470 ) ( * 1455950 0 )
NEW met3 ( 212640 1454470 ) ( 213600 * )
NEW met3 ( 213600 1452250 ) ( * 1454470 )
NEW met3 ( 212640 1452250 ) ( 213600 * )
NEW met3 ( 212640 1450770 0 ) ( * 1452250 )
NEW met1 ( 212880 1449105 ) ( 238800 * )
NEW met2 ( 238800 1231915 ) ( * 1449105 )
NEW met2 ( 1070160 1167350 ) ( 1070880 * 0 )
NEW met2 ( 1070160 1167350 ) ( * 1225995 )
NEW met1 ( 1050960 1225995 ) ( 1070160 * )
NEW met2 ( 1050960 1225995 ) ( * 1231915 )
NEW met1 ( 1050480 1167165 ) ( 1069680 * )
NEW met1 ( 1069680 1167165 ) ( * 1167535 )
NEW met2 ( 1069680 1167350 ) ( * 1167535 )
NEW met2 ( 1069680 1167350 ) ( 1070160 * )
NEW met2 ( 1103760 268250 ) ( 1104000 * 0 )
NEW met2 ( 1103760 244385 ) ( * 268250 )
NEW met1 ( 1050480 244385 ) ( 1103760 * )
NEW met1 ( 238800 1231915 ) ( 1050960 * )
NEW met2 ( 1050480 244385 ) ( * 1167165 )
NEW met1 ( 238800 1449105 ) M1M2_PR
NEW met2 ( 212880 1449290 ) via2_FR
NEW met1 ( 212880 1449105 ) M1M2_PR
NEW met1 ( 238800 1231915 ) M1M2_PR
NEW met1 ( 1070160 1225995 ) M1M2_PR
NEW met1 ( 1050960 1225995 ) M1M2_PR
NEW met1 ( 1050960 1231915 ) M1M2_PR
NEW met1 ( 1050480 1167165 ) M1M2_PR
NEW met1 ( 1069680 1167535 ) M1M2_PR
NEW met1 ( 1103760 244385 ) M1M2_PR
NEW met1 ( 1050480 244385 ) M1M2_PR ;
- mgmt_io_in\[36\] ( soc mgmt_out_data[36] ) ( soc mgmt_in_data[36] ) ( gpio_control_in\[36\] mgmt_gpio_out ) ( gpio_control_in\[36\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 1238390 ) ( 213600 * )
NEW met3 ( 213600 1236170 ) ( * 1238390 )
NEW met3 ( 212640 1236170 ) ( 213600 * )
NEW met3 ( 212640 1234690 0 ) ( * 1236170 )
NEW met2 ( 212400 1225255 ) ( * 1233950 )
NEW met3 ( 212400 1233950 ) ( 212640 * )
NEW met3 ( 212640 1233950 ) ( * 1234690 0 )
NEW met3 ( 212640 1238390 ) ( * 1240240 0 )
NEW met1 ( 212400 1225255 ) ( 1071600 * )
NEW met2 ( 1071600 1167350 ) ( 1072560 * 0 )
NEW met3 ( 1071600 1166610 ) ( * 1167350 )
NEW met3 ( 1053600 1166610 ) ( 1071600 * )
NEW met2 ( 1071600 1167350 ) ( * 1225255 )
NEW met2 ( 1105680 267510 ) ( * 268250 0 )
NEW met3 ( 1105680 267510 ) ( * 268990 )
NEW met4 ( 1052640 1037295 ) ( 1055520 * )
NEW met3 ( 1048800 268990 ) ( 1105680 * )
NEW met4 ( 1053600 1117215 ) ( 1055520 * )
NEW met4 ( 1053600 1117215 ) ( * 1166610 )
NEW met4 ( 1055520 1037295 ) ( * 1117215 )
NEW met4 ( 1050720 607725 ) ( 1052640 * )
NEW met3 ( 1050720 759610 ) ( 1054560 * )
NEW met4 ( 1050720 607725 ) ( * 759610 )
NEW met3 ( 1048800 532430 ) ( 1052640 * )
NEW met4 ( 1048800 268990 ) ( * 532430 )
NEW met4 ( 1052640 532430 ) ( * 607725 )
NEW met4 ( 1051680 874125 ) ( 1052640 * )
NEW met4 ( 1051680 869870 ) ( * 874125 )
NEW met3 ( 1051680 869870 ) ( 1054560 * )
NEW met4 ( 1054560 759610 ) ( * 869870 )
NEW met4 ( 1052640 874125 ) ( * 1037295 )
NEW met1 ( 212400 1225255 ) M1M2_PR
NEW met2 ( 212400 1233950 ) via2_FR
NEW met1 ( 1071600 1225255 ) M1M2_PR
NEW met2 ( 1071600 1167350 ) via2_FR
NEW met3 ( 1053600 1166610 ) M3M4_PR_M
NEW met2 ( 1105680 267510 ) via2_FR
NEW met3 ( 1048800 268990 ) M3M4_PR_M
NEW met3 ( 1050720 759610 ) M3M4_PR_M
NEW met3 ( 1054560 759610 ) M3M4_PR_M
NEW met3 ( 1048800 532430 ) M3M4_PR_M
NEW met3 ( 1052640 532430 ) M3M4_PR_M
NEW met3 ( 1051680 869870 ) M3M4_PR_M
NEW met3 ( 1054560 869870 ) M3M4_PR_M ;
- mgmt_io_in\[37\] ( soc mgmt_out_data[37] ) ( soc mgmt_in_data[37] ) ( gpio_control_in\[37\] mgmt_gpio_out ) ( gpio_control_in\[37\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 1022310 ) ( * 1024160 0 )
NEW met3 ( 212400 1022310 ) ( 212640 * )
NEW met2 ( 212400 1022125 ) ( * 1022310 )
NEW met3 ( 212640 1018610 0 ) ( * 1020090 )
NEW met3 ( 212400 1020090 ) ( 212640 * )
NEW met2 ( 212400 1020090 ) ( * 1022125 )
NEW met1 ( 212400 1022125 ) ( 251760 * )
NEW met2 ( 251760 1022125 ) ( * 1209715 )
NEW met1 ( 251760 1209715 ) ( 1029360 * )
NEW met2 ( 1107600 251785 ) ( * 268250 0 )
NEW met1 ( 1047120 251785 ) ( 1107600 * )
NEW met2 ( 1047120 251785 ) ( * 253450 )
NEW met2 ( 1046160 253450 ) ( 1047120 * )
NEW met3 ( 1028880 1013430 ) ( 1052640 * 0 )
NEW met2 ( 1046160 253450 ) ( * 1013430 )
NEW met2 ( 1028880 1114810 ) ( 1029360 * )
NEW met2 ( 1028880 1013430 ) ( * 1114810 )
NEW met2 ( 1029360 1114810 ) ( * 1209715 )
NEW met1 ( 251760 1022125 ) M1M2_PR
NEW met2 ( 212400 1022310 ) via2_FR
NEW met1 ( 212400 1022125 ) M1M2_PR
NEW met2 ( 212400 1020090 ) via2_FR
NEW met1 ( 1029360 1209715 ) M1M2_PR
NEW met1 ( 251760 1209715 ) M1M2_PR
NEW met1 ( 1107600 251785 ) M1M2_PR
NEW met1 ( 1047120 251785 ) M1M2_PR
NEW met2 ( 1028880 1013430 ) via2_FR
NEW met2 ( 1046160 1013430 ) via2_FR
NEW met3 ( 1046160 1013430 ) RECT ( -800 -150 0 150 ) ;
- mgmt_io_in\[3\] ( soc mgmt_out_data[3] ) ( soc mgmt_in_data[3] ) ( gpio_control_in\[3\] mgmt_gpio_out ) ( gpio_control_in\[3\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3385440 1286490 ) ( * 1287970 0 )
NEW met3 ( 3385440 1286490 ) ( 3385680 * )
NEW met2 ( 3385680 1281865 ) ( * 1286490 )
NEW met3 ( 3385440 1291670 ) ( * 1293150 0 )
NEW met3 ( 3385440 1291670 ) ( 3385680 * )
NEW met2 ( 3385680 1286490 ) ( * 1291670 )
NEW met1 ( 3196560 1281865 ) ( 3385680 * )
NEW met2 ( 3192240 1167350 0 ) ( * 1181410 )
NEW met3 ( 3192240 1181410 ) ( 3196560 * )
NEW met3 ( 3189600 1167350 ) ( 3191280 * )
NEW met2 ( 3191280 1167350 ) ( 3192240 * 0 )
NEW met2 ( 3196560 1181410 ) ( * 1281865 )
NEW met4 ( 3189600 260850 ) ( * 1167350 )
NEW met2 ( 1109520 260850 ) ( * 268250 0 )
NEW met3 ( 1109520 260850 ) ( 3189600 * )
NEW met3 ( 3189600 260850 ) M3M4_PR_M
NEW met1 ( 3196560 1281865 ) M1M2_PR
NEW met2 ( 3385680 1286490 ) via2_FR
NEW met1 ( 3385680 1281865 ) M1M2_PR
NEW met2 ( 3385680 1291670 ) via2_FR
NEW met2 ( 3192240 1181410 ) via2_FR
NEW met2 ( 3196560 1181410 ) via2_FR
NEW met3 ( 3189600 1167350 ) M3M4_PR_M
NEW met2 ( 3191280 1167350 ) via2_FR
NEW met2 ( 1109520 260850 ) via2_FR ;
- mgmt_io_in\[4\] ( soc mgmt_out_data[4] ) ( soc mgmt_in_data[4] ) ( gpio_control_in\[4\] mgmt_gpio_out ) ( gpio_control_in\[4\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3372240 1512930 ) ( 3373920 * 0 )
NEW met2 ( 3372240 1512930 ) ( * 1513115 )
NEW met3 ( 3372240 1518110 ) ( 3373920 * 0 )
NEW met2 ( 3372240 1513115 ) ( * 1518110 )
NEW met1 ( 3253200 1513115 ) ( 3372240 * )
NEW met1 ( 3226320 1164575 ) ( 3253200 * )
NEW met3 ( 3202080 1157730 0 ) ( 3226320 * )
NEW met2 ( 3253200 1164575 ) ( * 1513115 )
NEW met2 ( 1111440 243645 ) ( * 268250 )
NEW met2 ( 1111200 268250 0 ) ( 1111440 * )
NEW met1 ( 1111440 243645 ) ( 3226320 * )
NEW met1 ( 3226320 703925 ) ( * 705405 )
NEW met2 ( 3226320 243645 ) ( * 703925 )
NEW met2 ( 3226320 705405 ) ( * 1164575 )
NEW met1 ( 3226320 243645 ) M1M2_PR
NEW met1 ( 3253200 1513115 ) M1M2_PR
NEW met2 ( 3372240 1512930 ) via2_FR
NEW met1 ( 3372240 1513115 ) M1M2_PR
NEW met2 ( 3372240 1518110 ) via2_FR
NEW met1 ( 3226320 1164575 ) M1M2_PR
NEW met1 ( 3253200 1164575 ) M1M2_PR
NEW met2 ( 3226320 1157730 ) via2_FR
NEW met1 ( 1111440 243645 ) M1M2_PR
NEW met1 ( 3226320 703925 ) M1M2_PR
NEW met1 ( 3226320 705405 ) M1M2_PR
NEW met2 ( 3226320 1157730 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[5\] ( soc mgmt_out_data[5] ) ( soc mgmt_in_data[5] ) ( gpio_control_in\[5\] mgmt_gpio_out ) ( gpio_control_in\[5\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3385440 1737890 0 ) ( * 1738630 )
NEW met3 ( 3385440 1738630 ) ( 3385680 * )
NEW met2 ( 3385680 1738630 ) ( * 1741590 )
NEW met3 ( 3385440 1741590 ) ( 3385680 * )
NEW met3 ( 3385440 1741590 ) ( * 1743070 0 )
NEW met2 ( 3385680 1728085 ) ( * 1738630 )
NEW met1 ( 3252720 1728085 ) ( 3385680 * )
NEW met2 ( 3190320 1166610 ) ( 3190560 * 0 )
NEW met2 ( 3190320 1166610 ) ( * 1182705 )
NEW met1 ( 3190320 1182705 ) ( 3252720 * )
NEW met3 ( 3184800 1169570 ) ( 3190320 * )
NEW met2 ( 3252720 1182705 ) ( * 1728085 )
NEW met4 ( 3184800 257890 ) ( * 1169570 )
NEW met2 ( 1113360 257890 ) ( * 266770 )
NEW met2 ( 1113120 266770 ) ( 1113360 * )
NEW met2 ( 1113120 266770 ) ( * 268250 0 )
NEW met3 ( 1113360 257890 ) ( 3184800 * )
NEW met2 ( 3385680 1738630 ) via2_FR
NEW met2 ( 3385680 1741590 ) via2_FR
NEW met1 ( 3385680 1728085 ) M1M2_PR
NEW met1 ( 3252720 1728085 ) M1M2_PR
NEW met3 ( 3184800 257890 ) M3M4_PR_M
NEW met1 ( 3190320 1182705 ) M1M2_PR
NEW met1 ( 3252720 1182705 ) M1M2_PR
NEW met3 ( 3184800 1169570 ) M3M4_PR_M
NEW met2 ( 3190320 1169570 ) via2_FR
NEW met2 ( 1113360 257890 ) via2_FR
NEW met2 ( 3190320 1169570 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[6\] ( soc mgmt_out_data[6] ) ( soc mgmt_in_data[6] ) ( gpio_control_in\[6\] mgmt_gpio_out ) ( gpio_control_in\[6\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 3225840 1165315 ) ( 3252240 * )
NEW met3 ( 3202080 1154770 0 ) ( * 1155510 )
NEW met3 ( 3202080 1155510 ) ( 3225840 * )
NEW met2 ( 3252240 1165315 ) ( * 1958965 )
NEW met3 ( 3385440 1963590 0 ) ( * 1965070 )
NEW met3 ( 3385440 1965070 ) ( 3385680 * )
NEW met2 ( 3385680 1965070 ) ( * 1967290 )
NEW met3 ( 3385440 1967290 ) ( 3385680 * )
NEW met3 ( 3385440 1967290 ) ( * 1969510 0 )
NEW met2 ( 3385680 1958965 ) ( * 1965070 )
NEW met1 ( 3252240 1958965 ) ( 3385680 * )
NEW met1 ( 3225840 702815 ) ( * 705405 )
NEW met2 ( 3225840 243275 ) ( * 702815 )
NEW met2 ( 3225840 705405 ) ( * 1165315 )
NEW met2 ( 1114800 243275 ) ( * 268250 )
NEW met2 ( 1114800 268250 ) ( 1115040 * 0 )
NEW met1 ( 1114800 243275 ) ( 3225840 * )
NEW met1 ( 3225840 243275 ) M1M2_PR
NEW met1 ( 3252240 1958965 ) M1M2_PR
NEW met1 ( 3225840 1165315 ) M1M2_PR
NEW met1 ( 3252240 1165315 ) M1M2_PR
NEW met2 ( 3225840 1155510 ) via2_FR
NEW met2 ( 3385680 1965070 ) via2_FR
NEW met2 ( 3385680 1967290 ) via2_FR
NEW met1 ( 3385680 1958965 ) M1M2_PR
NEW met1 ( 3225840 702815 ) M1M2_PR
NEW met1 ( 3225840 705405 ) M1M2_PR
NEW met1 ( 1114800 243275 ) M1M2_PR
NEW met2 ( 3225840 1155510 ) RECT ( -70 -485 70 0 ) ;
- mgmt_io_in\[7\] ( soc mgmt_out_data[7] ) ( soc mgmt_in_data[7] ) ( gpio_control_in\[7\] mgmt_gpio_out ) ( gpio_control_in\[7\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3188640 1167350 0 ) ( 3189840 * )
NEW met2 ( 3189840 1167350 ) ( * 1181965 )
NEW met1 ( 3189840 1181965 ) ( 3251760 * )
NEW met3 ( 3186720 1167350 ) ( 3187440 * )
NEW met2 ( 3187440 1167350 ) ( 3188640 * 0 )
NEW met2 ( 3251760 1181965 ) ( * 2397415 )
NEW met3 ( 3385440 2404630 0 ) ( * 2406110 )
NEW met3 ( 3385200 2406110 ) ( 3385440 * )
NEW met2 ( 3385200 2406110 ) ( * 2408330 )
NEW met3 ( 3385200 2408330 ) ( 3385440 * )
NEW met3 ( 3385440 2408330 ) ( * 2410180 0 )
NEW met2 ( 3385200 2397415 ) ( * 2406110 )
NEW met1 ( 3251760 2397415 ) ( 3385200 * )
NEW met4 ( 3186720 261590 ) ( * 1167350 )
NEW met2 ( 1116720 261590 ) ( * 268250 0 )
NEW met3 ( 1116720 261590 ) ( 3186720 * )
NEW met1 ( 3251760 2397415 ) M1M2_PR
NEW met3 ( 3186720 261590 ) M3M4_PR_M
NEW met1 ( 3189840 1181965 ) M1M2_PR
NEW met1 ( 3251760 1181965 ) M1M2_PR
NEW met3 ( 3186720 1167350 ) M3M4_PR_M
NEW met2 ( 3187440 1167350 ) via2_FR
NEW met2 ( 3385200 2406110 ) via2_FR
NEW met2 ( 3385200 2408330 ) via2_FR
NEW met1 ( 3385200 2397415 ) M1M2_PR
NEW met2 ( 1116720 261590 ) via2_FR ;
- mgmt_io_in\[8\] ( soc mgmt_out_data[8] ) ( soc mgmt_in_data[8] ) ( gpio_control_in\[8\] mgmt_gpio_out ) ( gpio_control_in\[8\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3186720 1167350 0 ) ( 3186960 * )
NEW met2 ( 3186960 1167350 ) ( * 1182335 )
NEW met1 ( 3186960 1182335 ) ( 3251280 * )
NEW met3 ( 3185760 1168090 ) ( 3186000 * )
NEW met2 ( 3186000 1167350 ) ( * 1168090 )
NEW met2 ( 3186000 1167350 ) ( 3186720 * 0 )
NEW met2 ( 3251280 1182335 ) ( * 2621635 )
NEW met3 ( 3385440 2624780 0 ) ( * 2625890 )
NEW met3 ( 3385440 2625890 ) ( 3385680 * )
NEW met2 ( 3385680 2625890 ) ( * 2628850 )
NEW met3 ( 3385440 2628850 ) ( 3385680 * )
NEW met3 ( 3385440 2628850 ) ( * 2630330 0 )
NEW met2 ( 3385680 2621635 ) ( * 2625890 )
NEW met1 ( 3251280 2621635 ) ( 3385680 * )
NEW met4 ( 3185760 258630 ) ( * 1168090 )
NEW met2 ( 1118640 258630 ) ( * 268250 0 )
NEW met3 ( 1118640 258630 ) ( 3185760 * )
NEW met1 ( 3251280 2621635 ) M1M2_PR
NEW met3 ( 3185760 258630 ) M3M4_PR_M
NEW met1 ( 3186960 1182335 ) M1M2_PR
NEW met1 ( 3251280 1182335 ) M1M2_PR
NEW met3 ( 3185760 1168090 ) M3M4_PR_M
NEW met2 ( 3186000 1168090 ) via2_FR
NEW met2 ( 3385680 2625890 ) via2_FR
NEW met2 ( 3385680 2628850 ) via2_FR
NEW met1 ( 3385680 2621635 ) M1M2_PR
NEW met2 ( 1118640 258630 ) via2_FR
NEW met3 ( 3185760 1168090 ) RECT ( -380 -150 0 150 ) ;
- mgmt_io_in\[9\] ( soc mgmt_out_data[9] ) ( soc mgmt_in_data[9] ) ( gpio_control_in\[9\] mgmt_gpio_out ) ( gpio_control_in\[9\] mgmt_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 3226800 1164945 ) ( 3250800 * )
NEW met3 ( 3201120 1152550 0 ) ( * 1153290 )
NEW met3 ( 3201120 1153290 ) ( 3226800 * )
NEW met2 ( 3250800 1164945 ) ( * 2836975 )
NEW met3 ( 3385200 2850850 ) ( 3385440 * )
NEW met3 ( 3385440 2849740 0 ) ( * 2850850 )
NEW met2 ( 3385200 2836975 ) ( * 2850850 )
NEW met1 ( 3250800 2836975 ) ( 3385200 * )
NEW met3 ( 3385200 2853810 ) ( 3385440 * )
NEW met3 ( 3385440 2853810 ) ( * 2855290 0 )
NEW met2 ( 3385200 2850850 ) ( * 2853810 )
NEW met2 ( 1120560 241055 ) ( * 268250 0 )
NEW met1 ( 1120560 241055 ) ( 3226800 * )
NEW met3 ( 3226080 704110 ) ( 3226800 * )
NEW met3 ( 3226080 704110 ) ( * 705590 )
NEW met3 ( 3226080 705590 ) ( 3226800 * )
NEW met2 ( 3226800 241055 ) ( * 704110 )
NEW met2 ( 3226800 705590 ) ( * 1164945 )
NEW met1 ( 3226800 241055 ) M1M2_PR
NEW met1 ( 3250800 2836975 ) M1M2_PR
NEW met1 ( 3226800 1164945 ) M1M2_PR
NEW met1 ( 3250800 1164945 ) M1M2_PR
NEW met2 ( 3226800 1153290 ) via2_FR
NEW met2 ( 3385200 2850850 ) via2_FR
NEW met1 ( 3385200 2836975 ) M1M2_PR
NEW met2 ( 3385200 2853810 ) via2_FR
NEW met1 ( 1120560 241055 ) M1M2_PR
NEW met2 ( 3226800 704110 ) via2_FR
NEW met2 ( 3226800 705590 ) via2_FR
NEW met2 ( 3226800 1153290 ) RECT ( -70 -485 70 0 ) ;
- mgmt_rdata\[0\] ( storage mgmt_rdata[0] ) ( soc mgmt_rdata[0] ) + USE SIGNAL
+ ROUTED met2 ( 807600 359455 ) ( * 374625 )
NEW met2 ( 722160 374625 ) ( * 387390 )
NEW met3 ( 704160 387390 0 ) ( 722160 * )
NEW met1 ( 722160 374625 ) ( 807600 * )
NEW met2 ( 1009200 347430 ) ( * 359455 )
NEW met3 ( 1009200 347430 ) ( 1052640 * 0 )
NEW met1 ( 807600 359455 ) ( 1009200 * )
NEW met1 ( 807600 374625 ) M1M2_PR
NEW met1 ( 807600 359455 ) M1M2_PR
NEW met1 ( 722160 374625 ) M1M2_PR
NEW met2 ( 722160 387390 ) via2_FR
NEW met1 ( 1009200 359455 ) M1M2_PR
NEW met2 ( 1009200 347430 ) via2_FR ;
- mgmt_rdata\[10\] ( storage mgmt_rdata[10] ) ( soc mgmt_rdata[10] ) + USE SIGNAL
+ ROUTED met1 ( 730800 447145 ) ( 755760 * )
NEW met2 ( 730800 447145 ) ( * 448070 )
NEW met3 ( 704160 448070 0 ) ( 730800 * )
NEW met2 ( 755760 359825 ) ( * 447145 )
NEW met2 ( 1002000 349650 ) ( * 359825 )
NEW met3 ( 1002000 349650 ) ( 1052640 * 0 )
NEW met1 ( 755760 359825 ) ( 1002000 * )
NEW met1 ( 755760 447145 ) M1M2_PR
NEW met1 ( 730800 447145 ) M1M2_PR
NEW met2 ( 730800 448070 ) via2_FR
NEW met1 ( 755760 359825 ) M1M2_PR
NEW met1 ( 1002000 359825 ) M1M2_PR
NEW met2 ( 1002000 349650 ) via2_FR ;
- mgmt_rdata\[11\] ( storage mgmt_rdata[11] ) ( soc mgmt_rdata[11] ) + USE SIGNAL
+ ROUTED met2 ( 828240 359085 ) ( * 446775 )
NEW met2 ( 732720 446775 ) ( * 453990 )
NEW met3 ( 704160 453990 0 ) ( 732720 * )
NEW met1 ( 732720 446775 ) ( 828240 * )
NEW met2 ( 1008240 352610 ) ( * 359085 )
NEW met3 ( 1008240 352610 ) ( 1052640 * 0 )
NEW met1 ( 828240 359085 ) ( 1008240 * )
NEW met1 ( 828240 446775 ) M1M2_PR
NEW met1 ( 828240 359085 ) M1M2_PR
NEW met1 ( 732720 446775 ) M1M2_PR
NEW met2 ( 732720 453990 ) via2_FR
NEW met1 ( 1008240 359085 ) M1M2_PR
NEW met2 ( 1008240 352610 ) via2_FR ;
- mgmt_rdata\[12\] ( storage mgmt_rdata[12] ) ( soc mgmt_rdata[12] ) + USE SIGNAL
+ ROUTED met2 ( 842640 358715 ) ( * 446405 )
NEW met2 ( 731760 446405 ) ( * 456950 )
NEW met3 ( 704160 456950 ) ( 731760 * )
NEW met3 ( 704160 456950 ) ( * 459540 0 )
NEW met1 ( 731760 446405 ) ( 842640 * )
NEW met2 ( 1008720 355570 ) ( * 358715 )
NEW met3 ( 1008720 355570 ) ( 1052640 * 0 )
NEW met1 ( 842640 358715 ) ( 1008720 * )
NEW met1 ( 842640 446405 ) M1M2_PR
NEW met1 ( 842640 358715 ) M1M2_PR
NEW met1 ( 731760 446405 ) M1M2_PR
NEW met2 ( 731760 456950 ) via2_FR
NEW met1 ( 1008720 358715 ) M1M2_PR
NEW met2 ( 1008720 355570 ) via2_FR ;
- mgmt_rdata\[13\] ( storage mgmt_rdata[13] ) ( soc mgmt_rdata[13] ) + USE SIGNAL
+ ROUTED met2 ( 857040 358345 ) ( * 460835 )
NEW met3 ( 704160 463610 ) ( * 465460 0 )
NEW met3 ( 704160 463610 ) ( 732720 * )
NEW met2 ( 732720 460835 ) ( * 463610 )
NEW met1 ( 732720 460835 ) ( 857040 * )
NEW met2 ( 1050000 358160 ) ( * 358345 )
NEW met3 ( 1050000 358160 ) ( 1052640 * 0 )
NEW met1 ( 857040 358345 ) ( 1050000 * )
NEW met1 ( 857040 460835 ) M1M2_PR
NEW met1 ( 857040 358345 ) M1M2_PR
NEW met2 ( 732720 463610 ) via2_FR
NEW met1 ( 732720 460835 ) M1M2_PR
NEW met1 ( 1050000 358345 ) M1M2_PR
NEW met2 ( 1050000 358160 ) via2_FR ;
- mgmt_rdata\[14\] ( storage mgmt_rdata[14] ) ( soc mgmt_rdata[14] ) + USE SIGNAL
+ ROUTED met1 ( 731760 461205 ) ( 770160 * )
NEW met2 ( 731760 461205 ) ( * 471750 )
NEW met3 ( 704160 471750 0 ) ( 731760 * )
NEW met2 ( 770160 374255 ) ( * 461205 )
NEW met2 ( 1007760 360750 ) ( * 374255 )
NEW met3 ( 1007760 360750 ) ( 1052640 * 0 )
NEW met1 ( 770160 374255 ) ( 1007760 * )
NEW met1 ( 770160 461205 ) M1M2_PR
NEW met1 ( 731760 461205 ) M1M2_PR
NEW met2 ( 731760 471750 ) via2_FR
NEW met1 ( 770160 374255 ) M1M2_PR
NEW met1 ( 1007760 374255 ) M1M2_PR
NEW met2 ( 1007760 360750 ) via2_FR ;
- mgmt_rdata\[15\] ( storage mgmt_rdata[15] ) ( soc mgmt_rdata[15] ) + USE SIGNAL
+ ROUTED met2 ( 872400 373885 ) ( * 475635 )
NEW met2 ( 732720 475635 ) ( * 477670 )
NEW met3 ( 704160 477670 0 ) ( 732720 * )
NEW met1 ( 732720 475635 ) ( 872400 * )
NEW met2 ( 1009200 363710 ) ( * 373885 )
NEW met3 ( 1009200 363710 ) ( 1052640 * 0 )
NEW met1 ( 872400 373885 ) ( 1009200 * )
NEW met1 ( 872400 373885 ) M1M2_PR
NEW met1 ( 872400 475635 ) M1M2_PR
NEW met1 ( 732720 475635 ) M1M2_PR
NEW met2 ( 732720 477670 ) via2_FR
NEW met1 ( 1009200 373885 ) M1M2_PR
NEW met2 ( 1009200 363710 ) via2_FR ;
- mgmt_rdata\[16\] ( storage mgmt_rdata[16] ) ( soc mgmt_rdata[16] ) + USE SIGNAL
+ ROUTED met3 ( 704160 481370 ) ( * 483960 0 )
NEW met3 ( 704160 481370 ) ( 731760 * )
NEW met2 ( 731760 475265 ) ( * 481370 )
NEW met1 ( 731760 475265 ) ( 886320 * )
NEW met2 ( 886320 373515 ) ( * 475265 )
NEW met2 ( 1050960 366300 ) ( * 373515 )
NEW met3 ( 1050960 366300 ) ( 1052640 * 0 )
NEW met1 ( 886320 373515 ) ( 1050960 * )
NEW met2 ( 731760 481370 ) via2_FR
NEW met1 ( 731760 475265 ) M1M2_PR
NEW met1 ( 886320 373515 ) M1M2_PR
NEW met1 ( 886320 475265 ) M1M2_PR
NEW met1 ( 1050960 373515 ) M1M2_PR
NEW met2 ( 1050960 366300 ) via2_FR ;
- mgmt_rdata\[17\] ( storage mgmt_rdata[17] ) ( soc mgmt_rdata[17] ) + USE SIGNAL
+ ROUTED met2 ( 732720 490250 ) ( * 490435 )
NEW met3 ( 704160 490250 0 ) ( 732720 * )
NEW met1 ( 732720 490435 ) ( 900720 * )
NEW met2 ( 900720 373145 ) ( * 490435 )
NEW met2 ( 1008240 368890 ) ( * 373145 )
NEW met3 ( 1008240 368890 ) ( 1052640 * 0 )
NEW met1 ( 900720 373145 ) ( 1008240 * )
NEW met1 ( 732720 490435 ) M1M2_PR
NEW met2 ( 732720 490250 ) via2_FR
NEW met1 ( 900720 373145 ) M1M2_PR
NEW met1 ( 900720 490435 ) M1M2_PR
NEW met1 ( 1008240 373145 ) M1M2_PR
NEW met2 ( 1008240 368890 ) via2_FR ;
- mgmt_rdata\[18\] ( storage mgmt_rdata[18] ) ( soc mgmt_rdata[18] ) + USE SIGNAL
+ ROUTED met2 ( 731760 490065 ) ( * 495430 )
NEW met3 ( 704160 495430 0 ) ( 731760 * )
NEW met1 ( 731760 490065 ) ( 915120 * )
NEW met2 ( 915120 372775 ) ( * 490065 )
NEW met2 ( 1008720 371850 ) ( * 372775 )
NEW met3 ( 1008720 371850 ) ( 1052640 * 0 )
NEW met1 ( 915120 372775 ) ( 1008720 * )
NEW met1 ( 731760 490065 ) M1M2_PR
NEW met2 ( 731760 495430 ) via2_FR
NEW met1 ( 915120 372775 ) M1M2_PR
NEW met1 ( 915120 490065 ) M1M2_PR
NEW met1 ( 1008720 372775 ) M1M2_PR
NEW met2 ( 1008720 371850 ) via2_FR ;
- mgmt_rdata\[19\] ( storage mgmt_rdata[19] ) ( soc mgmt_rdata[19] ) + USE SIGNAL
+ ROUTED met2 ( 730800 489695 ) ( * 499130 )
NEW met3 ( 704160 499130 ) ( 730800 * )
NEW met3 ( 704160 499130 ) ( * 501720 0 )
NEW met2 ( 1079040 267510 ) ( * 268250 0 )
NEW met3 ( 1074960 267510 ) ( 1079040 * )
NEW met2 ( 1074960 267510 ) ( * 269545 )
NEW met1 ( 1041840 269545 ) ( 1074960 * )
NEW met1 ( 730800 489695 ) ( 1041840 * )
NEW met2 ( 1041840 269545 ) ( * 489695 )
NEW met1 ( 730800 489695 ) M1M2_PR
NEW met2 ( 730800 499130 ) via2_FR
NEW met2 ( 1079040 267510 ) via2_FR
NEW met2 ( 1074960 267510 ) via2_FR
NEW met1 ( 1074960 269545 ) M1M2_PR
NEW met1 ( 1041840 269545 ) M1M2_PR
NEW met1 ( 1041840 489695 ) M1M2_PR ;
- mgmt_rdata\[1\] ( storage mgmt_rdata[1] ) ( soc mgmt_rdata[1] ) + USE SIGNAL
+ ROUTED met2 ( 732720 389055 ) ( * 393310 )
NEW met3 ( 704160 393310 0 ) ( 732720 * )
NEW met2 ( 1080720 268250 ) ( 1080960 * 0 )
NEW met2 ( 1080720 252895 ) ( * 268250 )
NEW met1 ( 1041360 252895 ) ( 1080720 * )
NEW met1 ( 732720 389055 ) ( 1041360 * )
NEW met2 ( 1041360 252895 ) ( * 389055 )
NEW met1 ( 732720 389055 ) M1M2_PR
NEW met2 ( 732720 393310 ) via2_FR
NEW met1 ( 1080720 252895 ) M1M2_PR
NEW met1 ( 1041360 252895 ) M1M2_PR
NEW met1 ( 1041360 389055 ) M1M2_PR ;
- mgmt_rdata\[20\] ( storage mgmt_rdata[20] ) ( soc mgmt_rdata[20] ) + USE SIGNAL
+ ROUTED met2 ( 785520 388685 ) ( * 507825 )
NEW met2 ( 732720 507825 ) ( * 508010 )
NEW met3 ( 704160 508010 0 ) ( 732720 * )
NEW met1 ( 732720 507825 ) ( 785520 * )
NEW met2 ( 984720 376290 ) ( * 388685 )
NEW met3 ( 984720 376290 ) ( 1052640 * )
NEW met3 ( 1052640 374440 0 ) ( * 376290 )
NEW met1 ( 785520 388685 ) ( 984720 * )
NEW met1 ( 785520 388685 ) M1M2_PR
NEW met1 ( 785520 507825 ) M1M2_PR
NEW met1 ( 732720 507825 ) M1M2_PR
NEW met2 ( 732720 508010 ) via2_FR
NEW met1 ( 984720 388685 ) M1M2_PR
NEW met2 ( 984720 376290 ) via2_FR ;
- mgmt_rdata\[21\] ( storage mgmt_rdata[21] ) ( soc mgmt_rdata[21] ) + USE SIGNAL
+ ROUTED met1 ( 731760 504125 ) ( 756720 * )
NEW met2 ( 731760 504125 ) ( * 513930 )
NEW met3 ( 704160 513930 0 ) ( 731760 * )
NEW met2 ( 756720 263625 ) ( * 504125 )
NEW met2 ( 1082640 263625 ) ( * 268250 0 )
NEW met1 ( 756720 263625 ) ( 1082640 * )
NEW met1 ( 756720 263625 ) M1M2_PR
NEW met1 ( 756720 504125 ) M1M2_PR
NEW met1 ( 731760 504125 ) M1M2_PR
NEW met2 ( 731760 513930 ) via2_FR
NEW met1 ( 1082640 263625 ) M1M2_PR ;
- mgmt_rdata\[22\] ( storage mgmt_rdata[22] ) ( soc mgmt_rdata[22] ) + USE SIGNAL
+ ROUTED met2 ( 800400 388315 ) ( * 521885 )
NEW met2 ( 732720 520590 ) ( * 521885 )
NEW met1 ( 732720 521885 ) ( 800400 * )
NEW met3 ( 703200 519110 ) ( * 519850 0 )
NEW met3 ( 703200 519110 ) ( 705360 * )
NEW met2 ( 705360 519110 ) ( * 520590 )
NEW met3 ( 705360 520590 ) ( 732720 * )
NEW met2 ( 1008240 377030 ) ( * 388315 )
NEW met3 ( 1008240 377030 ) ( 1052640 * 0 )
NEW met1 ( 800400 388315 ) ( 1008240 * )
NEW met1 ( 800400 388315 ) M1M2_PR
NEW met1 ( 800400 521885 ) M1M2_PR
NEW met2 ( 732720 520590 ) via2_FR
NEW met1 ( 732720 521885 ) M1M2_PR
NEW met2 ( 705360 519110 ) via2_FR
NEW met2 ( 705360 520590 ) via2_FR
NEW met1 ( 1008240 388315 ) M1M2_PR
NEW met2 ( 1008240 377030 ) via2_FR ;
- mgmt_rdata\[23\] ( storage mgmt_rdata[23] ) ( soc mgmt_rdata[23] ) + USE SIGNAL
+ ROUTED met3 ( 704160 523550 ) ( * 526140 0 )
NEW met3 ( 704160 523550 ) ( 731760 * )
NEW met2 ( 731760 518555 ) ( * 523550 )
NEW met2 ( 1084560 252525 ) ( * 268250 0 )
NEW met1 ( 1042320 252525 ) ( 1084560 * )
NEW met1 ( 731760 518555 ) ( 1042320 * )
NEW met2 ( 1042320 252525 ) ( * 518555 )
NEW met2 ( 731760 523550 ) via2_FR
NEW met1 ( 731760 518555 ) M1M2_PR
NEW met1 ( 1084560 252525 ) M1M2_PR
NEW met1 ( 1042320 252525 ) M1M2_PR
NEW met1 ( 1042320 518555 ) M1M2_PR ;
- mgmt_rdata\[24\] ( storage mgmt_rdata[24] ) ( soc mgmt_rdata[24] ) + USE SIGNAL
+ ROUTED met2 ( 799920 264365 ) ( * 532245 )
NEW met2 ( 732720 532245 ) ( * 532430 )
NEW met3 ( 704160 532430 0 ) ( 732720 * )
NEW met1 ( 732720 532245 ) ( 799920 * )
NEW met2 ( 1086480 264365 ) ( * 268250 0 )
NEW met1 ( 799920 264365 ) ( 1086480 * )
NEW met1 ( 799920 264365 ) M1M2_PR
NEW met1 ( 799920 532245 ) M1M2_PR
NEW met1 ( 732720 532245 ) M1M2_PR
NEW met2 ( 732720 532430 ) via2_FR
NEW met1 ( 1086480 264365 ) M1M2_PR ;
- mgmt_rdata\[25\] ( storage mgmt_rdata[25] ) ( soc mgmt_rdata[25] ) + USE SIGNAL
+ ROUTED met2 ( 814320 387945 ) ( * 534465 )
NEW met2 ( 721680 534465 ) ( * 537610 )
NEW met3 ( 704160 537610 0 ) ( 721680 * )
NEW met1 ( 721680 534465 ) ( 814320 * )
NEW met2 ( 1001520 379990 ) ( * 387945 )
NEW met3 ( 1001520 379990 ) ( 1052640 * 0 )
NEW met1 ( 814320 387945 ) ( 1001520 * )
NEW met1 ( 814320 387945 ) M1M2_PR
NEW met1 ( 814320 534465 ) M1M2_PR
NEW met1 ( 721680 534465 ) M1M2_PR
NEW met2 ( 721680 537610 ) via2_FR
NEW met1 ( 1001520 387945 ) M1M2_PR
NEW met2 ( 1001520 379990 ) via2_FR ;
- mgmt_rdata\[26\] ( storage mgmt_rdata[26] ) ( soc mgmt_rdata[26] ) + USE SIGNAL
+ ROUTED met2 ( 738960 238095 ) ( * 532985 )
NEW met3 ( 704160 541310 ) ( * 543890 0 )
NEW met3 ( 704160 541310 ) ( 723120 * )
NEW met2 ( 723120 532985 ) ( * 541310 )
NEW met1 ( 723120 532985 ) ( 738960 * )
NEW met2 ( 1088400 238095 ) ( * 268250 0 )
NEW met1 ( 738960 238095 ) ( 1088400 * )
NEW met1 ( 738960 238095 ) M1M2_PR
NEW met1 ( 738960 532985 ) M1M2_PR
NEW met2 ( 723120 541310 ) via2_FR
NEW met1 ( 723120 532985 ) M1M2_PR
NEW met1 ( 1088400 238095 ) M1M2_PR ;
- mgmt_rdata\[27\] ( storage mgmt_rdata[27] ) ( soc mgmt_rdata[27] ) + USE SIGNAL
+ ROUTED met2 ( 929520 387575 ) ( * 547415 )
NEW met3 ( 704160 548710 ) ( * 549820 0 )
NEW met3 ( 704160 548710 ) ( 721680 * )
NEW met2 ( 721680 547415 ) ( * 548710 )
NEW met1 ( 721680 547415 ) ( 929520 * )
NEW met2 ( 1050960 382580 ) ( * 387575 )
NEW met3 ( 1050960 382580 ) ( 1052640 * 0 )
NEW met1 ( 929520 387575 ) ( 1050960 * )
NEW met1 ( 929520 387575 ) M1M2_PR
NEW met1 ( 929520 547415 ) M1M2_PR
NEW met2 ( 721680 548710 ) via2_FR
NEW met1 ( 721680 547415 ) M1M2_PR
NEW met1 ( 1050960 387575 ) M1M2_PR
NEW met2 ( 1050960 382580 ) via2_FR ;
- mgmt_rdata\[28\] ( storage mgmt_rdata[28] ) ( soc mgmt_rdata[28] ) + USE SIGNAL
+ ROUTED met2 ( 739440 238465 ) ( * 547785 )
NEW met2 ( 722160 547785 ) ( * 556110 )
NEW met3 ( 704160 556110 0 ) ( 722160 * )
NEW met1 ( 722160 547785 ) ( 739440 * )
NEW met2 ( 1090320 238465 ) ( * 268250 )
NEW met2 ( 1090080 268250 0 ) ( 1090320 * )
NEW met1 ( 739440 238465 ) ( 1090320 * )
NEW met1 ( 739440 238465 ) M1M2_PR
NEW met1 ( 739440 547785 ) M1M2_PR
NEW met1 ( 722160 547785 ) M1M2_PR
NEW met2 ( 722160 556110 ) via2_FR
NEW met1 ( 1090320 238465 ) M1M2_PR ;
- mgmt_rdata\[29\] ( storage mgmt_rdata[29] ) ( soc mgmt_rdata[29] ) + USE SIGNAL
+ ROUTED met2 ( 740400 237725 ) ( * 562215 )
NEW met2 ( 721680 562030 ) ( * 562215 )
NEW met3 ( 704160 562030 0 ) ( 721680 * )
NEW met1 ( 721680 562215 ) ( 740400 * )
NEW met2 ( 1091760 237725 ) ( * 268250 )
NEW met2 ( 1091760 268250 ) ( 1092000 * 0 )
NEW met1 ( 740400 237725 ) ( 1091760 * )
NEW met1 ( 740400 237725 ) M1M2_PR
NEW met1 ( 740400 562215 ) M1M2_PR
NEW met1 ( 721680 562215 ) M1M2_PR
NEW met2 ( 721680 562030 ) via2_FR
NEW met1 ( 1091760 237725 ) M1M2_PR ;
- mgmt_rdata\[2\] ( storage mgmt_rdata[2] ) ( soc mgmt_rdata[2] ) + USE SIGNAL
+ ROUTED met3 ( 704160 397010 ) ( * 399600 0 )
NEW met3 ( 704160 397010 ) ( 731760 * )
NEW met2 ( 731760 389425 ) ( * 397010 )
NEW met2 ( 950160 386095 ) ( * 389425 )
NEW met1 ( 731760 389425 ) ( 950160 * )
NEW met2 ( 1008720 385170 ) ( * 386095 )
NEW met3 ( 1008720 385170 ) ( 1052640 * 0 )
NEW met1 ( 950160 386095 ) ( 1008720 * )
NEW met2 ( 731760 397010 ) via2_FR
NEW met1 ( 731760 389425 ) M1M2_PR
NEW met1 ( 950160 386095 ) M1M2_PR
NEW met1 ( 950160 389425 ) M1M2_PR
NEW met1 ( 1008720 386095 ) M1M2_PR
NEW met2 ( 1008720 385170 ) via2_FR ;
- mgmt_rdata\[30\] ( storage mgmt_rdata[30] ) ( soc mgmt_rdata[30] ) + USE SIGNAL
+ ROUTED met2 ( 739920 237355 ) ( * 563325 )
NEW met2 ( 721680 563325 ) ( * 565730 )
NEW met3 ( 704160 565730 ) ( 721680 * )
NEW met3 ( 704160 565730 ) ( * 568320 0 )
NEW met1 ( 721680 563325 ) ( 739920 * )
NEW met2 ( 1093680 237355 ) ( * 268250 0 )
NEW met1 ( 739920 237355 ) ( 1093680 * )
NEW met1 ( 739920 237355 ) M1M2_PR
NEW met1 ( 739920 563325 ) M1M2_PR
NEW met1 ( 721680 563325 ) M1M2_PR
NEW met2 ( 721680 565730 ) via2_FR
NEW met1 ( 1093680 237355 ) M1M2_PR ;
- mgmt_rdata\[31\] ( storage mgmt_rdata[31] ) ( soc mgmt_rdata[31] ) + USE SIGNAL
+ ROUTED met2 ( 958320 387205 ) ( * 561845 )
NEW met3 ( 704160 570910 ) ( * 573810 0 )
NEW met3 ( 704160 570910 ) ( 722160 * )
NEW met2 ( 722160 561845 ) ( * 570910 )
NEW met1 ( 722160 561845 ) ( 958320 * )
NEW met2 ( 1008720 387205 ) ( * 388130 )
NEW met3 ( 1008720 388130 ) ( 1052640 * 0 )
NEW met1 ( 958320 387205 ) ( 1008720 * )
NEW met1 ( 958320 387205 ) M1M2_PR
NEW met1 ( 958320 561845 ) M1M2_PR
NEW met2 ( 722160 570910 ) via2_FR
NEW met1 ( 722160 561845 ) M1M2_PR
NEW met1 ( 1008720 387205 ) M1M2_PR
NEW met2 ( 1008720 388130 ) via2_FR ;
- mgmt_rdata\[32\] ( storage mgmt_rdata[32] ) ( soc mgmt_rdata[32] ) + USE SIGNAL
+ ROUTED met2 ( 740880 240315 ) ( * 577755 )
NEW met2 ( 721680 577755 ) ( * 579790 )
NEW met3 ( 704160 579790 0 ) ( 721680 * )
NEW met1 ( 721680 577755 ) ( 740880 * )
NEW met2 ( 1095600 240315 ) ( * 268250 0 )
NEW met1 ( 740880 240315 ) ( 1095600 * )
NEW met1 ( 740880 240315 ) M1M2_PR
NEW met1 ( 740880 577755 ) M1M2_PR
NEW met1 ( 721680 577755 ) M1M2_PR
NEW met2 ( 721680 579790 ) via2_FR
NEW met1 ( 1095600 240315 ) M1M2_PR ;
- mgmt_rdata\[33\] ( storage mgmt_rdata[33] ) ( soc mgmt_rdata[33] ) + USE SIGNAL
+ ROUTED met2 ( 748560 239205 ) ( * 581085 )
NEW met3 ( 704160 583490 ) ( * 586050 0 )
NEW met3 ( 704160 583490 ) ( 721680 * )
NEW met2 ( 721680 581085 ) ( * 583490 )
NEW met1 ( 721680 581085 ) ( 748560 * )
NEW met2 ( 1097520 239205 ) ( * 268250 0 )
NEW met1 ( 748560 239205 ) ( 1097520 * )
NEW met1 ( 748560 239205 ) M1M2_PR
NEW met1 ( 748560 581085 ) M1M2_PR
NEW met2 ( 721680 583490 ) via2_FR
NEW met1 ( 721680 581085 ) M1M2_PR
NEW met1 ( 1097520 239205 ) M1M2_PR ;
- mgmt_rdata\[34\] ( storage mgmt_rdata[34] ) ( soc mgmt_rdata[34] ) + USE SIGNAL
+ ROUTED met2 ( 943920 401635 ) ( * 591075 )
NEW met3 ( 703200 590890 ) ( * 592000 0 )
NEW met3 ( 703200 590890 ) ( 721680 * )
NEW met2 ( 721680 590890 ) ( * 591075 )
NEW met1 ( 721680 591075 ) ( 943920 * )
NEW met2 ( 1050960 390720 ) ( * 401635 )
NEW met3 ( 1050960 390720 ) ( 1052640 * 0 )
NEW met1 ( 943920 401635 ) ( 1050960 * )
NEW met1 ( 943920 401635 ) M1M2_PR
NEW met1 ( 943920 591075 ) M1M2_PR
NEW met2 ( 721680 590890 ) via2_FR
NEW met1 ( 721680 591075 ) M1M2_PR
NEW met1 ( 1050960 401635 ) M1M2_PR
NEW met2 ( 1050960 390720 ) via2_FR ;
- mgmt_rdata\[35\] ( storage mgmt_rdata[35] ) ( soc mgmt_rdata[35] ) + USE SIGNAL
+ ROUTED met2 ( 857520 261035 ) ( * 591445 )
NEW met2 ( 722160 591445 ) ( * 595330 )
NEW met3 ( 704160 595330 ) ( 722160 * )
NEW met3 ( 704160 595330 ) ( * 598150 0 )
NEW met1 ( 722160 591445 ) ( 857520 * )
NEW met2 ( 1099440 261035 ) ( * 268250 0 )
NEW met1 ( 857520 261035 ) ( 1099440 * )
NEW met1 ( 857520 261035 ) M1M2_PR
NEW met1 ( 857520 591445 ) M1M2_PR
NEW met1 ( 722160 591445 ) M1M2_PR
NEW met2 ( 722160 595330 ) via2_FR
NEW met1 ( 1099440 261035 ) M1M2_PR ;
- mgmt_rdata\[36\] ( storage mgmt_rdata[36] ) ( soc mgmt_rdata[36] ) + USE SIGNAL
+ ROUTED met2 ( 723120 590705 ) ( * 604210 )
NEW met3 ( 704160 604210 0 ) ( 723120 * )
NEW met1 ( 723120 590705 ) ( 972720 * )
NEW met3 ( 972720 393310 ) ( 1052640 * 0 )
NEW met2 ( 972720 393310 ) ( * 590705 )
NEW met1 ( 972720 590705 ) M1M2_PR
NEW met1 ( 723120 590705 ) M1M2_PR
NEW met2 ( 723120 604210 ) via2_FR
NEW met2 ( 972720 393310 ) via2_FR ;
- mgmt_rdata\[37\] ( storage mgmt_rdata[37] ) ( soc mgmt_rdata[37] ) + USE SIGNAL
+ ROUTED met2 ( 748080 238835 ) ( * 605135 )
NEW met3 ( 704160 607910 ) ( * 609760 0 )
NEW met3 ( 704160 607910 ) ( 721680 * )
NEW met2 ( 721680 605135 ) ( * 607910 )
NEW met1 ( 721680 605135 ) ( 748080 * )
NEW met2 ( 1100880 238835 ) ( * 266770 )
NEW met2 ( 1100880 266770 ) ( 1101120 * )
NEW met2 ( 1101120 266770 ) ( * 268250 0 )
NEW met1 ( 748080 238835 ) ( 1100880 * )
NEW met1 ( 748080 238835 ) M1M2_PR
NEW met1 ( 748080 605135 ) M1M2_PR
NEW met2 ( 721680 607910 ) via2_FR
NEW met1 ( 721680 605135 ) M1M2_PR
NEW met1 ( 1100880 238835 ) M1M2_PR ;
- mgmt_rdata\[38\] ( storage mgmt_rdata[38] ) ( soc mgmt_rdata[38] ) + USE SIGNAL
+ ROUTED met2 ( 747600 239575 ) ( * 605505 )
NEW met3 ( 704160 613090 ) ( * 615680 0 )
NEW met3 ( 704160 613090 ) ( 722160 * )
NEW met2 ( 722160 605505 ) ( * 613090 )
NEW met1 ( 722160 605505 ) ( 747600 * )
NEW met2 ( 1102800 239575 ) ( * 268250 )
NEW met2 ( 1102800 268250 ) ( 1103040 * 0 )
NEW met1 ( 747600 239575 ) ( 1102800 * )
NEW met1 ( 747600 239575 ) M1M2_PR
NEW met1 ( 747600 605505 ) M1M2_PR
NEW met2 ( 722160 613090 ) via2_FR
NEW met1 ( 722160 605505 ) M1M2_PR
NEW met1 ( 1102800 239575 ) M1M2_PR ;
- mgmt_rdata\[39\] ( storage mgmt_rdata[39] ) ( soc mgmt_rdata[39] ) + USE SIGNAL
+ ROUTED met2 ( 721680 619935 ) ( * 621970 )
NEW met3 ( 704160 621970 0 ) ( 721680 * )
NEW met1 ( 721680 619935 ) ( 987120 * )
NEW met3 ( 987120 396270 ) ( 1052640 * 0 )
NEW met2 ( 987120 396270 ) ( * 619935 )
NEW met1 ( 987120 619935 ) M1M2_PR
NEW met1 ( 721680 619935 ) M1M2_PR
NEW met2 ( 721680 621970 ) via2_FR
NEW met2 ( 987120 396270 ) via2_FR ;
- mgmt_rdata\[3\] ( storage mgmt_rdata[3] ) ( soc mgmt_rdata[3] ) + USE SIGNAL
+ ROUTED met1 ( 721200 271765 ) ( 723120 * )
NEW met2 ( 721200 260295 ) ( * 271765 )
NEW met3 ( 704160 403670 ) ( 723120 * )
NEW met3 ( 704160 403670 ) ( * 405850 0 )
NEW met2 ( 723120 271765 ) ( * 403670 )
NEW met2 ( 1104720 260295 ) ( * 268250 0 )
NEW met1 ( 721200 260295 ) ( 1104720 * )
NEW met1 ( 723120 271765 ) M1M2_PR
NEW met1 ( 721200 271765 ) M1M2_PR
NEW met1 ( 721200 260295 ) M1M2_PR
NEW met2 ( 723120 403670 ) via2_FR
NEW met1 ( 1104720 260295 ) M1M2_PR ;
- mgmt_rdata\[40\] ( storage mgmt_rdata[40] ) ( soc mgmt_rdata[40] ) + USE SIGNAL
+ ROUTED met3 ( 704160 625670 ) ( * 628210 0 )
NEW met3 ( 704160 625670 ) ( 722160 * )
NEW met2 ( 722160 619565 ) ( * 625670 )
NEW met1 ( 722160 619565 ) ( 1001520 * )
NEW met3 ( 1001520 400710 ) ( 1052640 * )
NEW met3 ( 1052640 398860 0 ) ( * 400710 )
NEW met2 ( 1001520 400710 ) ( * 619565 )
NEW met1 ( 1001520 619565 ) M1M2_PR
NEW met2 ( 722160 625670 ) via2_FR
NEW met1 ( 722160 619565 ) M1M2_PR
NEW met2 ( 1001520 400710 ) via2_FR ;
- mgmt_rdata\[41\] ( storage mgmt_rdata[41] ) ( soc mgmt_rdata[41] ) + USE SIGNAL
+ ROUTED met1 ( 735120 634365 ) ( 747120 * )
NEW met2 ( 735120 634365 ) ( * 634550 )
NEW met2 ( 747120 239945 ) ( * 634365 )
NEW met3 ( 704160 634550 0 ) ( 735120 * )
NEW met2 ( 1106640 239945 ) ( * 268250 0 )
NEW met1 ( 747120 239945 ) ( 1106640 * )
NEW met1 ( 747120 239945 ) M1M2_PR
NEW met1 ( 747120 634365 ) M1M2_PR
NEW met1 ( 735120 634365 ) M1M2_PR
NEW met2 ( 735120 634550 ) via2_FR
NEW met1 ( 1106640 239945 ) M1M2_PR ;
- mgmt_rdata\[42\] ( storage mgmt_rdata[42] ) ( soc mgmt_rdata[42] ) + USE SIGNAL
+ ROUTED met3 ( 704160 638250 ) ( * 640450 0 )
NEW met3 ( 704160 638250 ) ( 732720 * )
NEW met2 ( 732720 633625 ) ( * 638250 )
NEW met2 ( 1108560 243645 ) ( * 268250 0 )
NEW met1 ( 1042800 243645 ) ( 1108560 * )
NEW met1 ( 732720 633625 ) ( 1042800 * )
NEW met2 ( 1042800 243645 ) ( * 633625 )
NEW met2 ( 732720 638250 ) via2_FR
NEW met1 ( 732720 633625 ) M1M2_PR
NEW met1 ( 1108560 243645 ) M1M2_PR
NEW met1 ( 1042800 243645 ) M1M2_PR
NEW met1 ( 1042800 633625 ) M1M2_PR ;
- mgmt_rdata\[43\] ( storage mgmt_rdata[43] ) ( soc mgmt_rdata[43] ) + USE SIGNAL
+ ROUTED met1 ( 734160 633995 ) ( * 634735 )
NEW met1 ( 721680 634735 ) ( 734160 * )
NEW met2 ( 721680 634735 ) ( * 646390 )
NEW met3 ( 704160 646390 0 ) ( 721680 * )
NEW met1 ( 734160 633995 ) ( 1015920 * )
NEW met3 ( 1015920 401450 ) ( 1052640 * 0 )
NEW met2 ( 1015920 401450 ) ( * 633995 )
NEW met1 ( 1015920 633995 ) M1M2_PR
NEW met1 ( 721680 634735 ) M1M2_PR
NEW met2 ( 721680 646390 ) via2_FR
NEW met2 ( 1015920 401450 ) via2_FR ;
- mgmt_rdata\[44\] ( storage mgmt_rdata[44] ) ( soc mgmt_rdata[44] ) + USE SIGNAL
+ ROUTED met3 ( 704160 650090 ) ( * 651940 0 )
NEW met3 ( 704160 650090 ) ( 721680 * )
NEW met2 ( 721680 648055 ) ( * 650090 )
NEW met2 ( 1110480 240685 ) ( * 268250 0 )
NEW met1 ( 1043280 240685 ) ( 1110480 * )
NEW met1 ( 721680 648055 ) ( 1043280 * )
NEW met2 ( 1043280 240685 ) ( * 648055 )
NEW met2 ( 721680 650090 ) via2_FR
NEW met1 ( 721680 648055 ) M1M2_PR
NEW met1 ( 1110480 240685 ) M1M2_PR
NEW met1 ( 1043280 240685 ) M1M2_PR
NEW met1 ( 1043280 648055 ) M1M2_PR ;
- mgmt_rdata\[45\] ( storage mgmt_rdata[45] ) ( soc mgmt_rdata[45] ) + USE SIGNAL
+ ROUTED met2 ( 757200 417545 ) ( * 648425 )
NEW met3 ( 704160 655270 ) ( * 657860 0 )
NEW met3 ( 704160 655270 ) ( 722640 * )
NEW met2 ( 722640 648425 ) ( * 655270 )
NEW met1 ( 722640 648425 ) ( 757200 * )
NEW met2 ( 1007760 404410 ) ( * 417545 )
NEW met3 ( 1007760 404410 ) ( 1052640 * 0 )
NEW met1 ( 757200 417545 ) ( 1007760 * )
NEW met1 ( 757200 417545 ) M1M2_PR
NEW met1 ( 757200 648425 ) M1M2_PR
NEW met2 ( 722640 655270 ) via2_FR
NEW met1 ( 722640 648425 ) M1M2_PR
NEW met1 ( 1007760 417545 ) M1M2_PR
NEW met2 ( 1007760 404410 ) via2_FR ;
- mgmt_rdata\[46\] ( storage mgmt_rdata[46] ) ( soc mgmt_rdata[46] ) + USE SIGNAL
+ ROUTED met2 ( 721680 662855 ) ( * 664150 )
NEW met3 ( 704160 664150 0 ) ( 721680 * )
NEW met2 ( 1112400 243275 ) ( * 268250 )
NEW met2 ( 1112160 268250 0 ) ( 1112400 * )
NEW met1 ( 1045200 243275 ) ( 1112400 * )
NEW met1 ( 721680 662855 ) ( 1045200 * )
NEW met2 ( 1045200 243275 ) ( * 662855 )
NEW met1 ( 721680 662855 ) M1M2_PR
NEW met2 ( 721680 664150 ) via2_FR
NEW met1 ( 1045200 243275 ) M1M2_PR
NEW met1 ( 1112400 243275 ) M1M2_PR
NEW met1 ( 1045200 662855 ) M1M2_PR ;
- mgmt_rdata\[47\] ( storage mgmt_rdata[47] ) ( soc mgmt_rdata[47] ) + USE SIGNAL
+ ROUTED met2 ( 722640 662485 ) ( * 670070 )
NEW met3 ( 704160 670070 0 ) ( 722640 * )
NEW met2 ( 1113840 268250 ) ( 1114080 * 0 )
NEW met2 ( 1113840 241055 ) ( * 268250 )
NEW met1 ( 1046640 241055 ) ( 1113840 * )
NEW met2 ( 1046640 241055 ) ( * 252710 )
NEW met2 ( 1045680 252710 ) ( 1046640 * )
NEW met1 ( 722640 662485 ) ( 1045680 * )
NEW met2 ( 1045680 252710 ) ( * 662485 )
NEW met1 ( 722640 662485 ) M1M2_PR
NEW met2 ( 722640 670070 ) via2_FR
NEW met1 ( 1113840 241055 ) M1M2_PR
NEW met1 ( 1046640 241055 ) M1M2_PR
NEW met1 ( 1045680 662485 ) M1M2_PR ;
- mgmt_rdata\[48\] ( storage mgmt_rdata[48] ) ( soc mgmt_rdata[48] ) + USE SIGNAL
+ ROUTED met2 ( 828720 417175 ) ( * 664705 )
NEW met3 ( 704160 673770 ) ( * 676360 0 )
NEW met3 ( 704160 673770 ) ( 721680 * )
NEW met2 ( 721680 664705 ) ( * 673770 )
NEW met1 ( 721680 664705 ) ( 828720 * )
NEW met2 ( 1009200 407370 ) ( * 417175 )
NEW met3 ( 1009200 407370 ) ( 1052640 * 0 )
NEW met1 ( 828720 417175 ) ( 1009200 * )
NEW met1 ( 828720 417175 ) M1M2_PR
NEW met1 ( 828720 664705 ) M1M2_PR
NEW met2 ( 721680 673770 ) via2_FR
NEW met1 ( 721680 664705 ) M1M2_PR
NEW met1 ( 1009200 417175 ) M1M2_PR
NEW met2 ( 1009200 407370 ) via2_FR ;
- mgmt_rdata\[49\] ( storage mgmt_rdata[49] ) ( soc mgmt_rdata[49] ) + USE SIGNAL
+ ROUTED met1 ( 734640 679875 ) ( 746640 * )
NEW met2 ( 734640 679875 ) ( * 680430 )
NEW met2 ( 746640 241055 ) ( * 679875 )
NEW met3 ( 704160 680430 ) ( * 682610 0 )
NEW met3 ( 704160 680430 ) ( 734640 * )
NEW met2 ( 1046160 233285 ) ( * 241055 )
NEW met1 ( 1046160 233285 ) ( 1115760 * )
NEW met2 ( 1115760 233285 ) ( * 268250 0 )
NEW met1 ( 746640 241055 ) ( 1046160 * )
NEW met1 ( 746640 241055 ) M1M2_PR
NEW met1 ( 746640 679875 ) M1M2_PR
NEW met1 ( 734640 679875 ) M1M2_PR
NEW met2 ( 734640 680430 ) via2_FR
NEW met1 ( 1046160 241055 ) M1M2_PR
NEW met1 ( 1046160 233285 ) M1M2_PR
NEW met1 ( 1115760 233285 ) M1M2_PR ;
- mgmt_rdata\[4\] ( storage mgmt_rdata[4] ) ( soc mgmt_rdata[4] ) + USE SIGNAL
+ ROUTED met2 ( 732720 410515 ) ( * 411810 )
NEW met3 ( 704160 411810 0 ) ( 732720 * )
NEW met2 ( 1008720 409590 ) ( * 410515 )
NEW met3 ( 1008720 409590 ) ( 1052640 * 0 )
NEW met1 ( 732720 410515 ) ( 1008720 * )
NEW met1 ( 732720 410515 ) M1M2_PR
NEW met2 ( 732720 411810 ) via2_FR
NEW met1 ( 1008720 410515 ) M1M2_PR
NEW met2 ( 1008720 409590 ) via2_FR ;
- mgmt_rdata\[50\] ( storage mgmt_rdata[50] ) ( soc mgmt_rdata[50] ) + USE SIGNAL
+ ROUTED met1 ( 740400 676915 ) ( * 677285 )
NEW met2 ( 721680 677285 ) ( * 687830 )
NEW met3 ( 704160 687830 0 ) ( 721680 * )
NEW met1 ( 721680 677285 ) ( 740400 * )
NEW met2 ( 1117680 244015 ) ( * 268250 0 )
NEW met1 ( 1101360 244015 ) ( 1117680 * )
NEW met2 ( 1101360 244015 ) ( * 245125 )
NEW met1 ( 1092720 245125 ) ( 1101360 * )
NEW met1 ( 1092720 244755 ) ( * 245125 )
NEW met1 ( 1044720 244755 ) ( 1092720 * )
NEW met1 ( 740400 676915 ) ( 1044720 * )
NEW met2 ( 1044720 244755 ) ( * 676915 )
NEW met1 ( 721680 677285 ) M1M2_PR
NEW met2 ( 721680 687830 ) via2_FR
NEW met1 ( 1117680 244015 ) M1M2_PR
NEW met1 ( 1101360 244015 ) M1M2_PR
NEW met1 ( 1101360 245125 ) M1M2_PR
NEW met1 ( 1044720 244755 ) M1M2_PR
NEW met1 ( 1044720 676915 ) M1M2_PR ;
- mgmt_rdata\[51\] ( storage mgmt_rdata[51] ) ( soc mgmt_rdata[51] ) + USE SIGNAL
+ ROUTED met1 ( 719760 632515 ) ( 725520 * )
NEW met2 ( 725520 533725 ) ( * 632515 )
NEW met1 ( 721680 533725 ) ( 725520 * )
NEW met2 ( 721680 251785 ) ( * 533725 )
NEW met1 ( 719760 633625 ) ( 731760 * )
NEW met1 ( 731760 633625 ) ( * 633995 )
NEW met2 ( 731760 633995 ) ( * 691530 )
NEW met3 ( 704160 691530 ) ( 731760 * )
NEW met3 ( 704160 691530 ) ( * 694120 0 )
NEW met2 ( 719760 632515 ) ( * 633625 )
NEW met2 ( 1045680 250305 ) ( * 251785 )
NEW met1 ( 1045680 250305 ) ( 1119600 * )
NEW met2 ( 1119600 250305 ) ( * 268250 0 )
NEW met1 ( 721680 251785 ) ( 1045680 * )
NEW met1 ( 721680 251785 ) M1M2_PR
NEW met1 ( 719760 632515 ) M1M2_PR
NEW met1 ( 725520 632515 ) M1M2_PR
NEW met1 ( 725520 533725 ) M1M2_PR
NEW met1 ( 721680 533725 ) M1M2_PR
NEW met1 ( 719760 633625 ) M1M2_PR
NEW met1 ( 731760 633995 ) M1M2_PR
NEW met2 ( 731760 691530 ) via2_FR
NEW met1 ( 1045680 251785 ) M1M2_PR
NEW met1 ( 1045680 250305 ) M1M2_PR
NEW met1 ( 1119600 250305 ) M1M2_PR ;
- mgmt_rdata\[52\] ( storage mgmt_rdata[52] ) ( soc mgmt_rdata[52] ) + USE SIGNAL
+ ROUTED met2 ( 843120 416805 ) ( * 691345 )
NEW met3 ( 704160 697450 ) ( * 700040 0 )
NEW met3 ( 704160 697450 ) ( 721680 * )
NEW met2 ( 721680 691345 ) ( * 697450 )
NEW met1 ( 721680 691345 ) ( 843120 * )
NEW met2 ( 1008240 412550 ) ( * 416805 )
NEW met3 ( 1008240 412550 ) ( 1052640 * 0 )
NEW met1 ( 843120 416805 ) ( 1008240 * )
NEW met1 ( 843120 416805 ) M1M2_PR
NEW met1 ( 843120 691345 ) M1M2_PR
NEW met2 ( 721680 697450 ) via2_FR
NEW met1 ( 721680 691345 ) M1M2_PR
NEW met1 ( 1008240 416805 ) M1M2_PR
NEW met2 ( 1008240 412550 ) via2_FR ;
- mgmt_rdata\[53\] ( storage mgmt_rdata[53] ) ( soc mgmt_rdata[53] ) + USE SIGNAL
+ ROUTED met2 ( 746160 240685 ) ( * 706145 )
NEW met2 ( 721680 706145 ) ( * 706330 )
NEW met3 ( 704160 706330 0 ) ( 721680 * )
NEW met1 ( 721680 706145 ) ( 746160 * )
NEW met2 ( 1042800 231435 ) ( * 240685 )
NEW met1 ( 1042800 231435 ) ( 1121520 * )
NEW met2 ( 1121520 231435 ) ( * 268250 0 )
NEW met1 ( 746160 240685 ) ( 1042800 * )
NEW met1 ( 746160 240685 ) M1M2_PR
NEW met1 ( 746160 706145 ) M1M2_PR
NEW met1 ( 721680 706145 ) M1M2_PR
NEW met2 ( 721680 706330 ) via2_FR
NEW met1 ( 1042800 240685 ) M1M2_PR
NEW met1 ( 1042800 231435 ) M1M2_PR
NEW met1 ( 1121520 231435 ) M1M2_PR ;
- mgmt_rdata\[54\] ( storage mgmt_rdata[54] ) ( soc mgmt_rdata[54] ) + USE SIGNAL
+ ROUTED met2 ( 858000 416435 ) ( * 708365 )
NEW met2 ( 721680 708365 ) ( * 712250 )
NEW met3 ( 704160 712250 0 ) ( 721680 * )
NEW met1 ( 721680 708365 ) ( 858000 * )
NEW met2 ( 1008720 415510 ) ( * 416435 )
NEW met3 ( 1008720 415510 ) ( 1052640 * 0 )
NEW met1 ( 858000 416435 ) ( 1008720 * )
NEW met1 ( 858000 416435 ) M1M2_PR
NEW met1 ( 858000 708365 ) M1M2_PR
NEW met1 ( 721680 708365 ) M1M2_PR
NEW met2 ( 721680 712250 ) via2_FR
NEW met1 ( 1008720 416435 ) M1M2_PR
NEW met2 ( 1008720 415510 ) via2_FR ;
- mgmt_rdata\[55\] ( storage mgmt_rdata[55] ) ( soc mgmt_rdata[55] ) + USE SIGNAL
+ ROUTED met3 ( 704160 715950 ) ( * 718540 0 )
NEW met3 ( 704160 715950 ) ( 722640 * )
NEW met2 ( 722640 705775 ) ( * 715950 )
NEW met2 ( 1123200 268250 0 ) ( 1123440 * )
NEW met2 ( 1123440 232175 ) ( * 268250 )
NEW met1 ( 1044240 232175 ) ( 1123440 * )
NEW met1 ( 722640 705775 ) ( 1044240 * )
NEW met2 ( 1044240 232175 ) ( * 705775 )
NEW met2 ( 722640 715950 ) via2_FR
NEW met1 ( 722640 705775 ) M1M2_PR
NEW met1 ( 1123440 232175 ) M1M2_PR
NEW met1 ( 1044240 232175 ) M1M2_PR
NEW met1 ( 1044240 705775 ) M1M2_PR ;
- mgmt_rdata\[56\] ( storage mgmt_rdata[56] ) ( soc mgmt_rdata[56] ) + USE SIGNAL
+ ROUTED met2 ( 721680 720205 ) ( * 721870 )
NEW met3 ( 704160 721870 ) ( 721680 * )
NEW met3 ( 704160 721870 ) ( * 723950 0 )
NEW met2 ( 1124880 268250 ) ( 1125120 * 0 )
NEW met2 ( 1124880 231805 ) ( * 268250 )
NEW met1 ( 1043760 231805 ) ( 1124880 * )
NEW met1 ( 721680 720205 ) ( 1043760 * )
NEW met2 ( 1043760 231805 ) ( * 720205 )
NEW met1 ( 721680 720205 ) M1M2_PR
NEW met2 ( 721680 721870 ) via2_FR
NEW met1 ( 1124880 231805 ) M1M2_PR
NEW met1 ( 1043760 231805 ) M1M2_PR
NEW met1 ( 1043760 720205 ) M1M2_PR ;
- mgmt_rdata\[57\] ( storage mgmt_rdata[57] ) ( soc mgmt_rdata[57] ) + USE SIGNAL
+ ROUTED met2 ( 770640 431975 ) ( * 721685 )
NEW met2 ( 722640 721685 ) ( * 730010 )
NEW met3 ( 704160 730010 0 ) ( 722640 * )
NEW met1 ( 722640 721685 ) ( 770640 * )
NEW met2 ( 980400 417730 ) ( * 431975 )
NEW met3 ( 980400 417730 ) ( 1052640 * 0 )
NEW met1 ( 770640 431975 ) ( 980400 * )
NEW met1 ( 770640 431975 ) M1M2_PR
NEW met1 ( 770640 721685 ) M1M2_PR
NEW met1 ( 722640 721685 ) M1M2_PR
NEW met2 ( 722640 730010 ) via2_FR
NEW met1 ( 980400 431975 ) M1M2_PR
NEW met2 ( 980400 417730 ) via2_FR ;
- mgmt_rdata\[58\] ( storage mgmt_rdata[58] ) ( soc mgmt_rdata[58] ) + USE SIGNAL
+ ROUTED met2 ( 722160 323750 ) ( 722640 * )
NEW met2 ( 722160 252895 ) ( * 323750 )
NEW met3 ( 704160 734450 ) ( 723600 * )
NEW met3 ( 704160 734450 ) ( * 736300 0 )
NEW met1 ( 722640 647685 ) ( 724560 * )
NEW met2 ( 724560 647685 ) ( * 684685 )
NEW met1 ( 723600 684685 ) ( 724560 * )
NEW met2 ( 722640 323750 ) ( * 647685 )
NEW met2 ( 723600 684685 ) ( * 734450 )
NEW met2 ( 1040400 249935 ) ( * 252895 )
NEW met1 ( 1040400 249935 ) ( 1126800 * )
NEW met2 ( 1126800 249935 ) ( * 268250 0 )
NEW met1 ( 722160 252895 ) ( 1040400 * )
NEW met1 ( 722160 252895 ) M1M2_PR
NEW met2 ( 723600 734450 ) via2_FR
NEW met1 ( 722640 647685 ) M1M2_PR
NEW met1 ( 724560 647685 ) M1M2_PR
NEW met1 ( 724560 684685 ) M1M2_PR
NEW met1 ( 723600 684685 ) M1M2_PR
NEW met1 ( 1040400 252895 ) M1M2_PR
NEW met1 ( 1040400 249935 ) M1M2_PR
NEW met1 ( 1126800 249935 ) M1M2_PR ;
- mgmt_rdata\[59\] ( storage mgmt_rdata[59] ) ( soc mgmt_rdata[59] ) + USE SIGNAL
+ ROUTED met2 ( 871920 431605 ) ( * 734635 )
NEW met3 ( 704160 739630 ) ( * 742220 0 )
NEW met3 ( 704160 739630 ) ( 724560 * )
NEW met2 ( 724560 734635 ) ( * 739630 )
NEW met1 ( 724560 734635 ) ( 871920 * )
NEW met2 ( 1009200 420690 ) ( * 431605 )
NEW met3 ( 1009200 420690 ) ( 1052640 * 0 )
NEW met1 ( 871920 431605 ) ( 1009200 * )
NEW met1 ( 871920 431605 ) M1M2_PR
NEW met1 ( 871920 734635 ) M1M2_PR
NEW met2 ( 724560 739630 ) via2_FR
NEW met1 ( 724560 734635 ) M1M2_PR
NEW met1 ( 1009200 431605 ) M1M2_PR
NEW met2 ( 1009200 420690 ) via2_FR ;
- mgmt_rdata\[5\] ( storage mgmt_rdata[5] ) ( soc mgmt_rdata[5] ) + USE SIGNAL
+ ROUTED met1 ( 730800 376105 ) ( 732720 * )
NEW met2 ( 730800 376105 ) ( * 417730 )
NEW met3 ( 704160 417730 ) ( 730800 * )
NEW met3 ( 704160 417730 ) ( * 418090 0 )
NEW met2 ( 732720 261405 ) ( * 376105 )
NEW met2 ( 1128720 261405 ) ( * 268250 0 )
NEW met1 ( 732720 261405 ) ( 1128720 * )
NEW met1 ( 732720 261405 ) M1M2_PR
NEW met1 ( 732720 376105 ) M1M2_PR
NEW met1 ( 730800 376105 ) M1M2_PR
NEW met2 ( 730800 417730 ) via2_FR
NEW met1 ( 1128720 261405 ) M1M2_PR ;
- mgmt_rdata\[60\] ( storage mgmt_rdata[60] ) ( soc mgmt_rdata[60] ) + USE SIGNAL
+ ROUTED met2 ( 722640 271210 ) ( 723600 * )
NEW met2 ( 723600 252525 ) ( * 271210 )
NEW met1 ( 722640 323195 ) ( 723600 * )
NEW met2 ( 722640 271210 ) ( * 323195 )
NEW met3 ( 704160 748510 0 ) ( 724080 * )
NEW met2 ( 723600 684130 ) ( 724080 * )
NEW met2 ( 723600 323195 ) ( * 684130 )
NEW met2 ( 724080 684130 ) ( * 748510 )
NEW met2 ( 1041840 248825 ) ( * 252525 )
NEW met1 ( 1041840 248825 ) ( 1130640 * )
NEW met2 ( 1130640 248825 ) ( * 268250 0 )
NEW met1 ( 723600 252525 ) ( 1041840 * )
NEW met1 ( 723600 252525 ) M1M2_PR
NEW met1 ( 722640 323195 ) M1M2_PR
NEW met1 ( 723600 323195 ) M1M2_PR
NEW met2 ( 724080 748510 ) via2_FR
NEW met1 ( 1041840 252525 ) M1M2_PR
NEW met1 ( 1041840 248825 ) M1M2_PR
NEW met1 ( 1130640 248825 ) M1M2_PR ;
- mgmt_rdata\[61\] ( storage mgmt_rdata[61] ) ( soc mgmt_rdata[61] ) + USE SIGNAL
+ ROUTED met2 ( 724560 749065 ) ( * 754430 )
NEW met3 ( 704160 754430 0 ) ( 724560 * )
NEW met1 ( 724560 749065 ) ( 885840 * )
NEW met2 ( 885840 431235 ) ( * 749065 )
NEW met2 ( 993840 423650 ) ( * 431235 )
NEW met3 ( 993840 423650 ) ( 1052640 * 0 )
NEW met1 ( 885840 431235 ) ( 993840 * )
NEW met1 ( 885840 431235 ) M1M2_PR
NEW met1 ( 724560 749065 ) M1M2_PR
NEW met2 ( 724560 754430 ) via2_FR
NEW met1 ( 885840 749065 ) M1M2_PR
NEW met1 ( 993840 431235 ) M1M2_PR
NEW met2 ( 993840 423650 ) via2_FR ;
- mgmt_rdata\[62\] ( storage mgmt_rdata[62] ) ( soc mgmt_rdata[62] ) + USE SIGNAL
+ ROUTED met1 ( 724080 323195 ) ( 727920 * )
NEW met2 ( 727920 252155 ) ( * 323195 )
NEW met3 ( 704160 758130 ) ( 722640 * )
NEW met3 ( 704160 758130 ) ( * 760720 0 )
NEW met1 ( 722640 730565 ) ( 724560 * )
NEW met2 ( 724560 685425 ) ( * 730565 )
NEW met1 ( 724560 685425 ) ( 725040 * )
NEW met1 ( 725040 684315 ) ( * 685425 )
NEW met1 ( 724080 684315 ) ( 725040 * )
NEW met1 ( 724080 683575 ) ( * 684315 )
NEW met2 ( 722640 730565 ) ( * 758130 )
NEW met2 ( 724080 323195 ) ( * 683575 )
NEW met2 ( 1057680 248085 ) ( * 252155 )
NEW met1 ( 1057680 248085 ) ( 1132560 * )
NEW met2 ( 1132560 248085 ) ( * 268250 0 )
NEW met1 ( 727920 252155 ) ( 1057680 * )
NEW met1 ( 727920 252155 ) M1M2_PR
NEW met1 ( 724080 323195 ) M1M2_PR
NEW met1 ( 727920 323195 ) M1M2_PR
NEW met2 ( 722640 758130 ) via2_FR
NEW met1 ( 722640 730565 ) M1M2_PR
NEW met1 ( 724560 730565 ) M1M2_PR
NEW met1 ( 724560 685425 ) M1M2_PR
NEW met1 ( 724080 683575 ) M1M2_PR
NEW met1 ( 1057680 252155 ) M1M2_PR
NEW met1 ( 1057680 248085 ) M1M2_PR
NEW met1 ( 1132560 248085 ) M1M2_PR ;
- mgmt_rdata\[63\] ( storage mgmt_rdata[63] ) ( soc mgmt_rdata[63] ) + USE SIGNAL
+ ROUTED met3 ( 704160 764790 ) ( * 766250 0 )
NEW met3 ( 704160 764790 ) ( 724560 * )
NEW met2 ( 724560 763865 ) ( * 764790 )
NEW met1 ( 724560 763865 ) ( 900240 * )
NEW met2 ( 900240 430865 ) ( * 763865 )
NEW met2 ( 1008720 425870 ) ( * 430865 )
NEW met3 ( 1008720 425870 ) ( 1052640 * 0 )
NEW met1 ( 900240 430865 ) ( 1008720 * )
NEW met1 ( 900240 430865 ) M1M2_PR
NEW met2 ( 724560 764790 ) via2_FR
NEW met1 ( 724560 763865 ) M1M2_PR
NEW met1 ( 900240 763865 ) M1M2_PR
NEW met1 ( 1008720 430865 ) M1M2_PR
NEW met2 ( 1008720 425870 ) via2_FR ;
- mgmt_rdata\[6\] ( storage mgmt_rdata[6] ) ( soc mgmt_rdata[6] ) + USE SIGNAL
+ ROUTED met3 ( 704160 420690 ) ( 725520 * )
NEW met3 ( 704160 420690 ) ( * 423280 0 )
NEW met2 ( 725520 259925 ) ( * 420690 )
NEW met2 ( 1133040 261775 ) ( * 268250 )
NEW met2 ( 1133040 268250 ) ( 1134240 * 0 )
NEW met2 ( 1015440 259925 ) ( * 261775 )
NEW met1 ( 725520 259925 ) ( 1015440 * )
NEW met1 ( 1015440 261775 ) ( 1133040 * )
NEW met1 ( 725520 259925 ) M1M2_PR
NEW met2 ( 725520 420690 ) via2_FR
NEW met1 ( 1133040 261775 ) M1M2_PR
NEW met1 ( 1015440 259925 ) M1M2_PR
NEW met1 ( 1015440 261775 ) M1M2_PR ;
- mgmt_rdata\[7\] ( storage mgmt_rdata[7] ) ( soc mgmt_rdata[7] ) + USE SIGNAL
+ ROUTED met2 ( 732720 424945 ) ( * 429570 )
NEW met3 ( 704160 429570 0 ) ( 732720 * )
NEW met2 ( 989040 424945 ) ( * 428830 )
NEW met3 ( 989040 428830 ) ( 1052640 * 0 )
NEW met1 ( 732720 424945 ) ( 989040 * )
NEW met1 ( 732720 424945 ) M1M2_PR
NEW met2 ( 732720 429570 ) via2_FR
NEW met1 ( 989040 424945 ) M1M2_PR
NEW met2 ( 989040 428830 ) via2_FR ;
- mgmt_rdata\[8\] ( storage mgmt_rdata[8] ) ( soc mgmt_rdata[8] ) + USE SIGNAL
+ ROUTED met1 ( 720720 374995 ) ( 731760 * )
NEW met2 ( 720720 374995 ) ( * 435490 )
NEW met3 ( 704160 435490 0 ) ( 720720 * )
NEW met2 ( 731760 260665 ) ( * 374995 )
NEW met2 ( 1134960 260665 ) ( * 268250 )
NEW met2 ( 1134960 268250 ) ( 1136160 * 0 )
NEW met1 ( 731760 260665 ) ( 1134960 * )
NEW met1 ( 731760 260665 ) M1M2_PR
NEW met1 ( 731760 374995 ) M1M2_PR
NEW met1 ( 720720 374995 ) M1M2_PR
NEW met2 ( 720720 435490 ) via2_FR
NEW met1 ( 1134960 260665 ) M1M2_PR ;
- mgmt_rdata\[9\] ( storage mgmt_rdata[9] ) ( soc mgmt_rdata[9] ) + USE SIGNAL
+ ROUTED met1 ( 721200 375365 ) ( 730800 * )
NEW met2 ( 721200 375365 ) ( * 439190 )
NEW met3 ( 704160 439190 ) ( 721200 * )
NEW met3 ( 704160 439190 ) ( * 441780 0 )
NEW met2 ( 730800 259555 ) ( * 375365 )
NEW met2 ( 1137840 259555 ) ( * 268250 0 )
NEW met1 ( 1066800 259555 ) ( * 259925 )
NEW met1 ( 1066800 259925 ) ( 1067760 * )
NEW met1 ( 1067760 259555 ) ( * 259925 )
NEW met1 ( 1067760 259555 ) ( 1137840 * )
NEW met1 ( 730800 259555 ) ( 1066800 * )
NEW met1 ( 730800 259555 ) M1M2_PR
NEW met1 ( 730800 375365 ) M1M2_PR
NEW met1 ( 721200 375365 ) M1M2_PR
NEW met2 ( 721200 439190 ) via2_FR
NEW met1 ( 1137840 259555 ) M1M2_PR ;
- mgmt_rdata_ro\[0\] ( storage mgmt_rdata_ro[0] ) ( soc mgmt_rdata_ro[0] ) + USE SIGNAL
+ ROUTED met2 ( 724080 763495 ) ( * 772190 )
NEW met3 ( 704160 772190 0 ) ( 724080 * )
NEW met1 ( 724080 763495 ) ( 914640 * )
NEW met2 ( 914640 430495 ) ( * 763495 )
NEW met2 ( 1008240 430495 ) ( * 431790 )
NEW met3 ( 1008240 431790 ) ( 1052640 * 0 )
NEW met1 ( 914640 430495 ) ( 1008240 * )
NEW met1 ( 914640 430495 ) M1M2_PR
NEW met1 ( 724080 763495 ) M1M2_PR
NEW met2 ( 724080 772190 ) via2_FR
NEW met1 ( 914640 763495 ) M1M2_PR
NEW met1 ( 1008240 430495 ) M1M2_PR
NEW met2 ( 1008240 431790 ) via2_FR ;
- mgmt_rdata_ro\[10\] ( storage mgmt_rdata_ro[10] ) ( soc mgmt_rdata_ro[10] ) + USE SIGNAL
+ ROUTED met1 ( 724080 820845 ) ( 744720 * )
NEW met2 ( 724080 820845 ) ( * 832870 )
NEW met3 ( 704160 832870 0 ) ( 724080 * )
NEW met2 ( 744720 232915 ) ( * 820845 )
NEW met2 ( 1139760 232915 ) ( * 268250 0 )
NEW met1 ( 744720 232915 ) ( 1139760 * )
NEW met1 ( 744720 232915 ) M1M2_PR
NEW met1 ( 744720 820845 ) M1M2_PR
NEW met1 ( 724080 820845 ) M1M2_PR
NEW met2 ( 724080 832870 ) via2_FR
NEW met1 ( 1139760 232915 ) M1M2_PR ;
- mgmt_rdata_ro\[11\] ( storage mgmt_rdata_ro[11] ) ( soc mgmt_rdata_ro[11] ) + USE SIGNAL
+ ROUTED met2 ( 785040 446035 ) ( * 835645 )
NEW met2 ( 734160 835645 ) ( * 838050 )
NEW met3 ( 704160 838050 0 ) ( 734160 * )
NEW met1 ( 734160 835645 ) ( 785040 * )
NEW met2 ( 989520 434010 ) ( * 446035 )
NEW met3 ( 989520 434010 ) ( 1052640 * 0 )
NEW met1 ( 785040 446035 ) ( 989520 * )
NEW met1 ( 785040 446035 ) M1M2_PR
NEW met1 ( 785040 835645 ) M1M2_PR
NEW met1 ( 734160 835645 ) M1M2_PR
NEW met2 ( 734160 838050 ) via2_FR
NEW met1 ( 989520 446035 ) M1M2_PR
NEW met2 ( 989520 434010 ) via2_FR ;
- mgmt_rdata_ro\[12\] ( storage mgmt_rdata_ro[12] ) ( soc mgmt_rdata_ro[12] ) + USE SIGNAL
+ ROUTED met1 ( 724080 835275 ) ( 744240 * )
NEW met2 ( 724080 835275 ) ( * 841750 )
NEW met3 ( 704160 841750 ) ( 724080 * )
NEW met3 ( 704160 841750 ) ( * 844340 0 )
NEW met2 ( 744240 233655 ) ( * 835275 )
NEW met2 ( 1141680 233655 ) ( * 268250 0 )
NEW met1 ( 744240 233655 ) ( 1141680 * )
NEW met1 ( 744240 233655 ) M1M2_PR
NEW met1 ( 744240 835275 ) M1M2_PR
NEW met1 ( 724080 835275 ) M1M2_PR
NEW met2 ( 724080 841750 ) via2_FR
NEW met1 ( 1141680 233655 ) M1M2_PR ;
- mgmt_rdata_ro\[13\] ( storage mgmt_rdata_ro[13] ) ( soc mgmt_rdata_ro[13] ) + USE SIGNAL
+ ROUTED met2 ( 733680 814370 ) ( 734160 * )
NEW met2 ( 733680 814370 ) ( * 850630 )
NEW met3 ( 704160 850630 0 ) ( 733680 * )
NEW met2 ( 733680 323010 ) ( 734640 * )
NEW met2 ( 734640 323010 ) ( * 324490 )
NEW met2 ( 734160 324490 ) ( 734640 * )
NEW met2 ( 734160 324490 ) ( * 328190 )
NEW met2 ( 733680 328190 ) ( 734160 * )
NEW met2 ( 733680 328190 ) ( * 332630 )
NEW met2 ( 733680 332630 ) ( 734160 * )
NEW met2 ( 733680 253635 ) ( * 323010 )
NEW met2 ( 1143600 253635 ) ( * 268250 0 )
NEW met2 ( 734160 332630 ) ( * 814370 )
NEW met1 ( 733680 253635 ) ( 1143600 * )
NEW met1 ( 733680 253635 ) M1M2_PR
NEW met2 ( 733680 850630 ) via2_FR
NEW met1 ( 1143600 253635 ) M1M2_PR ;
- mgmt_rdata_ro\[14\] ( storage mgmt_rdata_ro[14] ) ( soc mgmt_rdata_ro[14] ) + USE SIGNAL
+ ROUTED met2 ( 799440 445665 ) ( * 849705 )
NEW met2 ( 734160 849705 ) ( * 856550 )
NEW met3 ( 704160 856550 0 ) ( 734160 * )
NEW met1 ( 734160 849705 ) ( 799440 * )
NEW met2 ( 1009200 436970 ) ( * 445665 )
NEW met3 ( 1009200 436970 ) ( 1052640 * 0 )
NEW met1 ( 799440 445665 ) ( 1009200 * )
NEW met1 ( 799440 445665 ) M1M2_PR
NEW met1 ( 799440 849705 ) M1M2_PR
NEW met1 ( 734160 849705 ) M1M2_PR
NEW met2 ( 734160 856550 ) via2_FR
NEW met1 ( 1009200 445665 ) M1M2_PR
NEW met2 ( 1009200 436970 ) via2_FR ;
- mgmt_rdata_ro\[15\] ( storage mgmt_rdata_ro[15] ) ( soc mgmt_rdata_ro[15] ) + USE SIGNAL
+ ROUTED met3 ( 704160 860250 ) ( 726480 * )
NEW met3 ( 704160 860250 ) ( * 862810 0 )
NEW met2 ( 1144080 254005 ) ( * 268250 )
NEW met2 ( 1144080 268250 ) ( 1145280 * 0 )
NEW met2 ( 726480 254005 ) ( * 860250 )
NEW met1 ( 726480 254005 ) ( 1144080 * )
NEW met1 ( 726480 254005 ) M1M2_PR
NEW met2 ( 726480 860250 ) via2_FR
NEW met1 ( 1144080 254005 ) M1M2_PR ;
- mgmt_rdata_ro\[16\] ( storage mgmt_rdata_ro[16] ) ( soc mgmt_rdata_ro[16] ) + USE SIGNAL
+ ROUTED met2 ( 813840 445295 ) ( * 864135 )
NEW met3 ( 704160 866910 ) ( * 868760 0 )
NEW met3 ( 704160 866910 ) ( 724080 * )
NEW met2 ( 724080 864135 ) ( * 866910 )
NEW met1 ( 724080 864135 ) ( 813840 * )
NEW met2 ( 1008240 439930 ) ( * 445295 )
NEW met3 ( 1008240 439930 ) ( 1052640 * 0 )
NEW met1 ( 813840 445295 ) ( 1008240 * )
NEW met1 ( 813840 445295 ) M1M2_PR
NEW met1 ( 813840 864135 ) M1M2_PR
NEW met2 ( 724080 866910 ) via2_FR
NEW met1 ( 724080 864135 ) M1M2_PR
NEW met1 ( 1008240 445295 ) M1M2_PR
NEW met2 ( 1008240 439930 ) via2_FR ;
- mgmt_rdata_ro\[17\] ( storage mgmt_rdata_ro[17] ) ( soc mgmt_rdata_ro[17] ) + USE SIGNAL
+ ROUTED met1 ( 725040 813815 ) ( 733680 * )
NEW met2 ( 725040 813815 ) ( * 872090 )
NEW met3 ( 704160 872090 ) ( 725040 * )
NEW met3 ( 704160 872090 ) ( * 874910 0 )
NEW met1 ( 721200 322085 ) ( 729840 * )
NEW met2 ( 721200 322085 ) ( * 370185 )
NEW met1 ( 721200 370185 ) ( 733680 * )
NEW met2 ( 729840 253265 ) ( * 322085 )
NEW met2 ( 1146000 253265 ) ( * 268250 )
NEW met2 ( 1146000 268250 ) ( 1147200 * 0 )
NEW met2 ( 733680 370185 ) ( * 813815 )
NEW met1 ( 729840 253265 ) ( 1146000 * )
NEW met1 ( 729840 253265 ) M1M2_PR
NEW met1 ( 733680 813815 ) M1M2_PR
NEW met1 ( 725040 813815 ) M1M2_PR
NEW met2 ( 725040 872090 ) via2_FR
NEW met1 ( 729840 322085 ) M1M2_PR
NEW met1 ( 721200 322085 ) M1M2_PR
NEW met1 ( 721200 370185 ) M1M2_PR
NEW met1 ( 733680 370185 ) M1M2_PR
NEW met1 ( 1146000 253265 ) M1M2_PR ;
- mgmt_rdata_ro\[18\] ( storage mgmt_rdata_ro[18] ) ( soc mgmt_rdata_ro[18] ) + USE SIGNAL
+ ROUTED met1 ( 734160 879305 ) ( 743760 * )
NEW met2 ( 734160 879305 ) ( * 880230 )
NEW met3 ( 704160 880230 0 ) ( 734160 * )
NEW met2 ( 743760 234395 ) ( * 879305 )
NEW met2 ( 1148880 234395 ) ( * 268250 0 )
NEW met1 ( 743760 234395 ) ( 1148880 * )
NEW met1 ( 743760 234395 ) M1M2_PR
NEW met1 ( 743760 879305 ) M1M2_PR
NEW met1 ( 734160 879305 ) M1M2_PR
NEW met2 ( 734160 880230 ) via2_FR
NEW met1 ( 1148880 234395 ) M1M2_PR ;
- mgmt_rdata_ro\[19\] ( storage mgmt_rdata_ro[19] ) ( soc mgmt_rdata_ro[19] ) + USE SIGNAL
+ ROUTED met3 ( 704160 883930 ) ( * 886520 0 )
NEW met3 ( 704160 883930 ) ( 720720 * )
NEW met2 ( 720720 878565 ) ( * 883930 )
NEW met1 ( 720720 878565 ) ( 929040 * )
NEW met2 ( 929040 444925 ) ( * 878565 )
NEW met2 ( 1006800 442150 ) ( * 444925 )
NEW met3 ( 1006800 442150 ) ( 1052640 * 0 )
NEW met1 ( 929040 444925 ) ( 1006800 * )
NEW met1 ( 929040 444925 ) M1M2_PR
NEW met2 ( 720720 883930 ) via2_FR
NEW met1 ( 720720 878565 ) M1M2_PR
NEW met1 ( 929040 878565 ) M1M2_PR
NEW met1 ( 1006800 444925 ) M1M2_PR
NEW met2 ( 1006800 442150 ) via2_FR ;
- mgmt_rdata_ro\[1\] ( storage mgmt_rdata_ro[1] ) ( soc mgmt_rdata_ro[1] ) + USE SIGNAL
+ ROUTED met3 ( 704160 778110 ) ( 721680 * )
NEW met3 ( 704160 778110 ) ( * 778480 0 )
NEW met2 ( 1150800 254375 ) ( * 268250 0 )
NEW met1 ( 721680 722425 ) ( 730800 * )
NEW met2 ( 730800 646945 ) ( * 722425 )
NEW met1 ( 724560 646945 ) ( 730800 * )
NEW met2 ( 721680 722425 ) ( * 778110 )
NEW met2 ( 724560 254375 ) ( * 646945 )
NEW met1 ( 724560 254375 ) ( 1150800 * )
NEW met1 ( 724560 254375 ) M1M2_PR
NEW met2 ( 721680 778110 ) via2_FR
NEW met1 ( 1150800 254375 ) M1M2_PR
NEW met1 ( 721680 722425 ) M1M2_PR
NEW met1 ( 730800 722425 ) M1M2_PR
NEW met1 ( 730800 646945 ) M1M2_PR
NEW met1 ( 724560 646945 ) M1M2_PR ;
- mgmt_rdata_ro\[20\] ( storage mgmt_rdata_ro[20] ) ( soc mgmt_rdata_ro[20] ) + USE SIGNAL
+ ROUTED met2 ( 734160 892810 ) ( * 892995 )
NEW met3 ( 704160 892810 0 ) ( 734160 * )
NEW met1 ( 734160 892995 ) ( 957840 * )
NEW met2 ( 957840 444555 ) ( * 892995 )
NEW met2 ( 1008720 444555 ) ( * 445110 )
NEW met3 ( 1008720 445110 ) ( 1052640 * 0 )
NEW met1 ( 957840 444555 ) ( 1008720 * )
NEW met1 ( 734160 892995 ) M1M2_PR
NEW met2 ( 734160 892810 ) via2_FR
NEW met1 ( 957840 444555 ) M1M2_PR
NEW met1 ( 957840 892995 ) M1M2_PR
NEW met1 ( 1008720 444555 ) M1M2_PR
NEW met2 ( 1008720 445110 ) via2_FR ;
- mgmt_rdata_ro\[21\] ( storage mgmt_rdata_ro[21] ) ( soc mgmt_rdata_ro[21] ) + USE SIGNAL
+ ROUTED met1 ( 726480 893365 ) ( 743280 * )
NEW met2 ( 726480 893365 ) ( * 898730 )
NEW met3 ( 704160 898730 0 ) ( 726480 * )
NEW met2 ( 743280 234025 ) ( * 893365 )
NEW met2 ( 1152720 234025 ) ( * 268250 0 )
NEW met1 ( 743280 234025 ) ( 1152720 * )
NEW met1 ( 743280 234025 ) M1M2_PR
NEW met1 ( 743280 893365 ) M1M2_PR
NEW met1 ( 726480 893365 ) M1M2_PR
NEW met2 ( 726480 898730 ) via2_FR
NEW met1 ( 1152720 234025 ) M1M2_PR ;
- mgmt_rdata_ro\[22\] ( storage mgmt_rdata_ro[22] ) ( soc mgmt_rdata_ro[22] ) + USE SIGNAL
+ ROUTED met3 ( 704160 902430 ) ( 733200 * )
NEW met3 ( 704160 902430 ) ( * 904970 0 )
NEW met2 ( 1154640 254745 ) ( * 268250 0 )
NEW met2 ( 733200 254745 ) ( * 902430 )
NEW met1 ( 733200 254745 ) ( 1154640 * )
NEW met1 ( 733200 254745 ) M1M2_PR
NEW met2 ( 733200 902430 ) via2_FR
NEW met1 ( 1154640 254745 ) M1M2_PR ;
- mgmt_rdata_ro\[23\] ( storage mgmt_rdata_ro[23] ) ( soc mgmt_rdata_ro[23] ) + USE SIGNAL
+ ROUTED met3 ( 704160 909090 ) ( * 910940 0 )
NEW met3 ( 704160 909090 ) ( 720240 * )
NEW met2 ( 720240 907425 ) ( * 909090 )
NEW met1 ( 720240 907425 ) ( 943440 * )
NEW met2 ( 943440 458985 ) ( * 907425 )
NEW met2 ( 1008720 448070 ) ( * 458985 )
NEW met3 ( 1008720 448070 ) ( 1052640 * 0 )
NEW met1 ( 943440 458985 ) ( 1008720 * )
NEW met2 ( 720240 909090 ) via2_FR
NEW met1 ( 720240 907425 ) M1M2_PR
NEW met1 ( 943440 458985 ) M1M2_PR
NEW met1 ( 943440 907425 ) M1M2_PR
NEW met1 ( 1008720 458985 ) M1M2_PR
NEW met2 ( 1008720 448070 ) via2_FR ;
- mgmt_rdata_ro\[24\] ( storage mgmt_rdata_ro[24] ) ( soc mgmt_rdata_ro[24] ) + USE SIGNAL
+ ROUTED met1 ( 722640 907795 ) ( 742800 * )
NEW met2 ( 722640 907795 ) ( * 916490 )
NEW met3 ( 704160 916490 0 ) ( 722640 * )
NEW met2 ( 742800 235875 ) ( * 907795 )
NEW met2 ( 1155120 235875 ) ( * 268250 )
NEW met2 ( 1155120 268250 ) ( 1156320 * 0 )
NEW met1 ( 742800 235875 ) ( 1155120 * )
NEW met1 ( 742800 235875 ) M1M2_PR
NEW met1 ( 742800 907795 ) M1M2_PR
NEW met1 ( 722640 907795 ) M1M2_PR
NEW met2 ( 722640 916490 ) via2_FR
NEW met1 ( 1155120 235875 ) M1M2_PR ;
- mgmt_rdata_ro\[25\] ( storage mgmt_rdata_ro[25] ) ( soc mgmt_rdata_ro[25] ) + USE SIGNAL
+ ROUTED met2 ( 734160 921855 ) ( * 922410 )
NEW met3 ( 704160 922410 0 ) ( 734160 * )
NEW met3 ( 972240 450290 ) ( 1052640 * 0 )
NEW met1 ( 734160 921855 ) ( 972240 * )
NEW met2 ( 972240 450290 ) ( * 921855 )
NEW met1 ( 734160 921855 ) M1M2_PR
NEW met2 ( 734160 922410 ) via2_FR
NEW met2 ( 972240 450290 ) via2_FR
NEW met1 ( 972240 921855 ) M1M2_PR ;
- mgmt_rdata_ro\[26\] ( storage mgmt_rdata_ro[26] ) ( soc mgmt_rdata_ro[26] ) + USE SIGNAL
+ ROUTED met3 ( 704160 926110 ) ( 731280 * )
NEW met3 ( 704160 926110 ) ( * 928700 0 )
NEW met2 ( 1157040 255485 ) ( * 268250 )
NEW met2 ( 1157040 268250 ) ( 1158240 * 0 )
NEW met2 ( 731280 255485 ) ( * 926110 )
NEW met1 ( 731280 255485 ) ( 1157040 * )
NEW met1 ( 731280 255485 ) M1M2_PR
NEW met2 ( 731280 926110 ) via2_FR
NEW met1 ( 1157040 255485 ) M1M2_PR ;
- mgmt_rdata_ro\[27\] ( storage mgmt_rdata_ro[27] ) ( soc mgmt_rdata_ro[27] ) + USE SIGNAL
+ ROUTED met3 ( 704160 932030 ) ( 732240 * )
NEW met3 ( 704160 932030 ) ( * 934620 0 )
NEW met2 ( 1159920 255115 ) ( * 268250 0 )
NEW met2 ( 732240 255115 ) ( * 932030 )
NEW met1 ( 732240 255115 ) ( 1159920 * )
NEW met1 ( 732240 255115 ) M1M2_PR
NEW met2 ( 732240 932030 ) via2_FR
NEW met1 ( 1159920 255115 ) M1M2_PR ;
- mgmt_rdata_ro\[28\] ( storage mgmt_rdata_ro[28] ) ( soc mgmt_rdata_ro[28] ) + USE SIGNAL
+ ROUTED met2 ( 734160 936285 ) ( * 940910 )
NEW met3 ( 704160 940910 0 ) ( 734160 * )
NEW met3 ( 986640 453250 ) ( 1052640 * 0 )
NEW met1 ( 734160 936285 ) ( 986640 * )
NEW met2 ( 986640 453250 ) ( * 936285 )
NEW met1 ( 734160 936285 ) M1M2_PR
NEW met2 ( 734160 940910 ) via2_FR
NEW met2 ( 986640 453250 ) via2_FR
NEW met1 ( 986640 936285 ) M1M2_PR ;
- mgmt_rdata_ro\[29\] ( storage mgmt_rdata_ro[29] ) ( soc mgmt_rdata_ro[29] ) + USE SIGNAL
+ ROUTED met3 ( 704160 946830 0 ) ( 730320 * )
NEW met2 ( 1161840 255855 ) ( * 268250 0 )
NEW met2 ( 730320 255855 ) ( * 946830 )
NEW met1 ( 730320 255855 ) ( 1161840 * )
NEW met1 ( 730320 255855 ) M1M2_PR
NEW met2 ( 730320 946830 ) via2_FR
NEW met1 ( 1161840 255855 ) M1M2_PR ;
- mgmt_rdata_ro\[2\] ( storage mgmt_rdata_ro[2] ) ( soc mgmt_rdata_ro[2] ) + USE SIGNAL
+ ROUTED met3 ( 704160 781810 ) ( * 784400 0 )
NEW met3 ( 704160 781810 ) ( 724560 * )
NEW met2 ( 724560 777925 ) ( * 781810 )
NEW met1 ( 724560 777925 ) ( 1001040 * )
NEW met3 ( 1001040 456210 ) ( 1052640 * 0 )
NEW met2 ( 1001040 456210 ) ( * 777925 )
NEW met2 ( 724560 781810 ) via2_FR
NEW met1 ( 724560 777925 ) M1M2_PR
NEW met1 ( 1001040 777925 ) M1M2_PR
NEW met2 ( 1001040 456210 ) via2_FR ;
- mgmt_rdata_ro\[30\] ( storage mgmt_rdata_ro[30] ) ( soc mgmt_rdata_ro[30] ) + USE SIGNAL
+ ROUTED met2 ( 729360 323750 ) ( 729840 * )
NEW met2 ( 729360 250675 ) ( * 323750 )
NEW met3 ( 704160 950530 ) ( 729840 * )
NEW met3 ( 704160 950530 ) ( * 952380 0 )
NEW met2 ( 1163760 250675 ) ( * 268250 0 )
NEW met2 ( 729840 323750 ) ( * 950530 )
NEW met1 ( 729360 250675 ) ( 1163760 * )
NEW met1 ( 729360 250675 ) M1M2_PR
NEW met2 ( 729840 950530 ) via2_FR
NEW met1 ( 1163760 250675 ) M1M2_PR ;
- mgmt_rdata_ro\[31\] ( storage mgmt_rdata_ro[31] ) ( soc mgmt_rdata_ro[31] ) + USE SIGNAL
+ ROUTED met1 ( 734160 950715 ) ( 742320 * )
NEW met2 ( 734160 950715 ) ( * 958670 )
NEW met3 ( 704160 958670 0 ) ( 734160 * )
NEW met2 ( 742320 232545 ) ( * 950715 )
NEW met2 ( 1165680 232545 ) ( * 268250 0 )
NEW met1 ( 742320 232545 ) ( 1165680 * )
NEW met1 ( 742320 232545 ) M1M2_PR
NEW met1 ( 742320 950715 ) M1M2_PR
NEW met1 ( 734160 950715 ) M1M2_PR
NEW met2 ( 734160 958670 ) via2_FR
NEW met1 ( 1165680 232545 ) M1M2_PR ;
- mgmt_rdata_ro\[3\] ( storage mgmt_rdata_ro[3] ) ( soc mgmt_rdata_ro[3] ) + USE SIGNAL
+ ROUTED met3 ( 704160 790690 0 ) ( 720720 * )
NEW met1 ( 720720 633995 ) ( * 635105 )
NEW met2 ( 720720 460465 ) ( * 633995 )
NEW met2 ( 720720 635105 ) ( * 790690 )
NEW met2 ( 1050960 458800 ) ( * 460465 )
NEW met3 ( 1050960 458800 ) ( 1052640 * 0 )
NEW met1 ( 720720 460465 ) ( 1050960 * )
NEW met1 ( 720720 460465 ) M1M2_PR
NEW met2 ( 720720 790690 ) via2_FR
NEW met1 ( 720720 633995 ) M1M2_PR
NEW met1 ( 720720 635105 ) M1M2_PR
NEW met1 ( 1050960 460465 ) M1M2_PR
NEW met2 ( 1050960 458800 ) via2_FR ;
- mgmt_rdata_ro\[4\] ( storage mgmt_rdata_ro[4] ) ( soc mgmt_rdata_ro[4] ) + USE SIGNAL
+ ROUTED met1 ( 724560 792355 ) ( 745680 * )
NEW met2 ( 724560 792355 ) ( * 796610 )
NEW met3 ( 704160 796610 0 ) ( 724560 * )
NEW met2 ( 745680 235135 ) ( * 792355 )
NEW met2 ( 1166640 235135 ) ( * 268250 )
NEW met2 ( 1166640 268250 ) ( 1167360 * 0 )
NEW met1 ( 745680 235135 ) ( 1166640 * )
NEW met1 ( 745680 235135 ) M1M2_PR
NEW met1 ( 745680 792355 ) M1M2_PR
NEW met1 ( 724560 792355 ) M1M2_PR
NEW met2 ( 724560 796610 ) via2_FR
NEW met1 ( 1166640 235135 ) M1M2_PR ;
- mgmt_rdata_ro\[5\] ( storage mgmt_rdata_ro[5] ) ( soc mgmt_rdata_ro[5] ) + USE SIGNAL
+ ROUTED met3 ( 704160 799570 ) ( 720240 * )
NEW met3 ( 704160 799570 ) ( * 802160 0 )
NEW met1 ( 720240 633995 ) ( * 635105 )
NEW met2 ( 720240 473045 ) ( * 633995 )
NEW met2 ( 720240 635105 ) ( * 799570 )
NEW met2 ( 974160 461390 ) ( * 473045 )
NEW met3 ( 974160 461390 ) ( 1052640 * 0 )
NEW met1 ( 720240 473045 ) ( 974160 * )
NEW met1 ( 720240 473045 ) M1M2_PR
NEW met2 ( 720240 799570 ) via2_FR
NEW met1 ( 720240 633995 ) M1M2_PR
NEW met1 ( 720240 635105 ) M1M2_PR
NEW met1 ( 974160 473045 ) M1M2_PR
NEW met2 ( 974160 461390 ) via2_FR ;
- mgmt_rdata_ro\[6\] ( storage mgmt_rdata_ro[6] ) ( soc mgmt_rdata_ro[6] ) + USE SIGNAL
+ ROUTED met3 ( 704160 806970 ) ( 725040 * )
NEW met3 ( 704160 806970 ) ( * 808410 0 )
NEW met2 ( 1168080 257335 ) ( * 268250 )
NEW met2 ( 1168080 268250 ) ( 1169280 * 0 )
NEW met2 ( 725040 257335 ) ( * 806970 )
NEW met1 ( 725040 257335 ) ( 1168080 * )
NEW met1 ( 725040 257335 ) M1M2_PR
NEW met2 ( 725040 806970 ) via2_FR
NEW met1 ( 1168080 257335 ) M1M2_PR ;
- mgmt_rdata_ro\[7\] ( storage mgmt_rdata_ro[7] ) ( soc mgmt_rdata_ro[7] ) + USE SIGNAL
+ ROUTED met1 ( 724560 806415 ) ( 745200 * )
NEW met2 ( 724560 806415 ) ( * 814370 )
NEW met3 ( 704160 814370 0 ) ( 724560 * )
NEW met2 ( 745200 234765 ) ( * 806415 )
NEW met2 ( 1170960 234765 ) ( * 268250 0 )
NEW met1 ( 745200 234765 ) ( 1170960 * )
NEW met1 ( 745200 234765 ) M1M2_PR
NEW met1 ( 745200 806415 ) M1M2_PR
NEW met1 ( 724560 806415 ) M1M2_PR
NEW met2 ( 724560 814370 ) via2_FR
NEW met1 ( 1170960 234765 ) M1M2_PR ;
- mgmt_rdata_ro\[8\] ( storage mgmt_rdata_ro[8] ) ( soc mgmt_rdata_ro[8] ) + USE SIGNAL
+ ROUTED met3 ( 704160 818070 ) ( 721200 * )
NEW met3 ( 704160 818070 ) ( * 820650 0 )
NEW met1 ( 721200 633995 ) ( * 635105 )
NEW met2 ( 721200 474525 ) ( * 633995 )
NEW met2 ( 721200 635105 ) ( * 818070 )
NEW met2 ( 1008240 464350 ) ( * 474525 )
NEW met3 ( 1008240 464350 ) ( 1052640 * 0 )
NEW met1 ( 721200 474525 ) ( 1008240 * )
NEW met1 ( 721200 474525 ) M1M2_PR
NEW met2 ( 721200 818070 ) via2_FR
NEW met1 ( 721200 633995 ) M1M2_PR
NEW met1 ( 721200 635105 ) M1M2_PR
NEW met1 ( 1008240 474525 ) M1M2_PR
NEW met2 ( 1008240 464350 ) via2_FR ;
- mgmt_rdata_ro\[9\] ( storage mgmt_rdata_ro[9] ) ( soc mgmt_rdata_ro[9] ) + USE SIGNAL
+ ROUTED met3 ( 704160 823990 ) ( 726000 * )
NEW met3 ( 704160 823990 ) ( * 826580 0 )
NEW met2 ( 1172880 256965 ) ( * 268250 0 )
NEW met2 ( 726000 256965 ) ( * 823990 )
NEW met1 ( 726000 256965 ) ( 1172880 * )
NEW met1 ( 726000 256965 ) M1M2_PR
NEW met2 ( 726000 823990 ) via2_FR
NEW met1 ( 1172880 256965 ) M1M2_PR ;
- mgmt_wdata\[0\] ( storage mgmt_wdata[0] ) ( soc mgmt_wdata[0] ) + USE SIGNAL
+ ROUTED met1 ( 733680 951085 ) ( 756240 * )
NEW met2 ( 733680 951085 ) ( * 964590 )
NEW met3 ( 704160 964590 0 ) ( 733680 * )
NEW met2 ( 756240 474155 ) ( * 951085 )
NEW met2 ( 977520 468790 ) ( * 474155 )
NEW met3 ( 977520 468790 ) ( 1052640 * )
NEW met3 ( 1052640 466940 0 ) ( * 468790 )
NEW met1 ( 756240 474155 ) ( 977520 * )
NEW met1 ( 756240 474155 ) M1M2_PR
NEW met1 ( 756240 951085 ) M1M2_PR
NEW met1 ( 733680 951085 ) M1M2_PR
NEW met2 ( 733680 964590 ) via2_FR
NEW met1 ( 977520 474155 ) M1M2_PR
NEW met2 ( 977520 468790 ) via2_FR ;
- mgmt_wdata\[10\] ( storage mgmt_wdata[10] ) ( soc mgmt_wdata[10] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1025270 0 ) ( 728880 * )
NEW met2 ( 1174800 251045 ) ( * 268250 0 )
NEW met2 ( 728880 251045 ) ( * 1025270 )
NEW met1 ( 728880 251045 ) ( 1174800 * )
NEW met1 ( 728880 251045 ) M1M2_PR
NEW met2 ( 728880 1025270 ) via2_FR
NEW met1 ( 1174800 251045 ) M1M2_PR ;
- mgmt_wdata\[11\] ( storage mgmt_wdata[11] ) ( soc mgmt_wdata[11] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1028230 ) ( 727920 * )
NEW met3 ( 704160 1028230 ) ( * 1030770 0 )
NEW met2 ( 727920 323750 ) ( 728400 * )
NEW met2 ( 728400 256225 ) ( * 323750 )
NEW met2 ( 1176720 256225 ) ( * 268250 0 )
NEW met2 ( 727920 323750 ) ( * 1028230 )
NEW met1 ( 728400 256225 ) ( 1176720 * )
NEW met1 ( 728400 256225 ) M1M2_PR
NEW met2 ( 727920 1028230 ) via2_FR
NEW met1 ( 1176720 256225 ) M1M2_PR ;
- mgmt_wdata\[12\] ( storage mgmt_wdata[12] ) ( soc mgmt_wdata[12] ) + USE SIGNAL
+ ROUTED met2 ( 734160 1036925 ) ( * 1037110 )
NEW met3 ( 704160 1037110 0 ) ( 734160 * )
NEW met1 ( 734160 1036925 ) ( 1015440 * )
NEW met3 ( 1015440 469530 ) ( 1052640 * 0 )
NEW met2 ( 1015440 469530 ) ( * 1036925 )
NEW met1 ( 734160 1036925 ) M1M2_PR
NEW met2 ( 734160 1037110 ) via2_FR
NEW met1 ( 1015440 1036925 ) M1M2_PR
NEW met2 ( 1015440 469530 ) via2_FR ;
- mgmt_wdata\[13\] ( storage mgmt_wdata[13] ) ( soc mgmt_wdata[13] ) + USE SIGNAL
+ ROUTED met2 ( 723600 271950 ) ( 724080 * )
NEW met2 ( 724080 251415 ) ( * 271950 )
NEW met3 ( 704160 1040070 ) ( 727440 * )
NEW met3 ( 704160 1040070 ) ( * 1043010 0 )
NEW met1 ( 723600 322455 ) ( 728400 * )
NEW met1 ( 728400 322455 ) ( * 324305 )
NEW met2 ( 728400 324305 ) ( * 346875 )
NEW met1 ( 727440 346875 ) ( 728400 * )
NEW met2 ( 723600 271950 ) ( * 322455 )
NEW met2 ( 1177200 251415 ) ( * 268250 )
NEW met2 ( 1177200 268250 ) ( 1178400 * 0 )
NEW met2 ( 727440 346875 ) ( * 1040070 )
NEW met1 ( 724080 251415 ) ( 1177200 * )
NEW met1 ( 724080 251415 ) M1M2_PR
NEW met2 ( 727440 1040070 ) via2_FR
NEW met1 ( 723600 322455 ) M1M2_PR
NEW met1 ( 728400 324305 ) M1M2_PR
NEW met1 ( 728400 346875 ) M1M2_PR
NEW met1 ( 727440 346875 ) M1M2_PR
NEW met1 ( 1177200 251415 ) M1M2_PR ;
- mgmt_wdata\[14\] ( storage mgmt_wdata[14] ) ( soc mgmt_wdata[14] ) + USE SIGNAL
+ ROUTED met2 ( 828240 473785 ) ( * 1037295 )
NEW met2 ( 733680 1037295 ) ( * 1048950 )
NEW met3 ( 704160 1048950 0 ) ( 733680 * )
NEW met1 ( 733680 1037295 ) ( 828240 * )
NEW met2 ( 1008720 472490 ) ( * 473785 )
NEW met3 ( 1008720 472490 ) ( 1052640 * 0 )
NEW met1 ( 828240 473785 ) ( 1008720 * )
NEW met1 ( 828240 473785 ) M1M2_PR
NEW met1 ( 828240 1037295 ) M1M2_PR
NEW met1 ( 733680 1037295 ) M1M2_PR
NEW met2 ( 733680 1048950 ) via2_FR
NEW met1 ( 1008720 473785 ) M1M2_PR
NEW met2 ( 1008720 472490 ) via2_FR ;
- mgmt_wdata\[15\] ( storage mgmt_wdata[15] ) ( soc mgmt_wdata[15] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1052650 ) ( 726960 * )
NEW met3 ( 704160 1052650 ) ( * 1055240 0 )
NEW met2 ( 1179120 256595 ) ( * 268250 )
NEW met2 ( 1179120 268250 ) ( 1180320 * 0 )
NEW met2 ( 726960 256595 ) ( * 1052650 )
NEW met1 ( 726960 256595 ) ( 1179120 * )
NEW met1 ( 726960 256595 ) M1M2_PR
NEW met2 ( 726960 1052650 ) via2_FR
NEW met1 ( 1179120 256595 ) M1M2_PR ;
- mgmt_wdata\[16\] ( storage mgmt_wdata[16] ) ( soc mgmt_wdata[16] ) + USE SIGNAL
+ ROUTED met1 ( 734160 1051355 ) ( 741360 * )
NEW met2 ( 734160 1051355 ) ( * 1058570 )
NEW met3 ( 704160 1058570 ) ( 734160 * )
NEW met3 ( 704160 1058570 ) ( * 1061160 0 )
NEW met2 ( 741360 235505 ) ( * 1051355 )
NEW met2 ( 1182000 235505 ) ( * 268250 0 )
NEW met1 ( 741360 235505 ) ( 1182000 * )
NEW met1 ( 741360 235505 ) M1M2_PR
NEW met1 ( 741360 1051355 ) M1M2_PR
NEW met1 ( 734160 1051355 ) M1M2_PR
NEW met2 ( 734160 1058570 ) via2_FR
NEW met1 ( 1182000 235505 ) M1M2_PR ;
- mgmt_wdata\[17\] ( storage mgmt_wdata[17] ) ( soc mgmt_wdata[17] ) + USE SIGNAL
+ ROUTED met2 ( 842640 473415 ) ( * 1066155 )
NEW met2 ( 734160 1066155 ) ( * 1066710 )
NEW met3 ( 704160 1066710 0 ) ( 734160 * )
NEW met1 ( 734160 1066155 ) ( 842640 * )
NEW met2 ( 1050960 473415 ) ( * 475080 )
NEW met3 ( 1050960 475080 ) ( 1052640 * 0 )
NEW met1 ( 842640 473415 ) ( 1050960 * )
NEW met1 ( 842640 473415 ) M1M2_PR
NEW met1 ( 842640 1066155 ) M1M2_PR
NEW met1 ( 734160 1066155 ) M1M2_PR
NEW met2 ( 734160 1066710 ) via2_FR
NEW met1 ( 1050960 473415 ) M1M2_PR
NEW met2 ( 1050960 475080 ) via2_FR ;
- mgmt_wdata\[18\] ( storage mgmt_wdata[18] ) ( soc mgmt_wdata[18] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1072630 0 ) ( 730080 * )
NEW met2 ( 1183920 254930 ) ( * 268250 0 )
NEW met4 ( 730080 254930 ) ( * 1072630 )
NEW met3 ( 730080 254930 ) ( 1183920 * )
NEW met3 ( 730080 254930 ) M3M4_PR_M
NEW met3 ( 730080 1072630 ) M3M4_PR_M
NEW met2 ( 1183920 254930 ) via2_FR ;
- mgmt_wdata\[19\] ( storage mgmt_wdata[19] ) ( soc mgmt_wdata[19] ) + USE SIGNAL
+ ROUTED met2 ( 857040 488585 ) ( * 1065785 )
NEW met3 ( 704160 1076330 ) ( * 1078920 0 )
NEW met3 ( 704160 1076330 ) ( 721200 * )
NEW met2 ( 721200 1065785 ) ( * 1076330 )
NEW met1 ( 721200 1065785 ) ( 857040 * )
NEW met2 ( 1008720 477670 ) ( * 488585 )
NEW met3 ( 1008720 477670 ) ( 1052640 * 0 )
NEW met1 ( 857040 488585 ) ( 1008720 * )
NEW met1 ( 857040 1065785 ) M1M2_PR
NEW met1 ( 857040 488585 ) M1M2_PR
NEW met2 ( 721200 1076330 ) via2_FR
NEW met1 ( 721200 1065785 ) M1M2_PR
NEW met1 ( 1008720 488585 ) M1M2_PR
NEW met2 ( 1008720 477670 ) via2_FR ;
- mgmt_wdata\[1\] ( storage mgmt_wdata[1] ) ( soc mgmt_wdata[1] ) + USE SIGNAL
+ ROUTED met1 ( 727440 346135 ) ( 729360 * )
NEW met2 ( 727440 248455 ) ( * 346135 )
NEW met3 ( 704160 968290 ) ( 729360 * )
NEW met3 ( 704160 968290 ) ( * 970880 0 )
NEW met2 ( 1185840 248455 ) ( * 268250 0 )
NEW met2 ( 729360 346135 ) ( * 968290 )
NEW met1 ( 727440 248455 ) ( 1185840 * )
NEW met1 ( 727440 248455 ) M1M2_PR
NEW met1 ( 727440 346135 ) M1M2_PR
NEW met1 ( 729360 346135 ) M1M2_PR
NEW met2 ( 729360 968290 ) via2_FR
NEW met1 ( 1185840 248455 ) M1M2_PR ;
- mgmt_wdata\[20\] ( storage mgmt_wdata[20] ) ( soc mgmt_wdata[20] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1082250 ) ( 729120 * )
NEW met3 ( 704160 1082250 ) ( * 1085170 0 )
NEW met2 ( 1187760 256410 ) ( * 268250 0 )
NEW met4 ( 729120 256410 ) ( * 1082250 )
NEW met3 ( 729120 256410 ) ( 1187760 * )
NEW met3 ( 729120 256410 ) M3M4_PR_M
NEW met3 ( 729120 1082250 ) M3M4_PR_M
NEW met2 ( 1187760 256410 ) via2_FR ;
- mgmt_wdata\[21\] ( storage mgmt_wdata[21] ) ( soc mgmt_wdata[21] ) + USE SIGNAL
+ ROUTED met1 ( 734160 1081695 ) ( 770160 * )
NEW met2 ( 734160 1081695 ) ( * 1091130 )
NEW met3 ( 704160 1091130 0 ) ( 734160 * )
NEW met2 ( 770160 489325 ) ( * 1081695 )
NEW met2 ( 1003920 480630 ) ( * 489325 )
NEW met3 ( 1003920 480630 ) ( 1052640 * 0 )
NEW met1 ( 770160 489325 ) ( 1003920 * )
NEW met1 ( 770160 489325 ) M1M2_PR
NEW met1 ( 770160 1081695 ) M1M2_PR
NEW met1 ( 734160 1081695 ) M1M2_PR
NEW met2 ( 734160 1091130 ) via2_FR
NEW met1 ( 1003920 489325 ) M1M2_PR
NEW met2 ( 1003920 480630 ) via2_FR ;
- mgmt_wdata\[22\] ( storage mgmt_wdata[22] ) ( soc mgmt_wdata[22] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1094830 ) ( 728160 * )
NEW met3 ( 704160 1094830 ) ( * 1097410 0 )
NEW met2 ( 1188240 255670 ) ( * 268250 )
NEW met2 ( 1188240 268250 ) ( 1189440 * 0 )
NEW met4 ( 728160 255670 ) ( * 1094830 )
NEW met3 ( 728160 255670 ) ( 1188240 * )
NEW met3 ( 728160 255670 ) M3M4_PR_M
NEW met3 ( 728160 1094830 ) M3M4_PR_M
NEW met2 ( 1188240 255670 ) via2_FR ;
- mgmt_wdata\[23\] ( storage mgmt_wdata[23] ) ( soc mgmt_wdata[23] ) + USE SIGNAL
+ ROUTED met2 ( 871440 488215 ) ( * 1094645 )
NEW met3 ( 704160 1100750 ) ( * 1103340 0 )
NEW met3 ( 704160 1100750 ) ( 722160 * )
NEW met2 ( 722160 1094645 ) ( * 1100750 )
NEW met1 ( 722160 1094645 ) ( 871440 * )
NEW met2 ( 1050960 483220 ) ( * 488215 )
NEW met3 ( 1050960 483220 ) ( 1052640 * 0 )
NEW met1 ( 871440 488215 ) ( 1050960 * )
NEW met1 ( 871440 488215 ) M1M2_PR
NEW met1 ( 871440 1094645 ) M1M2_PR
NEW met2 ( 722160 1100750 ) via2_FR
NEW met1 ( 722160 1094645 ) M1M2_PR
NEW met1 ( 1050960 488215 ) M1M2_PR
NEW met2 ( 1050960 483220 ) via2_FR ;
- mgmt_wdata\[24\] ( storage mgmt_wdata[24] ) ( soc mgmt_wdata[24] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1108890 0 ) ( 733680 * )
NEW met2 ( 733680 1108890 ) ( * 1109815 )
NEW met1 ( 733680 1109815 ) ( 885360 * )
NEW met2 ( 885360 236985 ) ( * 1109815 )
NEW met2 ( 1190160 236985 ) ( * 268250 )
NEW met2 ( 1190160 268250 ) ( 1191360 * 0 )
NEW met1 ( 885360 236985 ) ( 1190160 * )
NEW met1 ( 885360 236985 ) M1M2_PR
NEW met2 ( 733680 1108890 ) via2_FR
NEW met1 ( 733680 1109815 ) M1M2_PR
NEW met1 ( 885360 1109815 ) M1M2_PR
NEW met1 ( 1190160 236985 ) M1M2_PR ;
- mgmt_wdata\[25\] ( storage mgmt_wdata[25] ) ( soc mgmt_wdata[25] ) + USE SIGNAL
+ ROUTED met2 ( 734160 1109445 ) ( * 1114810 )
NEW met3 ( 704160 1114810 0 ) ( 734160 * )
NEW met1 ( 734160 1109445 ) ( 899760 * )
NEW met2 ( 899760 236615 ) ( * 1109445 )
NEW met2 ( 1193040 236615 ) ( * 268250 0 )
NEW met1 ( 899760 236615 ) ( 1193040 * )
NEW met1 ( 899760 236615 ) M1M2_PR
NEW met1 ( 734160 1109445 ) M1M2_PR
NEW met2 ( 734160 1114810 ) via2_FR
NEW met1 ( 899760 1109445 ) M1M2_PR
NEW met1 ( 1193040 236615 ) M1M2_PR ;
- mgmt_wdata\[26\] ( storage mgmt_wdata[26] ) ( soc mgmt_wdata[26] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1118510 ) ( * 1121100 0 )
NEW met3 ( 704160 1118510 ) ( 722160 * )
NEW met2 ( 722160 1109075 ) ( * 1118510 )
NEW met1 ( 722160 1109075 ) ( 914160 * )
NEW met2 ( 914160 487845 ) ( * 1109075 )
NEW met2 ( 1005360 485810 ) ( * 487845 )
NEW met3 ( 1005360 485810 ) ( 1052640 * 0 )
NEW met1 ( 914160 487845 ) ( 1005360 * )
NEW met2 ( 722160 1118510 ) via2_FR
NEW met1 ( 722160 1109075 ) M1M2_PR
NEW met1 ( 914160 487845 ) M1M2_PR
NEW met1 ( 914160 1109075 ) M1M2_PR
NEW met1 ( 1005360 487845 ) M1M2_PR
NEW met2 ( 1005360 485810 ) via2_FR ;
- mgmt_wdata\[27\] ( storage mgmt_wdata[27] ) ( soc mgmt_wdata[27] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1125170 ) ( * 1127330 0 )
NEW met3 ( 704160 1125170 ) ( 734160 * )
NEW met2 ( 734160 1123505 ) ( * 1125170 )
NEW met2 ( 1194960 236245 ) ( * 268250 0 )
NEW met1 ( 1000560 236245 ) ( 1194960 * )
NEW met1 ( 734160 1123505 ) ( 1000560 * )
NEW met2 ( 1000560 236245 ) ( * 1123505 )
NEW met2 ( 734160 1125170 ) via2_FR
NEW met1 ( 734160 1123505 ) M1M2_PR
NEW met1 ( 1000560 236245 ) M1M2_PR
NEW met1 ( 1194960 236245 ) M1M2_PR
NEW met1 ( 1000560 1123505 ) M1M2_PR ;
- mgmt_wdata\[28\] ( storage mgmt_wdata[28] ) ( soc mgmt_wdata[28] ) + USE SIGNAL
+ ROUTED met2 ( 784560 488955 ) ( * 1128315 )
NEW met2 ( 734160 1128315 ) ( * 1133310 )
NEW met3 ( 704160 1133310 0 ) ( 734160 * )
NEW met1 ( 734160 1128315 ) ( 784560 * )
NEW met2 ( 1002960 488770 ) ( * 488955 )
NEW met3 ( 1002960 488770 ) ( 1052640 * 0 )
NEW met1 ( 784560 488955 ) ( 1002960 * )
NEW met1 ( 784560 488955 ) M1M2_PR
NEW met1 ( 784560 1128315 ) M1M2_PR
NEW met1 ( 734160 1128315 ) M1M2_PR
NEW met2 ( 734160 1133310 ) via2_FR
NEW met1 ( 1002960 488955 ) M1M2_PR
NEW met2 ( 1002960 488770 ) via2_FR ;
- mgmt_wdata\[29\] ( storage mgmt_wdata[29] ) ( soc mgmt_wdata[29] ) + USE SIGNAL
+ ROUTED met2 ( 942960 242905 ) ( * 1138675 )
NEW met2 ( 1196880 242905 ) ( * 268250 0 )
NEW met3 ( 704160 1139230 ) ( * 1139570 0 )
NEW met3 ( 704160 1139230 ) ( 720240 * )
NEW met2 ( 720240 1138675 ) ( * 1139230 )
NEW met1 ( 720240 1138675 ) ( 942960 * )
NEW met1 ( 942960 242905 ) ( 1196880 * )
NEW met1 ( 942960 1138675 ) M1M2_PR
NEW met1 ( 942960 242905 ) M1M2_PR
NEW met1 ( 1196880 242905 ) M1M2_PR
NEW met2 ( 720240 1139230 ) via2_FR
NEW met1 ( 720240 1138675 ) M1M2_PR ;
- mgmt_wdata\[2\] ( storage mgmt_wdata[2] ) ( soc mgmt_wdata[2] ) + USE SIGNAL
+ ROUTED met1 ( 719280 517075 ) ( 725520 * )
NEW met2 ( 725520 503755 ) ( * 517075 )
NEW met3 ( 704160 974210 ) ( 722160 * )
NEW met3 ( 704160 974210 ) ( * 976800 0 )
NEW met1 ( 719280 534835 ) ( 722160 * )
NEW met2 ( 722160 534835 ) ( * 547045 )
NEW met1 ( 722160 547045 ) ( 723120 * )
NEW met2 ( 723120 547045 ) ( * 589965 )
NEW met1 ( 722640 589965 ) ( 723120 * )
NEW met1 ( 722640 589965 ) ( * 590705 )
NEW met1 ( 721200 590705 ) ( 722640 * )
NEW met1 ( 721200 590705 ) ( * 591445 )
NEW met1 ( 721200 591445 ) ( 721680 * )
NEW met1 ( 721680 591445 ) ( * 592185 )
NEW met2 ( 721680 592185 ) ( * 596070 )
NEW met2 ( 721680 596070 ) ( 722160 * )
NEW met2 ( 722160 596070 ) ( * 604765 )
NEW met1 ( 722160 604765 ) ( 723120 * )
NEW met2 ( 723120 604765 ) ( * 626225 )
NEW met1 ( 722160 626225 ) ( 723120 * )
NEW met2 ( 719280 517075 ) ( * 534835 )
NEW met2 ( 722160 626225 ) ( * 974210 )
NEW met2 ( 973680 493210 ) ( * 503755 )
NEW met3 ( 973680 493210 ) ( 1052640 * )
NEW met3 ( 1052640 491360 0 ) ( * 493210 )
NEW met1 ( 725520 503755 ) ( 973680 * )
NEW met1 ( 719280 517075 ) M1M2_PR
NEW met1 ( 725520 517075 ) M1M2_PR
NEW met1 ( 725520 503755 ) M1M2_PR
NEW met2 ( 722160 974210 ) via2_FR
NEW met1 ( 719280 534835 ) M1M2_PR
NEW met1 ( 722160 534835 ) M1M2_PR
NEW met1 ( 722160 547045 ) M1M2_PR
NEW met1 ( 723120 547045 ) M1M2_PR
NEW met1 ( 723120 589965 ) M1M2_PR
NEW met1 ( 721680 592185 ) M1M2_PR
NEW met1 ( 722160 604765 ) M1M2_PR
NEW met1 ( 723120 604765 ) M1M2_PR
NEW met1 ( 723120 626225 ) M1M2_PR
NEW met1 ( 722160 626225 ) M1M2_PR
NEW met1 ( 973680 503755 ) M1M2_PR
NEW met2 ( 973680 493210 ) via2_FR ;
- mgmt_wdata\[30\] ( storage mgmt_wdata[30] ) ( soc mgmt_wdata[30] ) + USE SIGNAL
+ ROUTED met2 ( 1198800 242165 ) ( * 268250 0 )
NEW met3 ( 704160 1142190 ) ( * 1144780 0 )
NEW met3 ( 704160 1142190 ) ( 720720 * )
NEW met2 ( 720720 1138305 ) ( * 1142190 )
NEW met1 ( 971760 242165 ) ( 1198800 * )
NEW met1 ( 720720 1138305 ) ( 971760 * )
NEW met2 ( 971760 242165 ) ( * 1138305 )
NEW met1 ( 971760 242165 ) M1M2_PR
NEW met1 ( 1198800 242165 ) M1M2_PR
NEW met2 ( 720720 1142190 ) via2_FR
NEW met1 ( 720720 1138305 ) M1M2_PR
NEW met1 ( 971760 1138305 ) M1M2_PR ;
- mgmt_wdata\[31\] ( storage mgmt_wdata[31] ) ( soc mgmt_wdata[31] ) + USE SIGNAL
+ ROUTED met2 ( 1199280 241795 ) ( * 268250 )
NEW met2 ( 1199280 268250 ) ( 1200480 * 0 )
NEW met2 ( 721200 1137935 ) ( * 1151070 )
NEW met3 ( 704160 1151070 0 ) ( 721200 * )
NEW met1 ( 986160 241795 ) ( 1199280 * )
NEW met1 ( 721200 1137935 ) ( 986160 * )
NEW met2 ( 986160 241795 ) ( * 1137935 )
NEW met1 ( 986160 241795 ) M1M2_PR
NEW met1 ( 1199280 241795 ) M1M2_PR
NEW met1 ( 721200 1137935 ) M1M2_PR
NEW met2 ( 721200 1151070 ) via2_FR
NEW met1 ( 986160 1137935 ) M1M2_PR ;
- mgmt_wdata\[3\] ( storage mgmt_wdata[3] ) ( soc mgmt_wdata[3] ) + USE SIGNAL
+ ROUTED met3 ( 704160 983090 0 ) ( 723120 * )
NEW met1 ( 719760 532245 ) ( 723120 * )
NEW met2 ( 723120 503015 ) ( * 532245 )
NEW met1 ( 719760 534095 ) ( 732720 * )
NEW met2 ( 732720 534095 ) ( * 626965 )
NEW met1 ( 723120 626965 ) ( 732720 * )
NEW met2 ( 719760 532245 ) ( * 534095 )
NEW met2 ( 723120 626965 ) ( * 983090 )
NEW met2 ( 1023120 493950 ) ( * 503015 )
NEW met3 ( 1023120 493950 ) ( 1052640 * 0 )
NEW met1 ( 723120 503015 ) ( 1023120 * )
NEW met2 ( 723120 983090 ) via2_FR
NEW met1 ( 719760 532245 ) M1M2_PR
NEW met1 ( 723120 532245 ) M1M2_PR
NEW met1 ( 723120 503015 ) M1M2_PR
NEW met1 ( 719760 534095 ) M1M2_PR
NEW met1 ( 732720 534095 ) M1M2_PR
NEW met1 ( 732720 626965 ) M1M2_PR
NEW met1 ( 723120 626965 ) M1M2_PR
NEW met1 ( 1023120 503015 ) M1M2_PR
NEW met2 ( 1023120 493950 ) via2_FR ;
- mgmt_wdata\[4\] ( storage mgmt_wdata[4] ) ( soc mgmt_wdata[4] ) + USE SIGNAL
+ ROUTED met2 ( 734160 979205 ) ( * 989010 )
NEW met3 ( 704160 989010 0 ) ( 734160 * )
NEW met1 ( 734160 979205 ) ( 928560 * )
NEW met2 ( 928560 242535 ) ( * 979205 )
NEW met2 ( 1201200 242535 ) ( * 268250 )
NEW met2 ( 1201200 268250 ) ( 1202400 * 0 )
NEW met1 ( 928560 242535 ) ( 1201200 * )
NEW met1 ( 734160 979205 ) M1M2_PR
NEW met2 ( 734160 989010 ) via2_FR
NEW met1 ( 928560 242535 ) M1M2_PR
NEW met1 ( 928560 979205 ) M1M2_PR
NEW met1 ( 1201200 242535 ) M1M2_PR ;
- mgmt_wdata\[5\] ( storage mgmt_wdata[5] ) ( soc mgmt_wdata[5] ) + USE SIGNAL
+ ROUTED met3 ( 704160 994930 0 ) ( 725520 * )
NEW met3 ( 721440 533910 ) ( 722160 * )
NEW met4 ( 721440 533910 ) ( * 566470 )
NEW met3 ( 721440 566470 ) ( 721680 * )
NEW met2 ( 721680 566470 ) ( * 577015 )
NEW met1 ( 721680 577015 ) ( 730800 * )
NEW met2 ( 730800 577015 ) ( * 623635 )
NEW met1 ( 730800 623635 ) ( 732240 * )
NEW met1 ( 732240 623635 ) ( * 625115 )
NEW met1 ( 731760 625115 ) ( 732240 * )
NEW met2 ( 731760 625115 ) ( * 633255 )
NEW met1 ( 725520 633255 ) ( 731760 * )
NEW met2 ( 722160 503385 ) ( * 533910 )
NEW met2 ( 725520 633255 ) ( * 994930 )
NEW met2 ( 1008720 496910 ) ( * 503385 )
NEW met3 ( 1008720 496910 ) ( 1052640 * 0 )
NEW met1 ( 722160 503385 ) ( 1008720 * )
NEW met2 ( 725520 994930 ) via2_FR
NEW met1 ( 722160 503385 ) M1M2_PR
NEW met2 ( 722160 533910 ) via2_FR
NEW met3 ( 721440 533910 ) M3M4_PR_M
NEW met3 ( 721440 566470 ) M3M4_PR_M
NEW met2 ( 721680 566470 ) via2_FR
NEW met1 ( 721680 577015 ) M1M2_PR
NEW met1 ( 730800 577015 ) M1M2_PR
NEW met1 ( 730800 623635 ) M1M2_PR
NEW met1 ( 731760 625115 ) M1M2_PR
NEW met1 ( 731760 633255 ) M1M2_PR
NEW met1 ( 725520 633255 ) M1M2_PR
NEW met1 ( 1008720 503385 ) M1M2_PR
NEW met2 ( 1008720 496910 ) via2_FR
NEW met3 ( 721440 566470 ) RECT ( -380 -150 0 150 ) ;
- mgmt_wdata\[6\] ( storage mgmt_wdata[6] ) ( soc mgmt_wdata[6] ) + USE SIGNAL
+ ROUTED met2 ( 734160 993635 ) ( * 997890 )
NEW met3 ( 704160 997890 ) ( 734160 * )
NEW met3 ( 704160 997890 ) ( * 1000710 0 )
NEW met1 ( 734160 993635 ) ( 957360 * )
NEW met2 ( 957360 231065 ) ( * 993635 )
NEW met2 ( 1204080 231065 ) ( * 268250 0 )
NEW met1 ( 957360 231065 ) ( 1204080 * )
NEW met1 ( 734160 993635 ) M1M2_PR
NEW met2 ( 734160 997890 ) via2_FR
NEW met1 ( 957360 993635 ) M1M2_PR
NEW met1 ( 957360 231065 ) M1M2_PR
NEW met1 ( 1204080 231065 ) M1M2_PR ;
- mgmt_wdata\[7\] ( storage mgmt_wdata[7] ) ( soc mgmt_wdata[7] ) + USE SIGNAL
+ ROUTED met3 ( 704160 1006770 0 ) ( 728400 * )
NEW met1 ( 720720 347615 ) ( 728400 * )
NEW met2 ( 720720 284715 ) ( * 347615 )
NEW met1 ( 720720 284715 ) ( 734160 * )
NEW met2 ( 734160 249565 ) ( * 284715 )
NEW met2 ( 1206000 249565 ) ( * 268250 0 )
NEW met2 ( 728400 347615 ) ( * 1006770 )
NEW met1 ( 734160 249565 ) ( 1206000 * )
NEW met1 ( 734160 249565 ) M1M2_PR
NEW met2 ( 728400 1006770 ) via2_FR
NEW met1 ( 728400 347615 ) M1M2_PR
NEW met1 ( 720720 347615 ) M1M2_PR
NEW met1 ( 720720 284715 ) M1M2_PR
NEW met1 ( 734160 284715 ) M1M2_PR
NEW met1 ( 1206000 249565 ) M1M2_PR ;
- mgmt_wdata\[8\] ( storage mgmt_wdata[8] ) ( soc mgmt_wdata[8] ) + USE SIGNAL
+ ROUTED met2 ( 798960 502275 ) ( * 1008435 )
NEW met2 ( 734160 1008435 ) ( * 1010470 )
NEW met3 ( 704160 1010470 ) ( 734160 * )
NEW met3 ( 704160 1010470 ) ( * 1013060 0 )
NEW met1 ( 734160 1008435 ) ( 798960 * )
NEW met2 ( 1050960 499500 ) ( * 502275 )
NEW met3 ( 1050960 499500 ) ( 1052640 * 0 )
NEW met1 ( 798960 502275 ) ( 1050960 * )
NEW met1 ( 798960 1008435 ) M1M2_PR
NEW met1 ( 798960 502275 ) M1M2_PR
NEW met1 ( 734160 1008435 ) M1M2_PR
NEW met2 ( 734160 1010470 ) via2_FR
NEW met1 ( 1050960 502275 ) M1M2_PR
NEW met2 ( 1050960 499500 ) via2_FR ;
- mgmt_wdata\[9\] ( storage mgmt_wdata[9] ) ( soc mgmt_wdata[9] ) + USE SIGNAL
+ ROUTED met2 ( 813360 241425 ) ( * 1008065 )
NEW met3 ( 704160 1016390 ) ( * 1018980 0 )
NEW met3 ( 704160 1016390 ) ( 725040 * )
NEW met2 ( 725040 1008065 ) ( * 1016390 )
NEW met1 ( 725040 1008065 ) ( 813360 * )
NEW met2 ( 1207920 241425 ) ( * 268250 0 )
NEW met1 ( 813360 241425 ) ( 1207920 * )
NEW met1 ( 813360 241425 ) M1M2_PR
NEW met1 ( 813360 1008065 ) M1M2_PR
NEW met2 ( 725040 1016390 ) via2_FR
NEW met1 ( 725040 1008065 ) M1M2_PR
NEW met1 ( 1207920 241425 ) M1M2_PR ;
- mgmt_wen\[0\] ( storage mgmt_wen[0] ) ( soc mgmt_wen[0] ) + USE SIGNAL
+ ROUTED met2 ( 755760 502645 ) ( * 1152735 )
NEW met2 ( 720240 1152735 ) ( * 1156990 )
NEW met3 ( 704160 1156990 0 ) ( 720240 * )
NEW met1 ( 720240 1152735 ) ( 755760 * )
NEW met2 ( 991920 502090 ) ( * 502645 )
NEW met3 ( 991920 502090 ) ( 1052640 * 0 )
NEW met1 ( 755760 502645 ) ( 991920 * )
NEW met1 ( 755760 502645 ) M1M2_PR
NEW met1 ( 755760 1152735 ) M1M2_PR
NEW met1 ( 720240 1152735 ) M1M2_PR
NEW met2 ( 720240 1156990 ) via2_FR
NEW met1 ( 991920 502645 ) M1M2_PR
NEW met2 ( 991920 502090 ) via2_FR ;
- mgmt_wen\[1\] ( storage mgmt_wen[1] ) ( soc mgmt_wen[1] ) + USE SIGNAL
+ ROUTED met2 ( 1209840 241610 ) ( * 268250 0 )
NEW met3 ( 704160 1160690 ) ( * 1163280 0 )
NEW met3 ( 704160 1160690 ) ( 720720 * )
NEW met2 ( 720720 1152365 ) ( * 1160690 )
NEW met3 ( 1014960 241610 ) ( 1209840 * )
NEW met1 ( 720720 1152365 ) ( 1014960 * )
NEW met2 ( 1014960 241610 ) ( * 1152365 )
NEW met2 ( 1014960 241610 ) via2_FR
NEW met2 ( 1209840 241610 ) via2_FR
NEW met2 ( 720720 1160690 ) via2_FR
NEW met1 ( 720720 1152365 ) M1M2_PR
NEW met1 ( 1014960 1152365 ) M1M2_PR ;
- mgmt_wen_mask\[0\] ( storage mgmt_wen_mask[0] ) ( soc mgmt_wen_mask[0] ) + USE SIGNAL
+ ROUTED met2 ( 827760 240870 ) ( * 1166425 )
NEW met2 ( 1210320 240870 ) ( * 268250 )
NEW met2 ( 1210320 268250 ) ( 1211520 * 0 )
NEW met3 ( 704160 1168090 ) ( * 1169200 0 )
NEW met3 ( 704160 1168090 ) ( 720240 * )
NEW met2 ( 720240 1166425 ) ( * 1168090 )
NEW met1 ( 720240 1166425 ) ( 827760 * )
NEW met3 ( 827760 240870 ) ( 1210320 * )
NEW met2 ( 827760 240870 ) via2_FR
NEW met1 ( 827760 1166425 ) M1M2_PR
NEW met2 ( 1210320 240870 ) via2_FR
NEW met2 ( 720240 1168090 ) via2_FR
NEW met1 ( 720240 1166425 ) M1M2_PR ;
- mgmt_wen_mask\[1\] ( storage mgmt_wen_mask[1] ) ( soc mgmt_wen_mask[1] ) + USE SIGNAL
+ ROUTED met1 ( 731760 524105 ) ( 734640 * )
NEW met2 ( 734640 517445 ) ( * 524105 )
NEW met1 ( 730800 624375 ) ( 731760 * )
NEW met2 ( 731760 524105 ) ( * 624375 )
NEW met3 ( 704160 1175490 0 ) ( 732720 * )
NEW met1 ( 730800 642505 ) ( 732720 * )
NEW met2 ( 730800 624375 ) ( * 642505 )
NEW met2 ( 732720 642505 ) ( * 1175490 )
NEW met2 ( 1022640 505050 ) ( * 517445 )
NEW met3 ( 1022640 505050 ) ( 1052640 * 0 )
NEW met1 ( 734640 517445 ) ( 1022640 * )
NEW met1 ( 731760 524105 ) M1M2_PR
NEW met1 ( 734640 524105 ) M1M2_PR
NEW met1 ( 734640 517445 ) M1M2_PR
NEW met1 ( 730800 624375 ) M1M2_PR
NEW met1 ( 731760 624375 ) M1M2_PR
NEW met2 ( 732720 1175490 ) via2_FR
NEW met1 ( 730800 642505 ) M1M2_PR
NEW met1 ( 732720 642505 ) M1M2_PR
NEW met1 ( 1022640 517445 ) M1M2_PR
NEW met2 ( 1022640 505050 ) via2_FR ;
- mgmt_wen_mask\[2\] ( storage mgmt_wen_mask[2] ) ( soc mgmt_wen_mask[2] ) + USE SIGNAL
+ ROUTED met2 ( 1212240 243090 ) ( * 268250 )
NEW met2 ( 1212240 268250 ) ( 1213440 * 0 )
NEW met2 ( 720240 1180855 ) ( * 1181410 )
NEW met3 ( 704160 1181410 ) ( 720240 * )
NEW met3 ( 704160 1181050 0 ) ( * 1181410 )
NEW met1 ( 720240 1180855 ) ( 856560 * )
NEW met2 ( 856560 243090 ) ( * 1180855 )
NEW met3 ( 856560 243090 ) ( 1212240 * )
NEW met2 ( 856560 243090 ) via2_FR
NEW met2 ( 1212240 243090 ) via2_FR
NEW met1 ( 720240 1180855 ) M1M2_PR
NEW met2 ( 720240 1181410 ) via2_FR
NEW met1 ( 856560 1180855 ) M1M2_PR ;
- mgmt_wen_mask\[3\] ( storage mgmt_wen_mask[3] ) ( soc mgmt_wen_mask[3] ) + USE SIGNAL
+ ROUTED met3 ( 721680 622710 ) ( 723360 * )
NEW met4 ( 723360 533170 ) ( * 622710 )
NEW met3 ( 723360 533170 ) ( 725520 * )
NEW met2 ( 725520 517815 ) ( * 533170 )
NEW met3 ( 704160 1184370 ) ( 731760 * )
NEW met3 ( 704160 1184370 ) ( * 1186960 0 )
NEW met3 ( 721680 633810 ) ( 724320 * )
NEW met4 ( 724320 633810 ) ( * 724090 )
NEW met3 ( 724320 724090 ) ( 731760 * )
NEW met2 ( 721680 622710 ) ( * 633810 )
NEW met2 ( 731760 724090 ) ( * 1184370 )
NEW met2 ( 1023600 508010 ) ( * 517815 )
NEW met3 ( 1023600 508010 ) ( 1052640 * 0 )
NEW met1 ( 725520 517815 ) ( 1023600 * )
NEW met1 ( 725520 517815 ) M1M2_PR
NEW met2 ( 721680 622710 ) via2_FR
NEW met3 ( 723360 622710 ) M3M4_PR_M
NEW met3 ( 723360 533170 ) M3M4_PR_M
NEW met2 ( 725520 533170 ) via2_FR
NEW met2 ( 731760 1184370 ) via2_FR
NEW met2 ( 721680 633810 ) via2_FR
NEW met3 ( 724320 633810 ) M3M4_PR_M
NEW met3 ( 724320 724090 ) M3M4_PR_M
NEW met2 ( 731760 724090 ) via2_FR
NEW met1 ( 1023600 517815 ) M1M2_PR
NEW met2 ( 1023600 508010 ) via2_FR ;
- mgmt_wen_mask\[4\] ( storage mgmt_wen_mask[4] ) ( soc mgmt_wen_mask[4] ) + USE SIGNAL
+ ROUTED met2 ( 1215120 243830 ) ( * 268250 0 )
NEW met2 ( 720720 1181225 ) ( * 1193250 )
NEW met3 ( 704160 1193250 0 ) ( 720720 * )
NEW met1 ( 720720 1181225 ) ( 842160 * )
NEW met2 ( 842160 243830 ) ( * 1181225 )
NEW met3 ( 842160 243830 ) ( 1215120 * )
NEW met2 ( 842160 243830 ) via2_FR
NEW met2 ( 1215120 243830 ) via2_FR
NEW met1 ( 720720 1181225 ) M1M2_PR
NEW met2 ( 720720 1193250 ) via2_FR
NEW met1 ( 842160 1181225 ) M1M2_PR ;
- mgmt_wen_mask\[5\] ( storage mgmt_wen_mask[5] ) ( soc mgmt_wen_mask[5] ) + USE SIGNAL
+ ROUTED met2 ( 1217040 242350 ) ( * 268250 0 )
NEW met2 ( 733200 1195285 ) ( * 1199170 )
NEW met3 ( 704160 1199170 0 ) ( 733200 * )
NEW met1 ( 733200 1195285 ) ( 870960 * )
NEW met2 ( 870960 242350 ) ( * 1195285 )
NEW met3 ( 870960 242350 ) ( 1217040 * )
NEW met2 ( 870960 242350 ) via2_FR
NEW met2 ( 1217040 242350 ) via2_FR
NEW met1 ( 733200 1195285 ) M1M2_PR
NEW met2 ( 733200 1199170 ) via2_FR
NEW met1 ( 870960 1195285 ) M1M2_PR ;
- mgmt_wen_mask\[6\] ( storage mgmt_wen_mask[6] ) ( soc mgmt_wen_mask[6] ) + USE SIGNAL
+ ROUTED met2 ( 734640 575165 ) ( * 675065 )
NEW met2 ( 730800 518185 ) ( * 575165 )
NEW met1 ( 730800 575165 ) ( 734640 * )
NEW met3 ( 704160 1202870 ) ( 730800 * )
NEW met3 ( 704160 1202870 ) ( * 1205460 0 )
NEW met1 ( 730800 723165 ) ( 731760 * )
NEW met2 ( 731760 704665 ) ( * 723165 )
NEW met1 ( 722640 704665 ) ( 731760 * )
NEW met2 ( 722640 675065 ) ( * 704665 )
NEW met2 ( 730800 723165 ) ( * 1202870 )
NEW met1 ( 722640 675065 ) ( 734640 * )
NEW met2 ( 1023120 510230 ) ( * 518185 )
NEW met3 ( 1023120 510230 ) ( 1052640 * 0 )
NEW met1 ( 730800 518185 ) ( 1023120 * )
NEW met1 ( 734640 675065 ) M1M2_PR
NEW met1 ( 734640 575165 ) M1M2_PR
NEW met1 ( 730800 518185 ) M1M2_PR
NEW met1 ( 730800 575165 ) M1M2_PR
NEW met2 ( 730800 1202870 ) via2_FR
NEW met1 ( 730800 723165 ) M1M2_PR
NEW met1 ( 731760 723165 ) M1M2_PR
NEW met1 ( 731760 704665 ) M1M2_PR
NEW met1 ( 722640 704665 ) M1M2_PR
NEW met1 ( 722640 675065 ) M1M2_PR
NEW met1 ( 1023120 518185 ) M1M2_PR
NEW met2 ( 1023120 510230 ) via2_FR ;
- mgmt_wen_mask\[7\] ( storage mgmt_wen_mask[7] ) ( soc mgmt_wen_mask[7] ) + USE SIGNAL
+ ROUTED met2 ( 1218960 257150 ) ( * 268250 0 )
NEW met3 ( 704160 1210270 ) ( 727200 * )
NEW met3 ( 704160 1210270 ) ( * 1211380 0 )
NEW met4 ( 727200 257150 ) ( * 1210270 )
NEW met3 ( 727200 257150 ) ( 1218960 * )
NEW met3 ( 727200 257150 ) M3M4_PR_M
NEW met2 ( 1218960 257150 ) via2_FR
NEW met3 ( 727200 1210270 ) M3M4_PR_M ;
- mprj2_vcc_pwrgood ( soc mprj2_vcc_pwrgood ) ( mgmt_buffers user2_vcc_powergood ) + USE SIGNAL
+ ROUTED met2 ( 1081920 1167350 0 ) ( 1083120 * )
NEW met2 ( 1083120 1167350 ) ( * 1225995 )
NEW met1 ( 1792560 1225995 ) ( * 1226365 )
NEW met1 ( 1792560 1226365 ) ( 1821360 * )
NEW met1 ( 1821360 1226365 ) ( * 1226735 )
NEW met1 ( 1083120 1225995 ) ( 1792560 * )
NEW met2 ( 2057520 1225995 ) ( * 1232470 0 )
NEW met1 ( 1918320 1225995 ) ( * 1226735 )
NEW met1 ( 1821360 1226735 ) ( 1918320 * )
NEW met1 ( 1918320 1225995 ) ( 2057520 * )
NEW met1 ( 1083120 1225995 ) M1M2_PR
NEW met1 ( 2057520 1225995 ) M1M2_PR ;
- mprj2_vdd_pwrgood ( soc mprj2_vdd_pwrgood ) ( mgmt_buffers user2_vdd_powergood ) + USE SIGNAL
+ ROUTED met2 ( 1080000 1167350 0 ) ( 1081200 * )
NEW met2 ( 1081200 1167350 ) ( * 1188070 )
NEW met2 ( 2059920 1188070 ) ( * 1232470 0 )
NEW met3 ( 1081200 1188070 ) ( 2059920 * )
NEW met2 ( 1081200 1188070 ) via2_FR
NEW met2 ( 2059920 1188070 ) via2_FR ;
- mprj_ack_i_core ( soc mprj_ack_i ) ( mprj wbs_ack_o ) + USE SIGNAL
+ ROUTED met1 ( 341040 1353645 ) ( 345360 * )
NEW met2 ( 341040 1353645 ) ( * 1389350 0 )
NEW met2 ( 345360 1216745 ) ( * 1353645 )
NEW met1 ( 345360 1216745 ) ( 1030320 * )
NEW met3 ( 1030320 1146630 ) ( 1052640 * 0 )
NEW met2 ( 1030320 1146630 ) ( * 1216745 )
NEW met1 ( 341040 1353645 ) M1M2_PR
NEW met1 ( 345360 1353645 ) M1M2_PR
NEW met1 ( 345360 1216745 ) M1M2_PR
NEW met1 ( 1030320 1216745 ) M1M2_PR
NEW met2 ( 1030320 1146630 ) via2_FR ;
- mprj_adr_o_core\[0\] ( soc mprj_adr_o[0] ) ( mgmt_buffers mprj_adr_o_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 1904880 1192695 ) ( * 1232470 0 )
NEW met2 ( 1850160 1188625 ) ( * 1192695 )
NEW met1 ( 1850160 1192695 ) ( 1904880 * )
NEW met2 ( 1799280 1166610 ) ( 1799520 * 0 )
NEW met2 ( 1799280 1166610 ) ( * 1188625 )
NEW met1 ( 1799280 1188625 ) ( 1850160 * )
NEW met1 ( 1904880 1192695 ) M1M2_PR
NEW met1 ( 1850160 1188625 ) M1M2_PR
NEW met1 ( 1850160 1192695 ) M1M2_PR
NEW met1 ( 1799280 1188625 ) M1M2_PR ;
- mprj_adr_o_core\[10\] ( soc mprj_adr_o[10] ) ( mgmt_buffers mprj_adr_o_core[10] ) + USE SIGNAL
+ ROUTED met2 ( 1957200 1190290 ) ( * 1232470 0 )
NEW met2 ( 1078080 1167350 0 ) ( 1079280 * )
NEW met2 ( 1079280 1167350 ) ( * 1191030 )
NEW met3 ( 1159200 1190290 ) ( * 1191030 )
NEW met3 ( 1079280 1191030 ) ( 1159200 * )
NEW met3 ( 1159200 1190290 ) ( 1957200 * )
NEW met2 ( 1957200 1190290 ) via2_FR
NEW met2 ( 1079280 1191030 ) via2_FR ;
- mprj_adr_o_core\[11\] ( soc mprj_adr_o[11] ) ( mgmt_buffers mprj_adr_o_core[11] ) + USE SIGNAL
+ ROUTED met2 ( 1801200 1167350 0 ) ( * 1200095 )
NEW met2 ( 1960560 1196765 ) ( * 1232470 )
NEW met2 ( 1960560 1232470 ) ( 1961760 * 0 )
NEW met1 ( 1843680 1196765 ) ( * 1200095 )
NEW met1 ( 1801200 1200095 ) ( 1843680 * )
NEW met1 ( 1843680 1196765 ) ( 1960560 * )
NEW met1 ( 1801200 1200095 ) M1M2_PR
NEW met1 ( 1960560 1196765 ) M1M2_PR ;
- mprj_adr_o_core\[12\] ( soc mprj_adr_o[12] ) ( mgmt_buffers mprj_adr_o_core[12] ) + USE SIGNAL
+ ROUTED met2 ( 1965840 1189550 ) ( * 1232470 0 )
NEW met2 ( 1076400 1167350 0 ) ( * 1190290 )
NEW met3 ( 1076400 1190290 ) ( 1085280 * )
NEW met3 ( 1085280 1189550 ) ( * 1190290 )
NEW met3 ( 1085280 1189550 ) ( 1965840 * )
NEW met2 ( 1965840 1189550 ) via2_FR
NEW met2 ( 1076400 1190290 ) via2_FR ;
- mprj_adr_o_core\[13\] ( soc mprj_adr_o[13] ) ( mgmt_buffers mprj_adr_o_core[13] ) + USE SIGNAL
+ ROUTED met2 ( 1970640 1196395 ) ( * 1232470 0 )
NEW met2 ( 1803120 1167350 0 ) ( * 1196395 )
NEW met1 ( 1803120 1196395 ) ( 1970640 * )
NEW met1 ( 1970640 1196395 ) M1M2_PR
NEW met1 ( 1803120 1196395 ) M1M2_PR ;
- mprj_adr_o_core\[14\] ( soc mprj_adr_o[14] ) ( mgmt_buffers mprj_adr_o_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 1973520 1188810 ) ( * 1232470 )
NEW met2 ( 1973520 1232470 ) ( 1974720 * 0 )
NEW met2 ( 1074480 1167350 0 ) ( * 1189550 )
NEW met3 ( 1074480 1189550 ) ( 1084320 * )
NEW met3 ( 1084320 1188810 ) ( * 1189550 )
NEW met3 ( 1084320 1188810 ) ( 1973520 * )
NEW met2 ( 1973520 1188810 ) via2_FR
NEW met2 ( 1074480 1189550 ) via2_FR ;
- mprj_adr_o_core\[15\] ( soc mprj_adr_o[15] ) ( mgmt_buffers mprj_adr_o_core[15] ) + USE SIGNAL
+ ROUTED met2 ( 1805040 1167350 0 ) ( * 1207865 )
NEW met2 ( 1979280 1207865 ) ( * 1232470 0 )
NEW met1 ( 1805040 1207865 ) ( 1979280 * )
NEW met1 ( 1805040 1207865 ) M1M2_PR
NEW met1 ( 1979280 1207865 ) M1M2_PR ;
- mprj_adr_o_core\[16\] ( soc mprj_adr_o[16] ) ( mgmt_buffers mprj_adr_o_core[16] ) + USE SIGNAL
+ ROUTED met2 ( 1806720 1167350 0 ) ( 1807920 * )
NEW met2 ( 1807920 1167350 ) ( * 1208605 )
NEW met2 ( 1982160 1208605 ) ( * 1232470 )
NEW met2 ( 1982160 1232470 ) ( 1983360 * 0 )
NEW met1 ( 1807920 1208605 ) ( 1982160 * )
NEW met1 ( 1807920 1208605 ) M1M2_PR
NEW met1 ( 1982160 1208605 ) M1M2_PR ;
- mprj_adr_o_core\[17\] ( soc mprj_adr_o[17] ) ( mgmt_buffers mprj_adr_o_core[17] ) + USE SIGNAL
+ ROUTED met2 ( 1808640 1167350 0 ) ( 1809840 * )
NEW met2 ( 1809840 1167350 ) ( * 1207495 )
NEW met2 ( 1987440 1207495 ) ( * 1232470 0 )
NEW met1 ( 1809840 1207495 ) ( 1987440 * )
NEW met1 ( 1809840 1207495 ) M1M2_PR
NEW met1 ( 1987440 1207495 ) M1M2_PR ;
- mprj_adr_o_core\[18\] ( soc mprj_adr_o[18] ) ( mgmt_buffers mprj_adr_o_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 1810560 1167350 0 ) ( 1811760 * )
NEW met2 ( 1811760 1167350 ) ( * 1206755 )
NEW met2 ( 1992240 1206755 ) ( * 1232470 0 )
NEW met1 ( 1811760 1206755 ) ( 1992240 * )
NEW met1 ( 1811760 1206755 ) M1M2_PR
NEW met1 ( 1992240 1206755 ) M1M2_PR ;
- mprj_adr_o_core\[19\] ( soc mprj_adr_o[19] ) ( mgmt_buffers mprj_adr_o_core[19] ) + USE SIGNAL
+ ROUTED met2 ( 1812240 1167350 0 ) ( * 1205645 )
NEW met2 ( 1995120 1205645 ) ( * 1232470 )
NEW met2 ( 1995120 1232470 ) ( 1996320 * 0 )
NEW met1 ( 1812240 1205645 ) ( 1995120 * )
NEW met1 ( 1812240 1205645 ) M1M2_PR
NEW met1 ( 1995120 1205645 ) M1M2_PR ;
- mprj_adr_o_core\[1\] ( soc mprj_adr_o[1] ) ( mgmt_buffers mprj_adr_o_core[1] ) + USE SIGNAL
+ ROUTED met2 ( 1814160 1167350 0 ) ( * 1189365 )
NEW met2 ( 1852560 1189365 ) ( * 1193065 )
NEW met1 ( 1852560 1193065 ) ( 1911600 * )
NEW met2 ( 1911600 1193065 ) ( * 1232470 0 )
NEW met1 ( 1814160 1189365 ) ( 1852560 * )
NEW met1 ( 1814160 1189365 ) M1M2_PR
NEW met1 ( 1852560 1189365 ) M1M2_PR
NEW met1 ( 1852560 1193065 ) M1M2_PR
NEW met1 ( 1911600 1193065 ) M1M2_PR ;
- mprj_adr_o_core\[20\] ( soc mprj_adr_o[20] ) ( mgmt_buffers mprj_adr_o_core[20] ) + USE SIGNAL
+ ROUTED met2 ( 1816080 1167350 0 ) ( * 1208235 )
NEW met2 ( 2000880 1208235 ) ( * 1232470 0 )
NEW met1 ( 1982640 1208235 ) ( * 1208605 )
NEW met1 ( 1982640 1208605 ) ( 1986960 * )
NEW met1 ( 1986960 1208235 ) ( * 1208605 )
NEW met1 ( 1816080 1208235 ) ( 1982640 * )
NEW met1 ( 1986960 1208235 ) ( 2000880 * )
NEW met1 ( 1816080 1208235 ) M1M2_PR
NEW met1 ( 2000880 1208235 ) M1M2_PR ;
- mprj_adr_o_core\[21\] ( soc mprj_adr_o[21] ) ( mgmt_buffers mprj_adr_o_core[21] ) + USE SIGNAL
+ ROUTED met2 ( 1817760 1167350 0 ) ( 1818960 * )
NEW met2 ( 1818960 1167350 ) ( * 1206385 )
NEW met2 ( 2003760 1206385 ) ( * 1232470 )
NEW met2 ( 2003760 1232470 ) ( 2004960 * 0 )
NEW met1 ( 1818960 1206385 ) ( 2003760 * )
NEW met1 ( 1818960 1206385 ) M1M2_PR
NEW met1 ( 2003760 1206385 ) M1M2_PR ;
- mprj_adr_o_core\[22\] ( soc mprj_adr_o[22] ) ( mgmt_buffers mprj_adr_o_core[22] ) + USE SIGNAL
+ ROUTED met2 ( 1819680 1167350 0 ) ( 1820880 * )
NEW met2 ( 1820880 1167350 ) ( * 1206015 )
NEW met2 ( 2009520 1206015 ) ( * 1232470 0 )
NEW met1 ( 1820880 1206015 ) ( 2009520 * )
NEW met1 ( 1820880 1206015 ) M1M2_PR
NEW met1 ( 2009520 1206015 ) M1M2_PR ;
- mprj_adr_o_core\[23\] ( soc mprj_adr_o[23] ) ( mgmt_buffers mprj_adr_o_core[23] ) + USE SIGNAL
+ ROUTED met2 ( 1821600 1167350 0 ) ( 1822800 * )
NEW met2 ( 1822800 1167350 ) ( * 1207125 )
NEW met2 ( 2013840 1207125 ) ( * 1232470 0 )
NEW met1 ( 1822800 1207125 ) ( 2013840 * )
NEW met1 ( 1822800 1207125 ) M1M2_PR
NEW met1 ( 2013840 1207125 ) M1M2_PR ;
- mprj_adr_o_core\[24\] ( soc mprj_adr_o[24] ) ( mgmt_buffers mprj_adr_o_core[24] ) + USE SIGNAL
+ ROUTED met2 ( 1823280 1167350 0 ) ( * 1202685 )
NEW met2 ( 2017200 1202685 ) ( * 1232470 )
NEW met2 ( 2017200 1232470 ) ( 2018400 * 0 )
NEW met1 ( 1823280 1202685 ) ( 2017200 * )
NEW met1 ( 1823280 1202685 ) M1M2_PR
NEW met1 ( 2017200 1202685 ) M1M2_PR ;
- mprj_adr_o_core\[25\] ( soc mprj_adr_o[25] ) ( mgmt_buffers mprj_adr_o_core[25] ) + USE SIGNAL
+ ROUTED met2 ( 1825200 1167350 0 ) ( * 1203055 )
NEW met2 ( 2022480 1203055 ) ( * 1232470 0 )
NEW met1 ( 1825200 1203055 ) ( 2022480 * )
NEW met1 ( 1825200 1203055 ) M1M2_PR
NEW met1 ( 2022480 1203055 ) M1M2_PR ;
- mprj_adr_o_core\[26\] ( soc mprj_adr_o[26] ) ( mgmt_buffers mprj_adr_o_core[26] ) + USE SIGNAL
+ ROUTED met2 ( 1827120 1167350 0 ) ( * 1204535 )
NEW met2 ( 2025840 1204535 ) ( * 1232470 )
NEW met2 ( 2025840 1232470 ) ( 2027040 * 0 )
NEW met1 ( 1827120 1204535 ) ( 2025840 * )
NEW met1 ( 1827120 1204535 ) M1M2_PR
NEW met1 ( 2025840 1204535 ) M1M2_PR ;
- mprj_adr_o_core\[27\] ( soc mprj_adr_o[27] ) ( mgmt_buffers mprj_adr_o_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 1828800 1167350 0 ) ( 1830000 * )
NEW met2 ( 1830000 1167350 ) ( * 1204165 )
NEW met2 ( 2030640 1204165 ) ( * 1232470 )
NEW met2 ( 2030640 1232470 ) ( 2031360 * 0 )
NEW met1 ( 1830000 1204165 ) ( 2030640 * )
NEW met1 ( 1830000 1204165 ) M1M2_PR
NEW met1 ( 2030640 1204165 ) M1M2_PR ;
- mprj_adr_o_core\[28\] ( soc mprj_adr_o[28] ) ( mgmt_buffers mprj_adr_o_core[28] ) + USE SIGNAL
+ ROUTED met2 ( 1830720 1167350 0 ) ( 1831920 * )
NEW met2 ( 1831920 1167350 ) ( * 1202315 )
NEW met2 ( 2035920 1202315 ) ( * 1232470 0 )
NEW met1 ( 1831920 1202315 ) ( 2035920 * )
NEW met1 ( 1831920 1202315 ) M1M2_PR
NEW met1 ( 2035920 1202315 ) M1M2_PR ;
- mprj_adr_o_core\[29\] ( soc mprj_adr_o[29] ) ( mgmt_buffers mprj_adr_o_core[29] ) + USE SIGNAL
+ ROUTED met2 ( 1832640 1167350 0 ) ( 1833840 * )
NEW met2 ( 1833840 1167350 ) ( * 1203425 )
NEW met1 ( 1833840 1203425 ) ( 2038800 * )
NEW met2 ( 2038800 1232470 ) ( 2040000 * 0 )
NEW met2 ( 2038800 1203425 ) ( * 1232470 )
NEW met1 ( 1833840 1203425 ) M1M2_PR
NEW met1 ( 2038800 1203425 ) M1M2_PR ;
- mprj_adr_o_core\[2\] ( soc mprj_adr_o[2] ) ( mgmt_buffers mprj_adr_o_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1916880 1193435 ) ( * 1232470 )
NEW met2 ( 1916880 1232470 ) ( 1918080 * 0 )
NEW met2 ( 1834320 1167350 0 ) ( * 1192325 )
NEW met1 ( 1834320 1192325 ) ( 1843680 * )
NEW met1 ( 1843680 1192325 ) ( * 1193435 )
NEW met1 ( 1843680 1193435 ) ( 1916880 * )
NEW met1 ( 1916880 1193435 ) M1M2_PR
NEW met1 ( 1834320 1192325 ) M1M2_PR ;
- mprj_adr_o_core\[30\] ( soc mprj_adr_o[30] ) ( mgmt_buffers mprj_adr_o_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 1836240 1167350 0 ) ( * 1204905 )
NEW met2 ( 2044560 1204905 ) ( * 1232470 0 )
NEW met1 ( 1836240 1204905 ) ( 2044560 * )
NEW met1 ( 1836240 1204905 ) M1M2_PR
NEW met1 ( 2044560 1204905 ) M1M2_PR ;
- mprj_adr_o_core\[31\] ( soc mprj_adr_o[31] ) ( mgmt_buffers mprj_adr_o_core[31] ) + USE SIGNAL
+ ROUTED met2 ( 1838160 1167350 0 ) ( * 1202130 )
NEW met2 ( 2048880 1202130 ) ( * 1232470 0 )
NEW met3 ( 1838160 1202130 ) ( 2048880 * )
NEW met2 ( 1838160 1202130 ) via2_FR
NEW met2 ( 2048880 1202130 ) via2_FR ;
- mprj_adr_o_core\[3\] ( soc mprj_adr_o[3] ) ( mgmt_buffers mprj_adr_o_core[3] ) + USE SIGNAL
+ ROUTED met2 ( 1923120 1194175 ) ( * 1232470 )
NEW met2 ( 1923120 1232470 ) ( 1924560 * 0 )
NEW met2 ( 1839840 1167350 0 ) ( 1841040 * )
NEW met2 ( 1841040 1167350 ) ( * 1194175 )
NEW met1 ( 1841040 1194175 ) ( 1923120 * )
NEW met1 ( 1923120 1194175 ) M1M2_PR
NEW met1 ( 1841040 1194175 ) M1M2_PR ;
- mprj_adr_o_core\[4\] ( soc mprj_adr_o[4] ) ( mgmt_buffers mprj_adr_o_core[4] ) + USE SIGNAL
+ ROUTED met2 ( 1905360 1192695 ) ( * 1193805 )
NEW met1 ( 1905360 1192695 ) ( 1929840 * )
NEW met2 ( 1929840 1192695 ) ( * 1232470 )
NEW met2 ( 1929840 1232470 ) ( 1931040 * 0 )
NEW met2 ( 1841760 1167350 0 ) ( 1842960 * )
NEW met2 ( 1842960 1167350 ) ( * 1168830 )
NEW met2 ( 1842960 1168830 ) ( 1843440 * )
NEW met2 ( 1843440 1168830 ) ( * 1193805 )
NEW met1 ( 1843440 1193805 ) ( 1905360 * )
NEW met1 ( 1905360 1193805 ) M1M2_PR
NEW met1 ( 1905360 1192695 ) M1M2_PR
NEW met1 ( 1929840 1192695 ) M1M2_PR
NEW met1 ( 1843440 1193805 ) M1M2_PR ;
- mprj_adr_o_core\[5\] ( soc mprj_adr_o[5] ) ( mgmt_buffers mprj_adr_o_core[5] ) + USE SIGNAL
+ ROUTED met2 ( 1843680 1167350 0 ) ( 1844880 * )
NEW met2 ( 1844880 1167350 ) ( * 1183815 )
NEW met1 ( 1844880 1183815 ) ( 1935600 * )
NEW met2 ( 1935600 1183815 ) ( * 1232470 0 )
NEW met1 ( 1844880 1183815 ) M1M2_PR
NEW met1 ( 1935600 1183815 ) M1M2_PR ;
- mprj_adr_o_core\[6\] ( soc mprj_adr_o[6] ) ( mgmt_buffers mprj_adr_o_core[6] ) + USE SIGNAL
+ ROUTED met2 ( 1845360 1167350 0 ) ( * 1186775 )
NEW met1 ( 1845360 1186775 ) ( 1938480 * )
NEW met2 ( 1938480 1186775 ) ( * 1232470 )
NEW met2 ( 1938480 1232470 ) ( 1939680 * 0 )
NEW met1 ( 1845360 1186775 ) M1M2_PR
NEW met1 ( 1938480 1186775 ) M1M2_PR ;
- mprj_adr_o_core\[7\] ( soc mprj_adr_o[7] ) ( mgmt_buffers mprj_adr_o_core[7] ) + USE SIGNAL
+ ROUTED met2 ( 1944240 1192325 ) ( * 1232470 0 )
NEW met2 ( 1847280 1167350 0 ) ( * 1192325 )
NEW met1 ( 1847280 1192325 ) ( 1944240 * )
NEW met1 ( 1944240 1192325 ) M1M2_PR
NEW met1 ( 1847280 1192325 ) M1M2_PR ;
- mprj_adr_o_core\[8\] ( soc mprj_adr_o[8] ) ( mgmt_buffers mprj_adr_o_core[8] ) + USE SIGNAL
+ ROUTED met2 ( 1948560 1191955 ) ( * 1232470 0 )
NEW met2 ( 1849200 1167350 0 ) ( * 1191955 )
NEW met1 ( 1849200 1191955 ) ( 1948560 * )
NEW met1 ( 1948560 1191955 ) M1M2_PR
NEW met1 ( 1849200 1191955 ) M1M2_PR ;
- mprj_adr_o_core\[9\] ( soc mprj_adr_o[9] ) ( mgmt_buffers mprj_adr_o_core[9] ) + USE SIGNAL
+ ROUTED met2 ( 1951920 1191215 ) ( * 1232470 )
NEW met2 ( 1951920 1232470 ) ( 1953120 * 0 )
NEW met2 ( 1850880 1167350 0 ) ( 1852080 * )
NEW met2 ( 1852080 1167350 ) ( * 1191215 )
NEW met1 ( 1852080 1191215 ) ( 1951920 * )
NEW met1 ( 1951920 1191215 ) M1M2_PR
NEW met1 ( 1852080 1191215 ) M1M2_PR ;
- mprj_adr_o_user\[0\] ( mprj wbs_adr_i[0] ) ( mgmt_buffers mprj_adr_o_user[0] ) + USE SIGNAL
+ ROUTED met2 ( 365040 1356790 ) ( * 1389350 0 )
NEW met2 ( 1742640 1324415 ) ( * 1328855 )
NEW met2 ( 1912080 1324415 ) ( * 1324970 )
NEW met2 ( 1912080 1324970 ) ( 1913520 * 0 )
NEW met1 ( 1742640 1324415 ) ( 1912080 * )
NEW met2 ( 705840 1356235 ) ( * 1356790 )
NEW met2 ( 705840 1356235 ) ( 708240 * )
NEW met2 ( 708240 1356235 ) ( * 1356790 )
NEW met3 ( 365040 1356790 ) ( 705840 * )
NEW met2 ( 1582800 1350130 ) ( * 1356790 )
NEW met2 ( 1208400 1356605 ) ( * 1356790 )
NEW met2 ( 1208400 1356605 ) ( 1209840 * )
NEW met2 ( 1209840 1356605 ) ( * 1356790 )
NEW met3 ( 708240 1356790 ) ( 1208400 * )
NEW met3 ( 1209840 1356790 ) ( 1582800 * )
NEW met2 ( 1639920 1328855 ) ( * 1355865 )
NEW met1 ( 1636080 1355865 ) ( 1639920 * )
NEW met1 ( 1636080 1355495 ) ( * 1355865 )
NEW met1 ( 1614000 1355495 ) ( 1636080 * )
NEW met2 ( 1614000 1355495 ) ( * 1355865 )
NEW met2 ( 1612560 1355865 ) ( 1614000 * )
NEW met2 ( 1612560 1355310 ) ( * 1355865 )
NEW met2 ( 1612080 1355310 ) ( 1612560 * )
NEW met3 ( 1597680 1355310 ) ( 1612080 * )
NEW met2 ( 1597680 1350130 ) ( * 1355310 )
NEW met3 ( 1582800 1350130 ) ( 1597680 * )
NEW met1 ( 1639920 1328855 ) ( 1742640 * )
NEW met2 ( 365040 1356790 ) via2_FR
NEW met1 ( 1742640 1328855 ) M1M2_PR
NEW met1 ( 1742640 1324415 ) M1M2_PR
NEW met1 ( 1912080 1324415 ) M1M2_PR
NEW met2 ( 705840 1356790 ) via2_FR
NEW met2 ( 708240 1356790 ) via2_FR
NEW met2 ( 1582800 1350130 ) via2_FR
NEW met2 ( 1582800 1356790 ) via2_FR
NEW met2 ( 1208400 1356790 ) via2_FR
NEW met2 ( 1209840 1356790 ) via2_FR
NEW met1 ( 1639920 1328855 ) M1M2_PR
NEW met1 ( 1639920 1355865 ) M1M2_PR
NEW met1 ( 1614000 1355495 ) M1M2_PR
NEW met2 ( 1612080 1355310 ) via2_FR
NEW met2 ( 1597680 1355310 ) via2_FR
NEW met2 ( 1597680 1350130 ) via2_FR ;
- mprj_adr_o_user\[10\] ( mprj wbs_adr_i[10] ) ( mgmt_buffers mprj_adr_o_user[10] ) + USE SIGNAL
+ ROUTED met2 ( 567600 1356605 ) ( * 1389350 0 )
NEW met1 ( 976560 1356605 ) ( * 1356975 )
NEW met2 ( 1965840 1325710 0 ) ( * 1367150 )
NEW met1 ( 567600 1356605 ) ( 976560 * )
NEW met1 ( 976560 1356975 ) ( 1059600 * )
NEW met3 ( 1965840 1367150 ) ( 2047200 * )
NEW met2 ( 1059600 1233395 ) ( * 1356975 )
NEW met1 ( 1136160 1233395 ) ( * 1233765 )
NEW met1 ( 1059600 1233395 ) ( 1136160 * )
NEW met2 ( 2046000 1229695 ) ( * 1233950 )
NEW met3 ( 2046000 1233950 ) ( 2046240 * )
NEW met4 ( 2046240 1233950 ) ( 2047200 * )
NEW met4 ( 2047200 1233950 ) ( * 1367150 )
NEW met2 ( 1925520 1229695 ) ( * 1233765 )
NEW met1 ( 1136160 1233765 ) ( 1925520 * )
NEW met1 ( 1925520 1229695 ) ( 2046000 * )
NEW met1 ( 567600 1356605 ) M1M2_PR
NEW met2 ( 1965840 1367150 ) via2_FR
NEW met1 ( 1059600 1233395 ) M1M2_PR
NEW met1 ( 1059600 1356975 ) M1M2_PR
NEW met3 ( 2047200 1367150 ) M3M4_PR_M
NEW met1 ( 2046000 1229695 ) M1M2_PR
NEW met2 ( 2046000 1233950 ) via2_FR
NEW met3 ( 2046240 1233950 ) M3M4_PR_M
NEW met1 ( 1925520 1233765 ) M1M2_PR
NEW met1 ( 1925520 1229695 ) M1M2_PR
NEW met3 ( 2046000 1233950 ) RECT ( -380 -150 0 150 ) ;
- mprj_adr_o_user\[11\] ( mprj wbs_adr_i[11] ) ( mgmt_buffers mprj_adr_o_user[11] ) + USE SIGNAL
+ ROUTED met2 ( 584880 1356235 ) ( * 1389350 0 )
NEW met2 ( 784560 1356235 ) ( * 1357345 )
NEW met1 ( 584880 1356235 ) ( 784560 * )
NEW met3 ( 1970160 1361970 ) ( 1972320 * )
NEW met3 ( 1972320 1361970 ) ( * 1362710 )
NEW met3 ( 1972320 1362710 ) ( 2043360 * )
NEW met3 ( 2043360 1361230 ) ( * 1362710 )
NEW met2 ( 1970160 1325710 ) ( 1970640 * 0 )
NEW met2 ( 1970160 1325710 ) ( * 1361970 )
NEW met2 ( 840720 1356235 ) ( * 1357345 )
NEW met1 ( 784560 1357345 ) ( 840720 * )
NEW met3 ( 2043360 1361230 ) ( 2051040 * )
NEW met1 ( 1987920 1233395 ) ( * 1233765 )
NEW met1 ( 1987920 1233395 ) ( 1988400 * )
NEW met2 ( 1988400 1232655 ) ( * 1233395 )
NEW met1 ( 1988400 1232655 ) ( 2060400 * )
NEW met2 ( 2060400 1232655 ) ( * 1236170 )
NEW met3 ( 2051040 1236170 ) ( 2060400 * )
NEW met4 ( 2051040 1236170 ) ( * 1361230 )
NEW met1 ( 840720 1356235 ) ( 1059120 * )
NEW met2 ( 1059120 1234135 ) ( * 1356235 )
NEW met1 ( 1935120 1233765 ) ( * 1234135 )
NEW met2 ( 1935120 1228585 ) ( * 1233765 )
NEW met1 ( 1935120 1228585 ) ( 1951440 * )
NEW met2 ( 1951440 1228585 ) ( * 1233765 )
NEW met1 ( 1059120 1234135 ) ( 1935120 * )
NEW met1 ( 1951440 1233765 ) ( 1987920 * )
NEW met1 ( 584880 1356235 ) M1M2_PR
NEW met1 ( 784560 1356235 ) M1M2_PR
NEW met1 ( 784560 1357345 ) M1M2_PR
NEW met2 ( 1970160 1361970 ) via2_FR
NEW met1 ( 840720 1357345 ) M1M2_PR
NEW met1 ( 840720 1356235 ) M1M2_PR
NEW met1 ( 1059120 1234135 ) M1M2_PR
NEW met3 ( 2051040 1361230 ) M3M4_PR_M
NEW met1 ( 1988400 1233395 ) M1M2_PR
NEW met1 ( 1988400 1232655 ) M1M2_PR
NEW met1 ( 2060400 1232655 ) M1M2_PR
NEW met2 ( 2060400 1236170 ) via2_FR
NEW met3 ( 2051040 1236170 ) M3M4_PR_M
NEW met1 ( 1059120 1356235 ) M1M2_PR
NEW met1 ( 1935120 1233765 ) M1M2_PR
NEW met1 ( 1935120 1228585 ) M1M2_PR
NEW met1 ( 1951440 1228585 ) M1M2_PR
NEW met1 ( 1951440 1233765 ) M1M2_PR ;
- mprj_adr_o_user\[12\] ( mprj wbs_adr_i[12] ) ( mgmt_buffers mprj_adr_o_user[12] ) + USE SIGNAL
+ ROUTED met2 ( 602640 1355865 ) ( * 1389350 0 )
NEW met1 ( 1986480 1231915 ) ( * 1232285 )
NEW met3 ( 1975920 1357530 ) ( 2037600 * )
NEW met2 ( 1974720 1325710 0 ) ( 1975920 * )
NEW met2 ( 1975920 1325710 ) ( * 1357530 )
NEW met2 ( 2043120 1231915 ) ( * 1233950 )
NEW met3 ( 2042400 1233950 ) ( 2043120 * )
NEW met4 ( 2042400 1233950 ) ( * 1238390 )
NEW met3 ( 2037600 1238390 ) ( 2042400 * )
NEW met1 ( 1986480 1231915 ) ( 2043120 * )
NEW met4 ( 2037600 1238390 ) ( * 1357530 )
NEW met2 ( 1109040 1231915 ) ( 1109520 * )
NEW met1 ( 1109520 1231915 ) ( 1137360 * )
NEW met1 ( 1137360 1231915 ) ( * 1232285 )
NEW met1 ( 1521840 1232285 ) ( * 1232655 )
NEW met1 ( 1675440 1232285 ) ( * 1232655 )
NEW met1 ( 1521840 1232655 ) ( 1675440 * )
NEW met1 ( 1675440 1232285 ) ( 1986480 * )
NEW met2 ( 1384560 1232285 ) ( * 1233025 )
NEW met1 ( 1384560 1233025 ) ( 1385520 * )
NEW met1 ( 1385520 1232655 ) ( * 1233025 )
NEW met1 ( 1385520 1232655 ) ( 1393200 * )
NEW met1 ( 1393200 1232285 ) ( * 1232655 )
NEW met1 ( 1137360 1232285 ) ( 1384560 * )
NEW met1 ( 1393200 1232285 ) ( 1521840 * )
NEW met1 ( 1060560 1231915 ) ( 1109040 * )
NEW met2 ( 985680 1288155 ) ( * 1355865 )
NEW met1 ( 985680 1288155 ) ( 1060560 * )
NEW met1 ( 602640 1355865 ) ( 985680 * )
NEW met2 ( 1060560 1231915 ) ( * 1288155 )
NEW met1 ( 602640 1355865 ) M1M2_PR
NEW met2 ( 1975920 1357530 ) via2_FR
NEW met3 ( 2037600 1357530 ) M3M4_PR_M
NEW met1 ( 2043120 1231915 ) M1M2_PR
NEW met2 ( 2043120 1233950 ) via2_FR
NEW met3 ( 2042400 1233950 ) M3M4_PR_M
NEW met3 ( 2042400 1238390 ) M3M4_PR_M
NEW met3 ( 2037600 1238390 ) M3M4_PR_M
NEW met1 ( 1109040 1231915 ) M1M2_PR
NEW met1 ( 1109520 1231915 ) M1M2_PR
NEW met1 ( 1384560 1232285 ) M1M2_PR
NEW met1 ( 1384560 1233025 ) M1M2_PR
NEW met1 ( 1060560 1231915 ) M1M2_PR
NEW met1 ( 985680 1355865 ) M1M2_PR
NEW met1 ( 985680 1288155 ) M1M2_PR
NEW met1 ( 1060560 1288155 ) M1M2_PR ;
- mprj_adr_o_user\[13\] ( mprj wbs_adr_i[13] ) ( mgmt_buffers mprj_adr_o_user[13] ) + USE SIGNAL
+ ROUTED met1 ( 620880 1353645 ) ( 632880 * )
NEW met2 ( 620880 1353645 ) ( * 1389350 0 )
NEW met2 ( 632880 1236725 ) ( * 1353645 )
NEW met2 ( 1979280 1325710 0 ) ( * 1363450 )
NEW met1 ( 2046960 1233765 ) ( * 1236725 )
NEW met2 ( 2046960 1233765 ) ( * 1233950 )
NEW met3 ( 2046960 1233950 ) ( 2048160 * )
NEW met1 ( 632880 1236725 ) ( 2046960 * )
NEW met3 ( 1979280 1363450 ) ( 2048160 * )
NEW met4 ( 2048160 1233950 ) ( * 1363450 )
NEW met1 ( 620880 1353645 ) M1M2_PR
NEW met1 ( 632880 1353645 ) M1M2_PR
NEW met1 ( 632880 1236725 ) M1M2_PR
NEW met2 ( 1979280 1363450 ) via2_FR
NEW met1 ( 2046960 1233765 ) M1M2_PR
NEW met2 ( 2046960 1233950 ) via2_FR
NEW met3 ( 2048160 1233950 ) M3M4_PR_M
NEW met3 ( 2048160 1363450 ) M3M4_PR_M ;
- mprj_adr_o_user\[14\] ( mprj wbs_adr_i[14] ) ( mgmt_buffers mprj_adr_o_user[14] ) + USE SIGNAL
+ ROUTED met1 ( 638640 1362895 ) ( 647760 * )
NEW met2 ( 638640 1362895 ) ( * 1389350 0 )
NEW met3 ( 1984560 1356050 ) ( 2032800 * )
NEW met2 ( 1983360 1325710 0 ) ( 1984560 * )
NEW met2 ( 1984560 1325710 ) ( * 1356050 )
NEW met2 ( 647760 1236355 ) ( * 1362895 )
NEW met1 ( 2031600 1234135 ) ( * 1236355 )
NEW met1 ( 2031600 1234135 ) ( 2032080 * )
NEW met2 ( 2032080 1233950 ) ( * 1234135 )
NEW met3 ( 2032080 1233950 ) ( 2032800 * )
NEW met1 ( 647760 1236355 ) ( 2031600 * )
NEW met4 ( 2032800 1233950 ) ( * 1356050 )
NEW met1 ( 638640 1362895 ) M1M2_PR
NEW met1 ( 647760 1362895 ) M1M2_PR
NEW met2 ( 1984560 1356050 ) via2_FR
NEW met3 ( 2032800 1356050 ) M3M4_PR_M
NEW met1 ( 647760 1236355 ) M1M2_PR
NEW met1 ( 2032080 1234135 ) M1M2_PR
NEW met2 ( 2032080 1233950 ) via2_FR
NEW met3 ( 2032800 1233950 ) M3M4_PR_M ;
- mprj_adr_o_user\[15\] ( mprj wbs_adr_i[15] ) ( mgmt_buffers mprj_adr_o_user[15] ) + USE SIGNAL
+ ROUTED met1 ( 656400 1353645 ) ( 661680 * )
NEW met2 ( 656400 1353645 ) ( * 1389350 0 )
NEW met3 ( 1988880 1355310 ) ( 2033760 * )
NEW met2 ( 1987440 1325710 0 ) ( 1988880 * )
NEW met2 ( 1988880 1325710 ) ( * 1355310 )
NEW met2 ( 661680 1235615 ) ( * 1353645 )
NEW met1 ( 1993680 1234875 ) ( * 1235615 )
NEW met1 ( 1993680 1234875 ) ( 2030640 * )
NEW met1 ( 2030640 1233765 ) ( * 1234875 )
NEW met2 ( 2030640 1233765 ) ( * 1233950 )
NEW met3 ( 2030640 1233950 ) ( * 1234690 )
NEW met3 ( 2030640 1234690 ) ( 2033760 * )
NEW met1 ( 661680 1235615 ) ( 1993680 * )
NEW met4 ( 2033760 1234690 ) ( * 1355310 )
NEW met1 ( 656400 1353645 ) M1M2_PR
NEW met1 ( 661680 1353645 ) M1M2_PR
NEW met2 ( 1988880 1355310 ) via2_FR
NEW met3 ( 2033760 1355310 ) M3M4_PR_M
NEW met1 ( 661680 1235615 ) M1M2_PR
NEW met1 ( 2030640 1233765 ) M1M2_PR
NEW met2 ( 2030640 1233950 ) via2_FR
NEW met3 ( 2033760 1234690 ) M3M4_PR_M ;
- mprj_adr_o_user\[16\] ( mprj wbs_adr_i[16] ) ( mgmt_buffers mprj_adr_o_user[16] ) + USE SIGNAL
+ ROUTED met2 ( 674160 1389350 0 ) ( 676080 * )
NEW met1 ( 1994400 1235245 ) ( * 1235985 )
NEW met3 ( 2042400 1359750 ) ( * 1361970 )
NEW met2 ( 676080 1235985 ) ( * 1389350 )
NEW met1 ( 676080 1235985 ) ( 1994400 * )
NEW met3 ( 2042400 1359750 ) ( 2054880 * )
NEW met2 ( 1992240 1325710 0 ) ( * 1361970 )
NEW met3 ( 1992240 1361970 ) ( 2042400 * )
NEW met1 ( 2031120 1233765 ) ( * 1235245 )
NEW met1 ( 2031120 1233765 ) ( 2032560 * )
NEW met2 ( 2032560 1233765 ) ( 2033040 * )
NEW met1 ( 2033040 1233765 ) ( 2045040 * )
NEW met2 ( 2045040 1233765 ) ( * 1233950 )
NEW met3 ( 2045040 1233950 ) ( * 1234690 )
NEW met3 ( 2045040 1234690 ) ( 2054880 * )
NEW met1 ( 1994400 1235245 ) ( 2031120 * )
NEW met4 ( 2054880 1234690 ) ( * 1359750 )
NEW met1 ( 676080 1235985 ) M1M2_PR
NEW met3 ( 2054880 1359750 ) M3M4_PR_M
NEW met2 ( 1992240 1361970 ) via2_FR
NEW met1 ( 2032560 1233765 ) M1M2_PR
NEW met1 ( 2033040 1233765 ) M1M2_PR
NEW met1 ( 2045040 1233765 ) M1M2_PR
NEW met2 ( 2045040 1233950 ) via2_FR
NEW met3 ( 2054880 1234690 ) M3M4_PR_M ;
- mprj_adr_o_user\[17\] ( mprj wbs_adr_i[17] ) ( mgmt_buffers mprj_adr_o_user[17] ) + USE SIGNAL
+ ROUTED met1 ( 691920 1353645 ) ( 704880 * )
NEW met2 ( 691920 1353645 ) ( * 1389350 0 )
NEW met3 ( 1997520 1354570 ) ( 2034720 * )
NEW met2 ( 1996320 1325710 0 ) ( 1997520 * )
NEW met2 ( 1997520 1325710 ) ( * 1354570 )
NEW met2 ( 704880 1235245 ) ( * 1353645 )
NEW met1 ( 1990320 1233765 ) ( * 1235245 )
NEW met1 ( 1990320 1233765 ) ( 2010960 * )
NEW met2 ( 2010960 1233025 ) ( * 1233765 )
NEW met1 ( 2010960 1233025 ) ( 2034000 * )
NEW met2 ( 2034000 1233025 ) ( * 1233950 )
NEW met3 ( 2034000 1233950 ) ( 2034720 * )
NEW met1 ( 704880 1235245 ) ( 1990320 * )
NEW met4 ( 2034720 1233950 ) ( * 1354570 )
NEW met1 ( 691920 1353645 ) M1M2_PR
NEW met1 ( 704880 1353645 ) M1M2_PR
NEW met2 ( 1997520 1354570 ) via2_FR
NEW met3 ( 2034720 1354570 ) M3M4_PR_M
NEW met1 ( 704880 1235245 ) M1M2_PR
NEW met1 ( 2010960 1233765 ) M1M2_PR
NEW met1 ( 2010960 1233025 ) M1M2_PR
NEW met1 ( 2034000 1233025 ) M1M2_PR
NEW met2 ( 2034000 1233950 ) via2_FR
NEW met3 ( 2034720 1233950 ) M3M4_PR_M ;
- mprj_adr_o_user\[18\] ( mprj wbs_adr_i[18] ) ( mgmt_buffers mprj_adr_o_user[18] ) + USE SIGNAL
+ ROUTED met1 ( 710160 1365115 ) ( 719760 * )
NEW met2 ( 710160 1365115 ) ( * 1389350 0 )
NEW met3 ( 2000880 1361230 ) ( 2041440 * )
NEW met3 ( 2041440 1358270 ) ( * 1361230 )
NEW met2 ( 2000880 1325710 0 ) ( * 1361230 )
NEW met2 ( 719760 1234875 ) ( * 1365115 )
NEW met3 ( 2041440 1358270 ) ( 2055840 * )
NEW met1 ( 1988400 1233765 ) ( * 1234875 )
NEW met1 ( 1988400 1233765 ) ( 1988880 * )
NEW met1 ( 1988880 1233395 ) ( * 1233765 )
NEW met1 ( 1988880 1233395 ) ( 2044080 * )
NEW met2 ( 2044080 1233395 ) ( * 1233950 )
NEW met3 ( 2044080 1233950 ) ( 2044320 * )
NEW met4 ( 2043360 1233950 ) ( 2044320 * )
NEW met4 ( 2043360 1233950 ) ( * 1238390 )
NEW met3 ( 2043360 1238390 ) ( 2055840 * )
NEW met1 ( 719760 1234875 ) ( 1988400 * )
NEW met4 ( 2055840 1238390 ) ( * 1358270 )
NEW met1 ( 710160 1365115 ) M1M2_PR
NEW met1 ( 719760 1365115 ) M1M2_PR
NEW met2 ( 2000880 1361230 ) via2_FR
NEW met1 ( 719760 1234875 ) M1M2_PR
NEW met3 ( 2055840 1358270 ) M3M4_PR_M
NEW met1 ( 2044080 1233395 ) M1M2_PR
NEW met2 ( 2044080 1233950 ) via2_FR
NEW met3 ( 2044320 1233950 ) M3M4_PR_M
NEW met3 ( 2043360 1238390 ) M3M4_PR_M
NEW met3 ( 2055840 1238390 ) M3M4_PR_M
NEW met3 ( 2044080 1233950 ) RECT ( -380 -150 0 150 ) ;
- mprj_adr_o_user\[19\] ( mprj wbs_adr_i[19] ) ( mgmt_buffers mprj_adr_o_user[19] ) + USE SIGNAL
+ ROUTED met1 ( 727920 1353645 ) ( 733680 * )
NEW met2 ( 727920 1353645 ) ( * 1389350 0 )
NEW met3 ( 2006160 1353830 ) ( 2038560 * )
NEW met2 ( 2004960 1325710 0 ) ( 2006160 * )
NEW met2 ( 2006160 1325710 ) ( * 1353830 )
NEW met2 ( 733680 1234505 ) ( * 1353645 )
NEW met2 ( 2036400 1232285 ) ( * 1233950 )
NEW met3 ( 2036400 1233950 ) ( 2037600 * )
NEW met4 ( 2037600 1233950 ) ( * 1235430 )
NEW met4 ( 2037600 1235430 ) ( 2038560 * )
NEW met4 ( 2038560 1235430 ) ( * 1353830 )
NEW met1 ( 1940400 1233765 ) ( * 1234505 )
NEW met2 ( 1940400 1228955 ) ( * 1233765 )
NEW met1 ( 1940400 1228955 ) ( 1986960 * )
NEW met2 ( 1986960 1228955 ) ( * 1232285 )
NEW met1 ( 733680 1234505 ) ( 1940400 * )
NEW met1 ( 1986960 1232285 ) ( 2036400 * )
NEW met1 ( 727920 1353645 ) M1M2_PR
NEW met1 ( 733680 1353645 ) M1M2_PR
NEW met2 ( 2006160 1353830 ) via2_FR
NEW met3 ( 2038560 1353830 ) M3M4_PR_M
NEW met1 ( 733680 1234505 ) M1M2_PR
NEW met1 ( 2036400 1232285 ) M1M2_PR
NEW met2 ( 2036400 1233950 ) via2_FR
NEW met3 ( 2037600 1233950 ) M3M4_PR_M
NEW met1 ( 1940400 1233765 ) M1M2_PR
NEW met1 ( 1940400 1228955 ) M1M2_PR
NEW met1 ( 1986960 1228955 ) M1M2_PR
NEW met1 ( 1986960 1232285 ) M1M2_PR ;
- mprj_adr_o_user\[1\] ( mprj wbs_adr_i[1] ) ( mgmt_buffers mprj_adr_o_user[1] ) + USE SIGNAL
+ ROUTED met2 ( 389040 1354570 ) ( * 1389350 0 )
NEW met2 ( 1575600 1354570 ) ( * 1382690 )
NEW met3 ( 389040 1354570 ) ( 1575600 * )
NEW met2 ( 1866960 1382690 ) ( * 1393050 )
NEW met3 ( 1866960 1393050 ) ( 1920240 * )
NEW met3 ( 1575600 1382690 ) ( 1866960 * )
NEW met2 ( 1920240 1325710 ) ( 1920480 * 0 )
NEW met2 ( 1920240 1325710 ) ( * 1393050 )
NEW met2 ( 389040 1354570 ) via2_FR
NEW met2 ( 1575600 1354570 ) via2_FR
NEW met2 ( 1575600 1382690 ) via2_FR
NEW met2 ( 1866960 1382690 ) via2_FR
NEW met2 ( 1866960 1393050 ) via2_FR
NEW met2 ( 1920240 1393050 ) via2_FR ;
- mprj_adr_o_user\[20\] ( mprj wbs_adr_i[20] ) ( mgmt_buffers mprj_adr_o_user[20] ) + USE SIGNAL
+ ROUTED met2 ( 745680 1389350 0 ) ( 748080 * )
NEW met2 ( 748080 1228770 ) ( * 1389350 )
NEW met2 ( 2038320 1231545 ) ( * 1233950 )
NEW met3 ( 2038320 1233950 ) ( 2038560 * )
NEW met3 ( 2038560 1233950 ) ( * 1234690 )
NEW met3 ( 2038560 1234690 ) ( 2044320 * )
NEW met4 ( 2044320 1234690 ) ( * 1237095 )
NEW met3 ( 2009520 1359750 ) ( 2033520 * )
NEW met2 ( 2033520 1356050 ) ( * 1359750 )
NEW met2 ( 2009520 1325710 0 ) ( * 1359750 )
NEW met2 ( 1054320 1228770 ) ( * 1228955 )
NEW met1 ( 1054320 1228955 ) ( 1136880 * )
NEW met2 ( 1136880 1228955 ) ( * 1233950 )
NEW met3 ( 748080 1228770 ) ( 1054320 * )
NEW met4 ( 2044320 1237095 ) ( 2046240 * )
NEW met3 ( 2033520 1356050 ) ( 2046240 * )
NEW met4 ( 2046240 1237095 ) ( * 1356050 )
NEW met2 ( 1430640 1230435 ) ( * 1233950 )
NEW met1 ( 1430640 1230435 ) ( 1463280 * )
NEW met2 ( 1463280 1230435 ) ( * 1233950 )
NEW met2 ( 1333680 1232655 ) ( * 1233950 )
NEW met1 ( 1333680 1232655 ) ( 1338000 * )
NEW met2 ( 1338000 1232655 ) ( * 1233395 )
NEW met1 ( 1338000 1233395 ) ( 1343280 * )
NEW met2 ( 1343280 1233395 ) ( * 1233950 )
NEW met3 ( 1343280 1233950 ) ( 1430640 * )
NEW met2 ( 1185360 1229325 ) ( * 1233950 )
NEW met1 ( 1185360 1229325 ) ( 1263600 * )
NEW met2 ( 1263600 1229325 ) ( * 1233950 )
NEW met3 ( 1136880 1233950 ) ( 1185360 * )
NEW met3 ( 1263600 1233950 ) ( 1333680 * )
NEW met2 ( 1944720 1230435 ) ( * 1231545 )
NEW met1 ( 1937040 1230435 ) ( 1944720 * )
NEW met2 ( 1937040 1230435 ) ( * 1233950 )
NEW met3 ( 1463280 1233950 ) ( 1937040 * )
NEW met1 ( 1944720 1231545 ) ( 2038320 * )
NEW met2 ( 748080 1228770 ) via2_FR
NEW met1 ( 2038320 1231545 ) M1M2_PR
NEW met2 ( 2038320 1233950 ) via2_FR
NEW met3 ( 2044320 1234690 ) M3M4_PR_M
NEW met2 ( 2009520 1359750 ) via2_FR
NEW met2 ( 2033520 1359750 ) via2_FR
NEW met2 ( 2033520 1356050 ) via2_FR
NEW met2 ( 1054320 1228770 ) via2_FR
NEW met1 ( 1054320 1228955 ) M1M2_PR
NEW met1 ( 1136880 1228955 ) M1M2_PR
NEW met2 ( 1136880 1233950 ) via2_FR
NEW met3 ( 2046240 1356050 ) M3M4_PR_M
NEW met2 ( 1430640 1233950 ) via2_FR
NEW met1 ( 1430640 1230435 ) M1M2_PR
NEW met1 ( 1463280 1230435 ) M1M2_PR
NEW met2 ( 1463280 1233950 ) via2_FR
NEW met2 ( 1333680 1233950 ) via2_FR
NEW met1 ( 1333680 1232655 ) M1M2_PR
NEW met1 ( 1338000 1232655 ) M1M2_PR
NEW met1 ( 1338000 1233395 ) M1M2_PR
NEW met1 ( 1343280 1233395 ) M1M2_PR
NEW met2 ( 1343280 1233950 ) via2_FR
NEW met2 ( 1185360 1233950 ) via2_FR
NEW met1 ( 1185360 1229325 ) M1M2_PR
NEW met1 ( 1263600 1229325 ) M1M2_PR
NEW met2 ( 1263600 1233950 ) via2_FR
NEW met1 ( 1944720 1231545 ) M1M2_PR
NEW met1 ( 1944720 1230435 ) M1M2_PR
NEW met1 ( 1937040 1230435 ) M1M2_PR
NEW met2 ( 1937040 1233950 ) via2_FR ;
- mprj_adr_o_user\[21\] ( mprj wbs_adr_i[21] ) ( mgmt_buffers mprj_adr_o_user[21] ) + USE SIGNAL
+ ROUTED met1 ( 763440 1365855 ) ( 776880 * )
NEW met2 ( 763440 1365855 ) ( * 1389350 0 )
NEW met2 ( 776880 1227290 ) ( * 1365855 )
NEW met2 ( 2029680 1227105 ) ( * 1233950 )
NEW met3 ( 2013840 1360490 ) ( 2040480 * )
NEW met3 ( 2040480 1357530 ) ( * 1360490 )
NEW met2 ( 2013840 1325710 0 ) ( * 1360490 )
NEW met2 ( 1052880 1227290 ) ( * 1227845 )
NEW met3 ( 776880 1227290 ) ( 1052880 * )
NEW met2 ( 2062320 1227105 ) ( * 1236910 )
NEW met3 ( 2056800 1236910 ) ( 2062320 * )
NEW met1 ( 2029680 1227105 ) ( 2062320 * )
NEW met3 ( 2040480 1357530 ) ( 2056800 * )
NEW met4 ( 2056800 1236910 ) ( * 1357530 )
NEW met1 ( 1440240 1230805 ) ( * 1231175 )
NEW met1 ( 1440240 1231175 ) ( 1461360 * )
NEW met2 ( 1461360 1231175 ) ( * 1233950 )
NEW met3 ( 1461360 1233950 ) ( 1461600 * )
NEW met3 ( 1461600 1233950 ) ( * 1234690 )
NEW met2 ( 1388880 1227845 ) ( 1390320 * )
NEW met2 ( 1390320 1227845 ) ( * 1228030 )
NEW met2 ( 1390320 1228030 ) ( 1390800 * )
NEW met2 ( 1390800 1228030 ) ( * 1230805 )
NEW met1 ( 1052880 1227845 ) ( 1388880 * )
NEW met1 ( 1390800 1230805 ) ( 1440240 * )
NEW met3 ( 1942800 1233950 ) ( * 1234690 )
NEW met2 ( 1942800 1231915 ) ( * 1233950 )
NEW met1 ( 1942800 1231915 ) ( 1949040 * )
NEW met2 ( 1949040 1231915 ) ( * 1233950 )
NEW met3 ( 1461600 1234690 ) ( 1942800 * )
NEW met3 ( 1949040 1233950 ) ( 2029680 * )
NEW met2 ( 776880 1227290 ) via2_FR
NEW met1 ( 776880 1365855 ) M1M2_PR
NEW met1 ( 763440 1365855 ) M1M2_PR
NEW met2 ( 2029680 1233950 ) via2_FR
NEW met1 ( 2029680 1227105 ) M1M2_PR
NEW met2 ( 2013840 1360490 ) via2_FR
NEW met2 ( 1052880 1227290 ) via2_FR
NEW met1 ( 1052880 1227845 ) M1M2_PR
NEW met1 ( 2062320 1227105 ) M1M2_PR
NEW met2 ( 2062320 1236910 ) via2_FR
NEW met3 ( 2056800 1236910 ) M3M4_PR_M
NEW met3 ( 2056800 1357530 ) M3M4_PR_M
NEW met1 ( 1461360 1231175 ) M1M2_PR
NEW met2 ( 1461360 1233950 ) via2_FR
NEW met1 ( 1388880 1227845 ) M1M2_PR
NEW met1 ( 1390800 1230805 ) M1M2_PR
NEW met2 ( 1942800 1233950 ) via2_FR
NEW met1 ( 1942800 1231915 ) M1M2_PR
NEW met1 ( 1949040 1231915 ) M1M2_PR
NEW met2 ( 1949040 1233950 ) via2_FR ;
- mprj_adr_o_user\[22\] ( mprj wbs_adr_i[22] ) ( mgmt_buffers mprj_adr_o_user[22] ) + USE SIGNAL
+ ROUTED met1 ( 781200 1365855 ) ( 791760 * )
NEW met2 ( 781200 1365855 ) ( * 1389350 0 )
NEW met2 ( 791760 1225810 ) ( * 1365855 )
NEW met3 ( 2019600 1341990 ) ( 2029920 * )
NEW met2 ( 2018400 1325710 0 ) ( 2019600 * )
NEW met2 ( 2019600 1325710 ) ( * 1341990 )
NEW met2 ( 1132080 1225625 ) ( * 1233950 )
NEW met3 ( 1051440 1233950 ) ( 1132080 * )
NEW met2 ( 1051440 1225810 ) ( * 1233950 )
NEW met3 ( 791760 1225810 ) ( 1051440 * )
NEW met2 ( 1259760 1224145 ) ( * 1225625 )
NEW met1 ( 1132080 1225625 ) ( 1259760 * )
NEW met1 ( 1843440 1225995 ) ( * 1226365 )
NEW met1 ( 1843440 1226365 ) ( 1860720 * )
NEW met1 ( 1860720 1225625 ) ( * 1226365 )
NEW met1 ( 1791120 1226365 ) ( * 1227105 )
NEW met1 ( 1791120 1227105 ) ( 1793040 * )
NEW met2 ( 1793040 1225995 ) ( * 1227105 )
NEW met1 ( 1793040 1225995 ) ( 1843440 * )
NEW met2 ( 1599120 1224145 ) ( * 1224330 )
NEW met2 ( 1599120 1224330 ) ( 1600080 * )
NEW met2 ( 1600080 1224145 ) ( * 1224330 )
NEW met1 ( 1600080 1224145 ) ( 1654800 * )
NEW met2 ( 1654800 1224145 ) ( * 1226365 )
NEW met1 ( 1259760 1224145 ) ( 1599120 * )
NEW met1 ( 1654800 1226365 ) ( 1791120 * )
NEW met2 ( 2028720 1217670 ) ( * 1225625 )
NEW met3 ( 2028720 1217670 ) ( 2029920 * )
NEW met1 ( 1860720 1225625 ) ( 2028720 * )
NEW met4 ( 2029920 1217670 ) ( * 1341990 )
NEW met2 ( 791760 1225810 ) via2_FR
NEW met1 ( 791760 1365855 ) M1M2_PR
NEW met1 ( 781200 1365855 ) M1M2_PR
NEW met2 ( 2019600 1341990 ) via2_FR
NEW met3 ( 2029920 1341990 ) M3M4_PR_M
NEW met1 ( 1132080 1225625 ) M1M2_PR
NEW met2 ( 1132080 1233950 ) via2_FR
NEW met2 ( 1051440 1233950 ) via2_FR
NEW met2 ( 1051440 1225810 ) via2_FR
NEW met1 ( 1259760 1225625 ) M1M2_PR
NEW met1 ( 1259760 1224145 ) M1M2_PR
NEW met1 ( 1793040 1227105 ) M1M2_PR
NEW met1 ( 1793040 1225995 ) M1M2_PR
NEW met1 ( 1599120 1224145 ) M1M2_PR
NEW met1 ( 1600080 1224145 ) M1M2_PR
NEW met1 ( 1654800 1224145 ) M1M2_PR
NEW met1 ( 1654800 1226365 ) M1M2_PR
NEW met1 ( 2028720 1225625 ) M1M2_PR
NEW met2 ( 2028720 1217670 ) via2_FR
NEW met3 ( 2029920 1217670 ) M3M4_PR_M ;
- mprj_adr_o_user\[23\] ( mprj wbs_adr_i[23] ) ( mgmt_buffers mprj_adr_o_user[23] ) + USE SIGNAL
+ ROUTED met3 ( 2023920 1339770 ) ( 2027040 * )
NEW met2 ( 2022480 1325710 0 ) ( 2023920 * )
NEW met4 ( 2027040 1327005 ) ( 2028000 * )
NEW met2 ( 2023920 1325710 ) ( * 1339770 )
NEW met4 ( 2027040 1327005 ) ( * 1339770 )
NEW met4 ( 2028000 1217670 ) ( * 1327005 )
NEW met2 ( 1050480 1224885 ) ( * 1225070 )
NEW met3 ( 805680 1225070 ) ( 1050480 * )
NEW met2 ( 1260720 1223775 ) ( * 1224885 )
NEW met1 ( 1050480 1224885 ) ( 1260720 * )
NEW met2 ( 1428720 1213415 ) ( * 1223775 )
NEW met1 ( 1428720 1213415 ) ( 1457040 * )
NEW met2 ( 1457040 1213415 ) ( * 1214525 )
NEW met1 ( 1457040 1214525 ) ( 1464240 * )
NEW met2 ( 1464240 1214525 ) ( * 1223775 )
NEW met1 ( 1260720 1223775 ) ( 1428720 * )
NEW met1 ( 799440 1317385 ) ( 805680 * )
NEW met2 ( 799440 1317385 ) ( * 1389350 0 )
NEW met2 ( 805680 1225070 ) ( * 1317385 )
NEW met1 ( 1836240 1223775 ) ( * 1224515 )
NEW met1 ( 1464240 1223775 ) ( 1836240 * )
NEW met2 ( 1946640 1217670 ) ( * 1224515 )
NEW met1 ( 1836240 1224515 ) ( 1946640 * )
NEW met3 ( 1946640 1217670 ) ( 2028000 * )
NEW met2 ( 805680 1225070 ) via2_FR
NEW met3 ( 2028000 1217670 ) M3M4_PR_M
NEW met2 ( 2023920 1339770 ) via2_FR
NEW met3 ( 2027040 1339770 ) M3M4_PR_M
NEW met1 ( 1050480 1224885 ) M1M2_PR
NEW met2 ( 1050480 1225070 ) via2_FR
NEW met1 ( 1260720 1224885 ) M1M2_PR
NEW met1 ( 1260720 1223775 ) M1M2_PR
NEW met1 ( 1428720 1223775 ) M1M2_PR
NEW met1 ( 1428720 1213415 ) M1M2_PR
NEW met1 ( 1457040 1213415 ) M1M2_PR
NEW met1 ( 1457040 1214525 ) M1M2_PR
NEW met1 ( 1464240 1214525 ) M1M2_PR
NEW met1 ( 1464240 1223775 ) M1M2_PR
NEW met1 ( 805680 1317385 ) M1M2_PR
NEW met1 ( 799440 1317385 ) M1M2_PR
NEW met2 ( 1946640 1217670 ) via2_FR
NEW met1 ( 1946640 1224515 ) M1M2_PR ;
- mprj_adr_o_user\[24\] ( mprj wbs_adr_i[24] ) ( mgmt_buffers mprj_adr_o_user[24] ) + USE SIGNAL
+ ROUTED met2 ( 817200 1389350 0 ) ( 820080 * )
NEW met4 ( 1036320 1230990 ) ( * 1234690 )
NEW met3 ( 2028240 1339770 ) ( 2028960 * )
NEW met2 ( 2027040 1325710 0 ) ( 2028240 * )
NEW met2 ( 2028240 1325710 ) ( * 1339770 )
NEW met4 ( 2028960 1235430 ) ( * 1339770 )
NEW met3 ( 1133280 1233950 ) ( * 1234690 )
NEW met3 ( 1133280 1233950 ) ( 1136160 * )
NEW met3 ( 1136160 1233950 ) ( * 1234690 )
NEW met3 ( 1036320 1234690 ) ( 1133280 * )
NEW met2 ( 820080 1236170 ) ( * 1389350 )
NEW met2 ( 907440 1234135 ) ( * 1236170 )
NEW met1 ( 907440 1234135 ) ( 988080 * )
NEW met2 ( 988080 1230990 ) ( * 1234135 )
NEW met3 ( 820080 1236170 ) ( 907440 * )
NEW met3 ( 988080 1230990 ) ( 1036320 * )
NEW met3 ( 1188720 1233950 ) ( * 1234690 )
NEW met2 ( 1188720 1230435 ) ( * 1233950 )
NEW met1 ( 1188720 1230435 ) ( 1212720 * )
NEW met2 ( 1212720 1230435 ) ( * 1233950 )
NEW met3 ( 1212720 1233950 ) ( 1232160 * )
NEW met3 ( 1232160 1233950 ) ( * 1235430 )
NEW met3 ( 1136160 1234690 ) ( 1188720 * )
NEW met3 ( 1232160 1235430 ) ( 2028960 * )
NEW met3 ( 1036320 1230990 ) M3M4_PR_M
NEW met3 ( 1036320 1234690 ) M3M4_PR_M
NEW met3 ( 2028960 1235430 ) M3M4_PR_M
NEW met2 ( 2028240 1339770 ) via2_FR
NEW met3 ( 2028960 1339770 ) M3M4_PR_M
NEW met2 ( 820080 1236170 ) via2_FR
NEW met2 ( 907440 1236170 ) via2_FR
NEW met1 ( 907440 1234135 ) M1M2_PR
NEW met1 ( 988080 1234135 ) M1M2_PR
NEW met2 ( 988080 1230990 ) via2_FR
NEW met2 ( 1188720 1233950 ) via2_FR
NEW met1 ( 1188720 1230435 ) M1M2_PR
NEW met1 ( 1212720 1230435 ) M1M2_PR
NEW met2 ( 1212720 1233950 ) via2_FR ;
- mprj_adr_o_user\[25\] ( mprj wbs_adr_i[25] ) ( mgmt_buffers mprj_adr_o_user[25] ) + USE SIGNAL
+ ROUTED met1 ( 833040 1358455 ) ( 834480 * )
NEW met2 ( 833040 1358455 ) ( * 1390090 )
NEW met2 ( 833040 1390090 ) ( 834480 * 0 )
NEW met2 ( 834480 1224330 ) ( * 1358455 )
NEW met2 ( 1341360 1219335 ) ( * 1223405 )
NEW met3 ( 2040480 1324230 ) ( 2040720 * )
NEW met2 ( 2040720 1324230 ) ( * 1325895 )
NEW met1 ( 2032560 1325895 ) ( 2040720 * )
NEW met2 ( 2032560 1325710 ) ( * 1325895 )
NEW met2 ( 2031360 1325710 0 ) ( 2032560 * )
NEW met2 ( 1050480 1224145 ) ( * 1224330 )
NEW met3 ( 834480 1224330 ) ( 1050480 * )
NEW met1 ( 1259280 1223405 ) ( * 1224145 )
NEW met1 ( 1050480 1224145 ) ( 1259280 * )
NEW met1 ( 1259280 1223405 ) ( 1341360 * )
NEW met2 ( 1439760 1219335 ) ( * 1223405 )
NEW met2 ( 1439760 1223405 ) ( 1441200 * )
NEW met1 ( 1341360 1219335 ) ( 1439760 * )
NEW met2 ( 2040720 1224145 ) ( * 1233950 )
NEW met3 ( 2040480 1233950 ) ( 2040720 * )
NEW met4 ( 2040480 1233950 ) ( * 1324230 )
NEW met1 ( 1896480 1223405 ) ( * 1224145 )
NEW met1 ( 1441200 1223405 ) ( 1896480 * )
NEW met1 ( 1896480 1224145 ) ( 2040720 * )
NEW met2 ( 834480 1224330 ) via2_FR
NEW met1 ( 834480 1358455 ) M1M2_PR
NEW met1 ( 833040 1358455 ) M1M2_PR
NEW met1 ( 1341360 1223405 ) M1M2_PR
NEW met1 ( 1341360 1219335 ) M1M2_PR
NEW met3 ( 2040480 1324230 ) M3M4_PR_M
NEW met2 ( 2040720 1324230 ) via2_FR
NEW met1 ( 2040720 1325895 ) M1M2_PR
NEW met1 ( 2032560 1325895 ) M1M2_PR
NEW met1 ( 1050480 1224145 ) M1M2_PR
NEW met2 ( 1050480 1224330 ) via2_FR
NEW met1 ( 1439760 1219335 ) M1M2_PR
NEW met1 ( 1441200 1223405 ) M1M2_PR
NEW met1 ( 2040720 1224145 ) M1M2_PR
NEW met2 ( 2040720 1233950 ) via2_FR
NEW met3 ( 2040480 1233950 ) M3M4_PR_M
NEW met3 ( 2040480 1324230 ) RECT ( -380 -150 0 150 )
NEW met3 ( 2040720 1233950 ) RECT ( 0 -150 380 150 ) ;
- mprj_adr_o_user\[26\] ( mprj wbs_adr_i[26] ) ( mgmt_buffers mprj_adr_o_user[26] ) + USE SIGNAL
+ ROUTED met3 ( 2035440 1324230 ) ( 2035680 * )
NEW met2 ( 2035440 1324230 ) ( 2035920 * 0 )
NEW met1 ( 852720 1359565 ) ( 863760 * )
NEW met2 ( 852720 1359565 ) ( * 1389350 0 )
NEW met2 ( 863760 1233210 ) ( * 1359565 )
NEW met2 ( 1058160 1233210 ) ( * 1235430 )
NEW met3 ( 863760 1233210 ) ( 1058160 * )
NEW met4 ( 2035680 1236170 ) ( * 1324230 )
NEW met3 ( 1199520 1233950 ) ( * 1235430 )
NEW met3 ( 1199520 1233950 ) ( 1200240 * )
NEW met2 ( 1200240 1230065 ) ( * 1233950 )
NEW met1 ( 1200240 1230065 ) ( 1208880 * )
NEW met2 ( 1208880 1230065 ) ( * 1233950 )
NEW met3 ( 1208880 1233950 ) ( * 1234690 )
NEW met3 ( 1208880 1234690 ) ( 1231200 * )
NEW met3 ( 1231200 1234690 ) ( * 1236170 )
NEW met3 ( 1058160 1235430 ) ( 1199520 * )
NEW met3 ( 1231200 1236170 ) ( 2035680 * )
NEW met3 ( 2035680 1324230 ) M3M4_PR_M
NEW met2 ( 2035440 1324230 ) via2_FR
NEW met2 ( 863760 1233210 ) via2_FR
NEW met1 ( 863760 1359565 ) M1M2_PR
NEW met1 ( 852720 1359565 ) M1M2_PR
NEW met2 ( 1058160 1233210 ) via2_FR
NEW met2 ( 1058160 1235430 ) via2_FR
NEW met3 ( 2035680 1236170 ) M3M4_PR_M
NEW met2 ( 1200240 1233950 ) via2_FR
NEW met1 ( 1200240 1230065 ) M1M2_PR
NEW met1 ( 1208880 1230065 ) M1M2_PR
NEW met2 ( 1208880 1233950 ) via2_FR
NEW met3 ( 2035680 1324230 ) RECT ( 0 -150 380 150 ) ;
- mprj_adr_o_user\[27\] ( mprj wbs_adr_i[27] ) ( mgmt_buffers mprj_adr_o_user[27] ) + USE SIGNAL
+ ROUTED met3 ( 2036640 1324230 ) ( 2038800 * )
NEW met2 ( 2038800 1324230 ) ( 2040000 * 0 )
NEW met4 ( 2036640 1237650 ) ( * 1324230 )
NEW met3 ( 1214880 1236910 ) ( * 1237650 )
NEW met3 ( 1214880 1236910 ) ( 1215840 * )
NEW met3 ( 1215840 1236910 ) ( * 1237650 )
NEW met3 ( 1215840 1237650 ) ( 1219680 * )
NEW met3 ( 1219680 1236910 ) ( * 1237650 )
NEW met3 ( 1219680 1236910 ) ( 1220640 * )
NEW met3 ( 1220640 1236910 ) ( * 1237650 )
NEW met3 ( 877680 1237650 ) ( 1214880 * )
NEW met3 ( 1220640 1237650 ) ( 2036640 * )
NEW met1 ( 870480 1348095 ) ( 877680 * )
NEW met2 ( 870480 1348095 ) ( * 1389350 0 )
NEW met2 ( 877680 1237650 ) ( * 1348095 )
NEW met3 ( 2036640 1237650 ) M3M4_PR_M
NEW met3 ( 2036640 1324230 ) M3M4_PR_M
NEW met2 ( 2038800 1324230 ) via2_FR
NEW met2 ( 877680 1237650 ) via2_FR
NEW met1 ( 870480 1348095 ) M1M2_PR
NEW met1 ( 877680 1348095 ) M1M2_PR ;
- mprj_adr_o_user\[28\] ( mprj wbs_adr_i[28] ) ( mgmt_buffers mprj_adr_o_user[28] ) + USE SIGNAL
+ ROUTED met3 ( 1945440 1216930 ) ( * 1217670 )
NEW met3 ( 1945440 1216930 ) ( 2031840 * )
NEW met3 ( 2031840 1339770 ) ( 2043120 * )
NEW met2 ( 2043120 1325710 ) ( 2044560 * 0 )
NEW met4 ( 2031840 1216930 ) ( * 1339770 )
NEW met2 ( 2043120 1325710 ) ( * 1339770 )
NEW met1 ( 888240 1359565 ) ( 892080 * )
NEW met2 ( 888240 1359565 ) ( * 1389350 0 )
NEW met2 ( 892080 1217670 ) ( * 1359565 )
NEW met3 ( 892080 1217670 ) ( 1945440 * )
NEW met3 ( 2031840 1216930 ) M3M4_PR_M
NEW met3 ( 2031840 1339770 ) M3M4_PR_M
NEW met2 ( 2043120 1339770 ) via2_FR
NEW met2 ( 892080 1217670 ) via2_FR
NEW met1 ( 892080 1359565 ) M1M2_PR
NEW met1 ( 888240 1359565 ) M1M2_PR ;
- mprj_adr_o_user\[29\] ( mprj wbs_adr_i[29] ) ( mgmt_buffers mprj_adr_o_user[29] ) + USE SIGNAL
+ ROUTED met3 ( 2049360 1324230 ) ( 2052000 * )
NEW met2 ( 2048880 1324230 0 ) ( 2049360 * )
NEW met4 ( 2052000 1236910 ) ( * 1324230 )
NEW met2 ( 906480 1236910 ) ( * 1389350 0 )
NEW met3 ( 1213920 1236170 ) ( * 1236910 )
NEW met3 ( 1213920 1236170 ) ( 1216800 * )
NEW met3 ( 1216800 1236170 ) ( * 1236910 )
NEW met3 ( 1216800 1236910 ) ( 1218720 * )
NEW met3 ( 1218720 1236170 ) ( * 1236910 )
NEW met3 ( 1218720 1236170 ) ( 1221600 * )
NEW met3 ( 1221600 1236170 ) ( * 1236910 )
NEW met3 ( 906480 1236910 ) ( 1213920 * )
NEW met3 ( 1221600 1236910 ) ( 2052000 * )
NEW met3 ( 2052000 1236910 ) M3M4_PR_M
NEW met3 ( 2052000 1324230 ) M3M4_PR_M
NEW met2 ( 2049360 1324230 ) via2_FR
NEW met2 ( 906480 1236910 ) via2_FR ;
- mprj_adr_o_user\[2\] ( mprj wbs_adr_i[2] ) ( mgmt_buffers mprj_adr_o_user[2] ) + USE SIGNAL
+ ROUTED met2 ( 413040 1356050 ) ( * 1389350 0 )
NEW met2 ( 1984080 1230805 ) ( * 1232655 )
NEW met2 ( 1978320 1363450 ) ( * 1364930 )
NEW met3 ( 1978320 1364930 ) ( 2041440 * )
NEW met3 ( 413040 1356050 ) ( 1058640 * )
NEW met3 ( 1926960 1363450 ) ( 1978320 * )
NEW met2 ( 1926960 1325710 0 ) ( * 1363450 )
NEW met2 ( 2031120 1217670 ) ( * 1230805 )
NEW met3 ( 2031120 1217670 ) ( 2041440 * )
NEW met1 ( 1984080 1230805 ) ( 2031120 * )
NEW met4 ( 2041440 1217670 ) ( * 1364930 )
NEW met2 ( 1134960 1228585 ) ( * 1232285 )
NEW met1 ( 1134960 1228585 ) ( 1160880 * )
NEW met2 ( 1160880 1228585 ) ( * 1232655 )
NEW met1 ( 1521360 1232655 ) ( * 1233025 )
NEW met1 ( 1521360 1233025 ) ( 1540560 * )
NEW met2 ( 1540560 1231545 ) ( * 1233025 )
NEW met1 ( 1648080 1231175 ) ( * 1231545 )
NEW met1 ( 1648080 1231175 ) ( 1674000 * )
NEW met2 ( 1674000 1230250 ) ( * 1231175 )
NEW met2 ( 1674000 1230250 ) ( 1676880 * )
NEW met2 ( 1676880 1230250 ) ( * 1232655 )
NEW met1 ( 1540560 1231545 ) ( 1648080 * )
NEW met1 ( 1676880 1232655 ) ( 1984080 * )
NEW met2 ( 1333200 1230435 ) ( * 1232655 )
NEW met1 ( 1333200 1230435 ) ( 1393200 * )
NEW met2 ( 1393200 1230435 ) ( * 1232655 )
NEW met2 ( 1393200 1232655 ) ( 1393680 * )
NEW met1 ( 1160880 1232655 ) ( 1333200 * )
NEW met1 ( 1393680 1232655 ) ( 1521360 * )
NEW met2 ( 1058640 1232285 ) ( * 1356050 )
NEW met1 ( 1058640 1232285 ) ( 1134960 * )
NEW met2 ( 413040 1356050 ) via2_FR
NEW met1 ( 1984080 1232655 ) M1M2_PR
NEW met1 ( 1984080 1230805 ) M1M2_PR
NEW met2 ( 1978320 1363450 ) via2_FR
NEW met2 ( 1978320 1364930 ) via2_FR
NEW met3 ( 2041440 1364930 ) M3M4_PR_M
NEW met2 ( 1058640 1356050 ) via2_FR
NEW met2 ( 1926960 1363450 ) via2_FR
NEW met1 ( 2031120 1230805 ) M1M2_PR
NEW met2 ( 2031120 1217670 ) via2_FR
NEW met3 ( 2041440 1217670 ) M3M4_PR_M
NEW met1 ( 1134960 1232285 ) M1M2_PR
NEW met1 ( 1134960 1228585 ) M1M2_PR
NEW met1 ( 1160880 1228585 ) M1M2_PR
NEW met1 ( 1160880 1232655 ) M1M2_PR
NEW met1 ( 1540560 1233025 ) M1M2_PR
NEW met1 ( 1540560 1231545 ) M1M2_PR
NEW met1 ( 1674000 1231175 ) M1M2_PR
NEW met1 ( 1676880 1232655 ) M1M2_PR
NEW met1 ( 1333200 1232655 ) M1M2_PR
NEW met1 ( 1333200 1230435 ) M1M2_PR
NEW met1 ( 1393200 1230435 ) M1M2_PR
NEW met1 ( 1393680 1232655 ) M1M2_PR
NEW met1 ( 1058640 1232285 ) M1M2_PR ;
- mprj_adr_o_user\[30\] ( mprj wbs_adr_i[30] ) ( mgmt_buffers mprj_adr_o_user[30] ) + USE SIGNAL
+ ROUTED met2 ( 986160 1230250 ) ( * 1236170 )
NEW met2 ( 1542480 1230805 ) ( * 1233395 )
NEW met3 ( 935280 1230250 ) ( 986160 * )
NEW met1 ( 923760 1355125 ) ( 935280 * )
NEW met2 ( 923760 1355125 ) ( * 1389350 0 )
NEW met2 ( 935280 1230250 ) ( * 1355125 )
NEW met2 ( 1440240 1233395 ) ( 1440720 * )
NEW met2 ( 1440720 1230805 ) ( * 1233395 )
NEW met1 ( 1440720 1230805 ) ( 1542480 * )
NEW met1 ( 1845360 1233025 ) ( * 1233395 )
NEW met3 ( 2050080 1323490 ) ( 2052960 * )
NEW met3 ( 2052960 1323490 ) ( * 1324230 )
NEW met3 ( 2052960 1324230 ) ( 2053200 * )
NEW met2 ( 2053200 1324230 ) ( 2053440 * 0 )
NEW met2 ( 1750800 1230435 ) ( * 1233395 )
NEW met1 ( 1750800 1230435 ) ( 1766640 * )
NEW met2 ( 1766640 1230435 ) ( * 1233025 )
NEW met1 ( 1766640 1233025 ) ( 1813680 * )
NEW met1 ( 1813680 1233025 ) ( * 1233395 )
NEW met1 ( 1542480 1233395 ) ( 1750800 * )
NEW met1 ( 1813680 1233395 ) ( 1845360 * )
NEW met2 ( 1386960 1231175 ) ( * 1233025 )
NEW met1 ( 1386960 1233025 ) ( 1393200 * )
NEW met2 ( 1393200 1233025 ) ( 1394160 * )
NEW met2 ( 1394160 1233025 ) ( * 1233395 )
NEW met1 ( 1394160 1233395 ) ( 1440240 * )
NEW met2 ( 1202640 1231175 ) ( * 1233950 )
NEW met3 ( 1202400 1233950 ) ( 1202640 * )
NEW met3 ( 1202400 1233950 ) ( * 1236170 )
NEW met3 ( 986160 1236170 ) ( 1202400 * )
NEW met1 ( 1202640 1231175 ) ( 1386960 * )
NEW met2 ( 2010480 1228585 ) ( * 1233025 )
NEW met1 ( 2010480 1228585 ) ( 2035440 * )
NEW met2 ( 2035440 1228585 ) ( * 1233950 )
NEW met3 ( 2035440 1233950 ) ( * 1234690 )
NEW met3 ( 2035440 1234690 ) ( 2036640 * )
NEW met4 ( 2036640 1234690 ) ( * 1236170 )
NEW met3 ( 2036640 1236170 ) ( 2050080 * )
NEW met1 ( 1845360 1233025 ) ( 2010480 * )
NEW met4 ( 2050080 1236170 ) ( * 1323490 )
NEW met2 ( 986160 1230250 ) via2_FR
NEW met2 ( 986160 1236170 ) via2_FR
NEW met1 ( 1542480 1230805 ) M1M2_PR
NEW met1 ( 1542480 1233395 ) M1M2_PR
NEW met2 ( 935280 1230250 ) via2_FR
NEW met1 ( 935280 1355125 ) M1M2_PR
NEW met1 ( 923760 1355125 ) M1M2_PR
NEW met1 ( 1440240 1233395 ) M1M2_PR
NEW met1 ( 1440720 1230805 ) M1M2_PR
NEW met3 ( 2050080 1323490 ) M3M4_PR_M
NEW met2 ( 2053200 1324230 ) via2_FR
NEW met1 ( 1750800 1233395 ) M1M2_PR
NEW met1 ( 1750800 1230435 ) M1M2_PR
NEW met1 ( 1766640 1230435 ) M1M2_PR
NEW met1 ( 1766640 1233025 ) M1M2_PR
NEW met1 ( 1386960 1231175 ) M1M2_PR
NEW met1 ( 1386960 1233025 ) M1M2_PR
NEW met1 ( 1393200 1233025 ) M1M2_PR
NEW met1 ( 1394160 1233395 ) M1M2_PR
NEW met1 ( 1202640 1231175 ) M1M2_PR
NEW met2 ( 1202640 1233950 ) via2_FR
NEW met1 ( 2010480 1233025 ) M1M2_PR
NEW met1 ( 2010480 1228585 ) M1M2_PR
NEW met1 ( 2035440 1228585 ) M1M2_PR
NEW met2 ( 2035440 1233950 ) via2_FR
NEW met3 ( 2036640 1234690 ) M3M4_PR_M
NEW met3 ( 2036640 1236170 ) M3M4_PR_M
NEW met3 ( 2050080 1236170 ) M3M4_PR_M ;
- mprj_adr_o_user\[31\] ( mprj wbs_adr_i[31] ) ( mgmt_buffers mprj_adr_o_user[31] ) + USE SIGNAL
+ ROUTED met1 ( 942000 1355495 ) ( 950160 * )
NEW met2 ( 942000 1355495 ) ( * 1389350 0 )
NEW met2 ( 1189200 1231175 ) ( * 1233395 )
NEW met2 ( 1947600 1233395 ) ( * 1233950 )
NEW met3 ( 1947600 1233950 ) ( 1948320 * )
NEW met3 ( 1948320 1233950 ) ( * 1234690 )
NEW met3 ( 1948320 1234690 ) ( 2029920 * )
NEW met3 ( 2029920 1234690 ) ( * 1235430 )
NEW met2 ( 950160 1235430 ) ( * 1355495 )
NEW met1 ( 1440720 1233025 ) ( * 1233395 )
NEW met2 ( 1674480 1230990 ) ( * 1233025 )
NEW met2 ( 1674480 1230990 ) ( 1675920 * )
NEW met2 ( 1675920 1230990 ) ( * 1231545 )
NEW met2 ( 1844880 1233025 ) ( * 1233210 )
NEW met2 ( 1844880 1233210 ) ( 1845840 * )
NEW met2 ( 1845840 1233210 ) ( * 1233395 )
NEW met1 ( 1845840 1233395 ) ( 1947600 * )
NEW met3 ( 2029920 1235430 ) ( 2052960 * )
NEW met3 ( 2052960 1322750 ) ( 2060400 * )
NEW met2 ( 2060400 1322750 ) ( * 1325895 )
NEW met1 ( 2058960 1325895 ) ( 2060400 * )
NEW met2 ( 2058960 1325710 ) ( * 1325895 )
NEW met2 ( 2057520 1325710 0 ) ( 2058960 * )
NEW met4 ( 2052960 1235430 ) ( * 1322750 )
NEW met1 ( 1542000 1233025 ) ( * 1233395 )
NEW met1 ( 1440720 1233395 ) ( 1542000 * )
NEW met1 ( 1542000 1233025 ) ( 1674480 * )
NEW met2 ( 1761840 1231545 ) ( * 1233395 )
NEW met1 ( 1761840 1233395 ) ( 1813200 * )
NEW met2 ( 1813200 1231915 ) ( * 1233395 )
NEW met2 ( 1813200 1231915 ) ( 1814160 * )
NEW met2 ( 1814160 1231915 ) ( * 1233025 )
NEW met1 ( 1675920 1231545 ) ( 1761840 * )
NEW met1 ( 1814160 1233025 ) ( 1844880 * )
NEW met2 ( 1337520 1231915 ) ( * 1233395 )
NEW met2 ( 1337520 1231915 ) ( 1339440 * )
NEW met2 ( 1339440 1230805 ) ( * 1231915 )
NEW met1 ( 1339440 1230805 ) ( 1346640 * )
NEW met2 ( 1346640 1230805 ) ( * 1233025 )
NEW met1 ( 1346640 1233025 ) ( 1384080 * )
NEW met1 ( 1384080 1233025 ) ( * 1233395 )
NEW met1 ( 1384080 1233395 ) ( 1393680 * )
NEW met1 ( 1393680 1233025 ) ( * 1233395 )
NEW met1 ( 1189200 1233395 ) ( 1337520 * )
NEW met1 ( 1393680 1233025 ) ( 1440720 * )
NEW met2 ( 1049520 1231175 ) ( * 1235430 )
NEW met3 ( 950160 1235430 ) ( 1049520 * )
NEW met1 ( 1049520 1231175 ) ( 1189200 * )
NEW met2 ( 950160 1235430 ) via2_FR
NEW met1 ( 950160 1355495 ) M1M2_PR
NEW met1 ( 942000 1355495 ) M1M2_PR
NEW met1 ( 1189200 1231175 ) M1M2_PR
NEW met1 ( 1189200 1233395 ) M1M2_PR
NEW met1 ( 1947600 1233395 ) M1M2_PR
NEW met2 ( 1947600 1233950 ) via2_FR
NEW met1 ( 1674480 1233025 ) M1M2_PR
NEW met1 ( 1675920 1231545 ) M1M2_PR
NEW met1 ( 1844880 1233025 ) M1M2_PR
NEW met1 ( 1845840 1233395 ) M1M2_PR
NEW met3 ( 2052960 1235430 ) M3M4_PR_M
NEW met3 ( 2052960 1322750 ) M3M4_PR_M
NEW met2 ( 2060400 1322750 ) via2_FR
NEW met1 ( 2060400 1325895 ) M1M2_PR
NEW met1 ( 2058960 1325895 ) M1M2_PR
NEW met1 ( 1761840 1231545 ) M1M2_PR
NEW met1 ( 1761840 1233395 ) M1M2_PR
NEW met1 ( 1813200 1233395 ) M1M2_PR
NEW met1 ( 1814160 1233025 ) M1M2_PR
NEW met1 ( 1337520 1233395 ) M1M2_PR
NEW met1 ( 1339440 1230805 ) M1M2_PR
NEW met1 ( 1346640 1230805 ) M1M2_PR
NEW met1 ( 1346640 1233025 ) M1M2_PR
NEW met1 ( 1049520 1231175 ) M1M2_PR
NEW met2 ( 1049520 1235430 ) via2_FR ;
- mprj_adr_o_user\[3\] ( mprj wbs_adr_i[3] ) ( mgmt_buffers mprj_adr_o_user[3] ) + USE SIGNAL
+ ROUTED met2 ( 436080 1355310 ) ( * 1389350 0 )
NEW met3 ( 436080 1355310 ) ( 1060560 * )
NEW met2 ( 1490160 1231915 ) ( * 1233025 )
NEW met2 ( 1893360 1231175 ) ( * 1231915 )
NEW met2 ( 2061360 1231175 ) ( * 1235430 )
NEW met3 ( 2053920 1235430 ) ( 2061360 * )
NEW met1 ( 1893360 1231175 ) ( 2061360 * )
NEW met3 ( 1933200 1364190 ) ( 2052960 * )
NEW met2 ( 1060560 1288710 ) ( 1061040 * )
NEW met2 ( 1060560 1288710 ) ( * 1355310 )
NEW met2 ( 1933200 1325710 0 ) ( * 1364190 )
NEW met4 ( 2052960 1323675 ) ( 2053920 * )
NEW met4 ( 2053920 1323490 ) ( * 1323675 )
NEW met3 ( 2053920 1323490 ) ( 2057760 * )
NEW met4 ( 2057760 1239130 ) ( * 1323490 )
NEW met3 ( 2053920 1239130 ) ( 2057760 * )
NEW met4 ( 2052960 1323675 ) ( * 1364190 )
NEW met4 ( 2053920 1235430 ) ( * 1239130 )
NEW met1 ( 1158960 1232655 ) ( * 1233025 )
NEW met2 ( 1512240 1231915 ) ( * 1233025 )
NEW met1 ( 1512240 1231915 ) ( 1562640 * )
NEW met1 ( 1562640 1231915 ) ( * 1232285 )
NEW met1 ( 1490160 1233025 ) ( 1512240 * )
NEW met2 ( 1766160 1231915 ) ( * 1233025 )
NEW met1 ( 1766160 1231915 ) ( 1893360 * )
NEW met2 ( 1674960 1232285 ) ( * 1233025 )
NEW met1 ( 1562640 1232285 ) ( 1674960 * )
NEW met1 ( 1674960 1233025 ) ( 1766160 * )
NEW met1 ( 1346160 1233025 ) ( * 1233395 )
NEW met1 ( 1346160 1233395 ) ( 1383600 * )
NEW met2 ( 1383600 1232655 ) ( * 1233395 )
NEW met1 ( 1383600 1232655 ) ( 1385040 * )
NEW met1 ( 1385040 1232285 ) ( * 1232655 )
NEW met1 ( 1385040 1232285 ) ( 1390320 * )
NEW met1 ( 1390320 1231915 ) ( * 1232285 )
NEW met1 ( 1158960 1233025 ) ( 1346160 * )
NEW met1 ( 1390320 1231915 ) ( 1490160 * )
NEW met2 ( 1061040 1232655 ) ( * 1288710 )
NEW met1 ( 1061040 1232655 ) ( 1158960 * )
NEW met2 ( 436080 1355310 ) via2_FR
NEW met2 ( 1060560 1355310 ) via2_FR
NEW met1 ( 1490160 1231915 ) M1M2_PR
NEW met1 ( 1490160 1233025 ) M1M2_PR
NEW met1 ( 1893360 1231915 ) M1M2_PR
NEW met1 ( 1893360 1231175 ) M1M2_PR
NEW met2 ( 1933200 1364190 ) via2_FR
NEW met1 ( 2061360 1231175 ) M1M2_PR
NEW met2 ( 2061360 1235430 ) via2_FR
NEW met3 ( 2053920 1235430 ) M3M4_PR_M
NEW met3 ( 2052960 1364190 ) M3M4_PR_M
NEW met3 ( 2053920 1323490 ) M3M4_PR_M
NEW met3 ( 2057760 1323490 ) M3M4_PR_M
NEW met3 ( 2057760 1239130 ) M3M4_PR_M
NEW met3 ( 2053920 1239130 ) M3M4_PR_M
NEW met1 ( 1512240 1233025 ) M1M2_PR
NEW met1 ( 1512240 1231915 ) M1M2_PR
NEW met1 ( 1766160 1233025 ) M1M2_PR
NEW met1 ( 1766160 1231915 ) M1M2_PR
NEW met1 ( 1674960 1232285 ) M1M2_PR
NEW met1 ( 1674960 1233025 ) M1M2_PR
NEW met1 ( 1383600 1233395 ) M1M2_PR
NEW met1 ( 1383600 1232655 ) M1M2_PR
NEW met1 ( 1061040 1232655 ) M1M2_PR ;
- mprj_adr_o_user\[4\] ( mprj wbs_adr_i[4] ) ( mgmt_buffers mprj_adr_o_user[4] ) + USE SIGNAL
+ ROUTED met2 ( 460080 1367335 ) ( * 1389350 0 )
NEW met2 ( 953040 1355495 ) ( * 1367335 )
NEW met3 ( 1022640 1392310 ) ( 1022880 * )
NEW met3 ( 1022880 1392310 ) ( * 1393050 )
NEW met1 ( 460080 1367335 ) ( 953040 * )
NEW met2 ( 1939680 1325710 0 ) ( * 1327190 )
NEW met2 ( 1939440 1327190 ) ( 1939680 * )
NEW met1 ( 953040 1355495 ) ( 1022640 * )
NEW met2 ( 1022640 1355495 ) ( * 1392310 )
NEW met2 ( 1866000 1392495 ) ( * 1393050 )
NEW met1 ( 1866000 1392495 ) ( 1938960 * )
NEW met2 ( 1938960 1381210 ) ( * 1392495 )
NEW met2 ( 1938960 1381210 ) ( 1939440 * )
NEW met3 ( 1022880 1393050 ) ( 1866000 * )
NEW met2 ( 1939440 1327190 ) ( * 1381210 )
NEW met1 ( 460080 1367335 ) M1M2_PR
NEW met1 ( 953040 1367335 ) M1M2_PR
NEW met1 ( 953040 1355495 ) M1M2_PR
NEW met2 ( 1022640 1392310 ) via2_FR
NEW met1 ( 1022640 1355495 ) M1M2_PR
NEW met2 ( 1866000 1393050 ) via2_FR
NEW met1 ( 1866000 1392495 ) M1M2_PR
NEW met1 ( 1938960 1392495 ) M1M2_PR ;
- mprj_adr_o_user\[5\] ( mprj wbs_adr_i[5] ) ( mgmt_buffers mprj_adr_o_user[5] ) + USE SIGNAL
+ ROUTED met2 ( 478320 1359195 ) ( * 1389350 0 )
NEW met3 ( 1944480 1216190 ) ( * 1216930 )
NEW met3 ( 1945680 1359010 ) ( 2039520 * )
NEW met2 ( 1944240 1325710 0 ) ( 1945680 * )
NEW met2 ( 1945680 1325710 ) ( * 1359010 )
NEW met4 ( 2038560 1216190 ) ( * 1234690 )
NEW met4 ( 2038560 1234690 ) ( 2039520 * )
NEW met3 ( 1944480 1216190 ) ( 2038560 * )
NEW met4 ( 2039520 1234690 ) ( * 1359010 )
NEW met2 ( 1280400 1216930 ) ( * 1217670 )
NEW met2 ( 1280400 1217670 ) ( 1281360 * )
NEW met2 ( 1281360 1216930 ) ( * 1217670 )
NEW met3 ( 1033200 1216930 ) ( 1280400 * )
NEW met2 ( 979440 1359195 ) ( 979920 * )
NEW met2 ( 979920 1356605 ) ( * 1359195 )
NEW met1 ( 979920 1356605 ) ( 1033200 * )
NEW met1 ( 478320 1359195 ) ( 979440 * )
NEW met2 ( 1033200 1216930 ) ( * 1356605 )
NEW met3 ( 1281360 1216930 ) ( 1944480 * )
NEW met1 ( 478320 1359195 ) M1M2_PR
NEW met2 ( 1033200 1216930 ) via2_FR
NEW met2 ( 1945680 1359010 ) via2_FR
NEW met3 ( 2039520 1359010 ) M3M4_PR_M
NEW met3 ( 2038560 1216190 ) M3M4_PR_M
NEW met2 ( 1280400 1216930 ) via2_FR
NEW met2 ( 1281360 1216930 ) via2_FR
NEW met1 ( 979440 1359195 ) M1M2_PR
NEW met1 ( 979920 1356605 ) M1M2_PR
NEW met1 ( 1033200 1356605 ) M1M2_PR ;
- mprj_adr_o_user\[6\] ( mprj wbs_adr_i[6] ) ( mgmt_buffers mprj_adr_o_user[6] ) + USE SIGNAL
+ ROUTED met2 ( 496080 1359935 ) ( * 1389350 0 )
NEW met3 ( 1948560 1358270 ) ( 2030880 * )
NEW met2 ( 1948560 1325710 0 ) ( * 1358270 )
NEW met2 ( 1281360 1216005 ) ( * 1216190 )
NEW met4 ( 2030880 1214710 ) ( * 1358270 )
NEW met2 ( 986160 1337735 ) ( * 1359935 )
NEW met1 ( 986160 1337735 ) ( 1057680 * )
NEW met1 ( 496080 1359935 ) ( 986160 * )
NEW met2 ( 1057680 1218410 ) ( * 1337735 )
NEW met2 ( 1130160 1216005 ) ( * 1218410 )
NEW met3 ( 1057680 1218410 ) ( 1130160 * )
NEW met2 ( 1282800 1216190 ) ( * 1221555 )
NEW met1 ( 1282800 1221555 ) ( 1381680 * )
NEW met2 ( 1381680 1216190 ) ( * 1221555 )
NEW met2 ( 1381680 1216190 ) ( 1382160 * )
NEW met3 ( 1281360 1216190 ) ( 1282800 * )
NEW met3 ( 1935840 1214710 ) ( * 1216190 )
NEW met3 ( 1935840 1214710 ) ( 2030880 * )
NEW met2 ( 1181040 1215265 ) ( * 1216005 )
NEW met1 ( 1181040 1215265 ) ( 1266960 * )
NEW met2 ( 1266960 1215265 ) ( * 1216005 )
NEW met1 ( 1130160 1216005 ) ( 1181040 * )
NEW met1 ( 1266960 1216005 ) ( 1281360 * )
NEW met2 ( 1582800 1214525 ) ( * 1216190 )
NEW met1 ( 1582800 1214525 ) ( 1586160 * )
NEW met1 ( 1586160 1214155 ) ( * 1214525 )
NEW met1 ( 1586160 1214155 ) ( 1655760 * )
NEW met2 ( 1655760 1214155 ) ( * 1216190 )
NEW met3 ( 1382160 1216190 ) ( 1582800 * )
NEW met3 ( 1655760 1216190 ) ( 1935840 * )
NEW met1 ( 496080 1359935 ) M1M2_PR
NEW met2 ( 1948560 1358270 ) via2_FR
NEW met3 ( 2030880 1358270 ) M3M4_PR_M
NEW met2 ( 1057680 1218410 ) via2_FR
NEW met2 ( 1281360 1216190 ) via2_FR
NEW met1 ( 1281360 1216005 ) M1M2_PR
NEW met3 ( 2030880 1214710 ) M3M4_PR_M
NEW met1 ( 986160 1359935 ) M1M2_PR
NEW met1 ( 986160 1337735 ) M1M2_PR
NEW met1 ( 1057680 1337735 ) M1M2_PR
NEW met1 ( 1130160 1216005 ) M1M2_PR
NEW met2 ( 1130160 1218410 ) via2_FR
NEW met2 ( 1282800 1216190 ) via2_FR
NEW met1 ( 1282800 1221555 ) M1M2_PR
NEW met1 ( 1381680 1221555 ) M1M2_PR
NEW met2 ( 1382160 1216190 ) via2_FR
NEW met1 ( 1181040 1216005 ) M1M2_PR
NEW met1 ( 1181040 1215265 ) M1M2_PR
NEW met1 ( 1266960 1215265 ) M1M2_PR
NEW met1 ( 1266960 1216005 ) M1M2_PR
NEW met2 ( 1582800 1216190 ) via2_FR
NEW met1 ( 1582800 1214525 ) M1M2_PR
NEW met1 ( 1655760 1214155 ) M1M2_PR
NEW met2 ( 1655760 1216190 ) via2_FR ;
- mprj_adr_o_user\[7\] ( mprj wbs_adr_i[7] ) ( mgmt_buffers mprj_adr_o_user[7] ) + USE SIGNAL
+ ROUTED met2 ( 513360 1356975 ) ( * 1389350 0 )
NEW met1 ( 936240 1356975 ) ( * 1357345 )
NEW met1 ( 936240 1357345 ) ( 986640 * )
NEW met2 ( 986640 1357345 ) ( * 1359935 )
NEW met2 ( 1953120 1325710 0 ) ( 1954320 * )
NEW met2 ( 1954320 1325710 ) ( * 1366410 )
NEW met1 ( 513360 1356975 ) ( 936240 * )
NEW met2 ( 1039440 1359010 ) ( * 1359935 )
NEW met2 ( 1039440 1359010 ) ( 1040400 * )
NEW met2 ( 1040400 1359010 ) ( * 1359195 )
NEW met1 ( 1040400 1359195 ) ( 1051440 * )
NEW met2 ( 1051440 1359195 ) ( * 1359750 )
NEW met2 ( 1051440 1359750 ) ( 1053360 * )
NEW met2 ( 1053360 1354015 ) ( * 1359750 )
NEW met1 ( 1053360 1354015 ) ( 1061040 * )
NEW met1 ( 986640 1359935 ) ( 1039440 * )
NEW met2 ( 2047440 1229325 ) ( * 1233765 )
NEW met1 ( 2047440 1233765 ) ( 2060880 * )
NEW met2 ( 2060880 1233765 ) ( * 1237650 )
NEW met3 ( 2049120 1237650 ) ( 2060880 * )
NEW met3 ( 1954320 1366410 ) ( 2049120 * )
NEW met2 ( 1061040 1289450 ) ( 1061520 * )
NEW met2 ( 1061040 1289450 ) ( * 1354015 )
NEW met2 ( 1061520 1233765 ) ( * 1289450 )
NEW met4 ( 2049120 1237650 ) ( * 1366410 )
NEW met2 ( 1135440 1230065 ) ( * 1233765 )
NEW met1 ( 1135440 1230065 ) ( 1159440 * )
NEW met2 ( 1159440 1230065 ) ( * 1231915 )
NEW met1 ( 1061520 1233765 ) ( 1135440 * )
NEW met2 ( 1540080 1230250 ) ( * 1231545 )
NEW met2 ( 1540080 1230250 ) ( 1542960 * )
NEW met2 ( 1542960 1230250 ) ( * 1230805 )
NEW met1 ( 1763760 1231545 ) ( * 1231915 )
NEW met2 ( 1610160 1230805 ) ( * 1231915 )
NEW met1 ( 1542960 1230805 ) ( 1610160 * )
NEW met1 ( 1610160 1231915 ) ( 1763760 * )
NEW met1 ( 1389840 1231545 ) ( * 1231915 )
NEW met1 ( 1159440 1231915 ) ( 1389840 * )
NEW met1 ( 1389840 1231545 ) ( 1540080 * )
NEW met2 ( 1936560 1229325 ) ( * 1231545 )
NEW met1 ( 1763760 1231545 ) ( 1936560 * )
NEW met1 ( 1936560 1229325 ) ( 2047440 * )
NEW met1 ( 513360 1356975 ) M1M2_PR
NEW met1 ( 986640 1357345 ) M1M2_PR
NEW met1 ( 986640 1359935 ) M1M2_PR
NEW met2 ( 1954320 1366410 ) via2_FR
NEW met1 ( 1061520 1233765 ) M1M2_PR
NEW met1 ( 1039440 1359935 ) M1M2_PR
NEW met1 ( 1040400 1359195 ) M1M2_PR
NEW met1 ( 1051440 1359195 ) M1M2_PR
NEW met1 ( 1053360 1354015 ) M1M2_PR
NEW met1 ( 1061040 1354015 ) M1M2_PR
NEW met1 ( 2047440 1229325 ) M1M2_PR
NEW met1 ( 2047440 1233765 ) M1M2_PR
NEW met1 ( 2060880 1233765 ) M1M2_PR
NEW met2 ( 2060880 1237650 ) via2_FR
NEW met3 ( 2049120 1237650 ) M3M4_PR_M
NEW met3 ( 2049120 1366410 ) M3M4_PR_M
NEW met1 ( 1135440 1233765 ) M1M2_PR
NEW met1 ( 1135440 1230065 ) M1M2_PR
NEW met1 ( 1159440 1230065 ) M1M2_PR
NEW met1 ( 1159440 1231915 ) M1M2_PR
NEW met1 ( 1540080 1231545 ) M1M2_PR
NEW met1 ( 1542960 1230805 ) M1M2_PR
NEW met1 ( 1610160 1230805 ) M1M2_PR
NEW met1 ( 1610160 1231915 ) M1M2_PR
NEW met1 ( 1936560 1231545 ) M1M2_PR
NEW met1 ( 1936560 1229325 ) M1M2_PR ;
- mprj_adr_o_user\[8\] ( mprj wbs_adr_i[8] ) ( mgmt_buffers mprj_adr_o_user[8] ) + USE SIGNAL
+ ROUTED met2 ( 531600 1367705 ) ( * 1389350 0 )
NEW met2 ( 993840 1367705 ) ( * 1368630 )
NEW met2 ( 1957200 1325710 0 ) ( * 1368630 )
NEW met1 ( 531600 1367705 ) ( 993840 * )
NEW met3 ( 993840 1368630 ) ( 1957200 * )
NEW met1 ( 531600 1367705 ) M1M2_PR
NEW met1 ( 993840 1367705 ) M1M2_PR
NEW met2 ( 993840 1368630 ) via2_FR
NEW met2 ( 1957200 1368630 ) via2_FR ;
- mprj_adr_o_user\[9\] ( mprj wbs_adr_i[9] ) ( mgmt_buffers mprj_adr_o_user[9] ) + USE SIGNAL
+ ROUTED met2 ( 549360 1355495 ) ( * 1389350 0 )
NEW met1 ( 941520 1355125 ) ( * 1355495 )
NEW met2 ( 1585680 1353090 ) ( * 1353645 )
NEW met2 ( 1960560 1325710 ) ( 1961760 * 0 )
NEW met2 ( 1960560 1325710 ) ( * 1353645 )
NEW met1 ( 549360 1355495 ) ( 941520 * )
NEW met1 ( 1585680 1353645 ) ( 1960560 * )
NEW met2 ( 981840 1353090 ) ( * 1355865 )
NEW met2 ( 979440 1355865 ) ( 981840 * )
NEW met2 ( 979440 1355125 ) ( * 1355865 )
NEW met1 ( 941520 1355125 ) ( 979440 * )
NEW met3 ( 981840 1353090 ) ( 1585680 * )
NEW met1 ( 549360 1355495 ) M1M2_PR
NEW met2 ( 1585680 1353090 ) via2_FR
NEW met1 ( 1585680 1353645 ) M1M2_PR
NEW met1 ( 1960560 1353645 ) M1M2_PR
NEW met2 ( 981840 1353090 ) via2_FR
NEW met1 ( 979440 1355125 ) M1M2_PR ;
- mprj_clock ( mprj wb_clk_i ) ( mgmt_buffers user_clock ) + USE SIGNAL
+ ROUTED met2 ( 329520 1364375 ) ( * 1389350 0 )
NEW met2 ( 953520 1364375 ) ( * 1367335 )
NEW met1 ( 953520 1367335 ) ( 994320 * )
NEW met1 ( 994320 1367335 ) ( * 1367705 )
NEW met1 ( 329520 1364375 ) ( 953520 * )
NEW met1 ( 994320 1367705 ) ( 1062000 * )
NEW met2 ( 1062000 1325710 0 ) ( * 1367705 )
NEW met1 ( 329520 1364375 ) M1M2_PR
NEW met1 ( 953520 1364375 ) M1M2_PR
NEW met1 ( 953520 1367335 ) M1M2_PR
NEW met1 ( 1062000 1367705 ) M1M2_PR ;
- mprj_clock2 ( mprj user_clock2 ) ( mgmt_buffers user_clock2 ) + USE SIGNAL
+ ROUTED met2 ( 3240240 1389350 ) ( 3243600 * 0 )
NEW met2 ( 3240240 1218410 ) ( * 1389350 )
NEW met3 ( 1053360 1216190 ) ( 1106160 * )
NEW met2 ( 1106160 1216190 ) ( * 1217485 )
NEW met1 ( 1106160 1217485 ) ( 1137360 * )
NEW met2 ( 1137360 1217485 ) ( * 1218410 )
NEW met1 ( 1053360 1324415 ) ( 1062480 * )
NEW met2 ( 1062480 1324230 ) ( * 1324415 )
NEW met2 ( 1062480 1324230 ) ( 1063920 * 0 )
NEW met2 ( 1053360 1216190 ) ( * 1324415 )
NEW met3 ( 1137360 1218410 ) ( 3240240 * )
NEW met2 ( 3240240 1218410 ) via2_FR
NEW met2 ( 1053360 1216190 ) via2_FR
NEW met2 ( 1106160 1216190 ) via2_FR
NEW met1 ( 1106160 1217485 ) M1M2_PR
NEW met1 ( 1137360 1217485 ) M1M2_PR
NEW met2 ( 1137360 1218410 ) via2_FR
NEW met1 ( 1053360 1324415 ) M1M2_PR
NEW met1 ( 1062480 1324415 ) M1M2_PR ;
- mprj_cyc_o_core ( soc mprj_cyc_o ) ( mgmt_buffers mprj_cyc_o_core ) + USE SIGNAL
+ ROUTED met2 ( 1897200 1174010 ) ( * 1232470 )
NEW met2 ( 1897200 1232470 ) ( 1898400 * 0 )
NEW met3 ( 1050960 1174010 ) ( 1897200 * )
NEW met3 ( 1050960 1144410 ) ( 1052640 * 0 )
NEW met2 ( 1050960 1144410 ) ( * 1174010 )
NEW met2 ( 1050960 1174010 ) via2_FR
NEW met2 ( 1897200 1174010 ) via2_FR
NEW met2 ( 1050960 1144410 ) via2_FR ;
- mprj_cyc_o_user ( mprj wbs_cyc_i ) ( mgmt_buffers mprj_cyc_o_user ) + USE SIGNAL
+ ROUTED met2 ( 374640 1361970 ) ( * 1365670 )
NEW met3 ( 374640 1365670 ) ( 474480 * )
NEW met2 ( 474480 1361970 ) ( * 1365670 )
NEW met2 ( 576240 1361970 ) ( * 1365670 )
NEW met3 ( 576240 1365670 ) ( 676560 * )
NEW met2 ( 676560 1361970 ) ( * 1365670 )
NEW met2 ( 347280 1361970 ) ( * 1389350 0 )
NEW met3 ( 347280 1361970 ) ( 374640 * )
NEW met3 ( 474480 1361970 ) ( 576240 * )
NEW met2 ( 784560 1361970 ) ( * 1365670 )
NEW met3 ( 676560 1361970 ) ( 784560 * )
NEW met2 ( 986160 1361970 ) ( * 1365670 )
NEW met3 ( 1746720 1361970 ) ( * 1362710 )
NEW met2 ( 885360 1361970 ) ( * 1365670 )
NEW met3 ( 784560 1365670 ) ( 885360 * )
NEW met3 ( 885360 1361970 ) ( 986160 * )
NEW met2 ( 1086960 1361970 ) ( * 1365670 )
NEW met3 ( 986160 1365670 ) ( 1086960 * )
NEW met3 ( 1086960 1361970 ) ( 1746720 * )
NEW met3 ( 1874400 1362710 ) ( * 1364190 )
NEW met3 ( 1874400 1364190 ) ( 1905840 * )
NEW met3 ( 1746720 1362710 ) ( 1874400 * )
NEW met2 ( 1905840 1325710 ) ( 1907040 * 0 )
NEW met2 ( 1905840 1325710 ) ( * 1364190 )
NEW met2 ( 374640 1361970 ) via2_FR
NEW met2 ( 374640 1365670 ) via2_FR
NEW met2 ( 474480 1365670 ) via2_FR
NEW met2 ( 474480 1361970 ) via2_FR
NEW met2 ( 576240 1361970 ) via2_FR
NEW met2 ( 576240 1365670 ) via2_FR
NEW met2 ( 676560 1365670 ) via2_FR
NEW met2 ( 676560 1361970 ) via2_FR
NEW met2 ( 347280 1361970 ) via2_FR
NEW met2 ( 784560 1361970 ) via2_FR
NEW met2 ( 784560 1365670 ) via2_FR
NEW met2 ( 986160 1361970 ) via2_FR
NEW met2 ( 986160 1365670 ) via2_FR
NEW met2 ( 885360 1365670 ) via2_FR
NEW met2 ( 885360 1361970 ) via2_FR
NEW met2 ( 1086960 1365670 ) via2_FR
NEW met2 ( 1086960 1361970 ) via2_FR
NEW met2 ( 1905840 1364190 ) via2_FR ;
- mprj_dat_i_core\[0\] ( soc mprj_dat_i[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met1 ( 377040 1358455 ) ( 388560 * )
NEW met2 ( 377040 1358455 ) ( * 1389350 0 )
NEW met2 ( 388560 1217115 ) ( * 1358455 )
NEW met1 ( 388560 1217115 ) ( 1023120 * )
NEW met3 ( 1023120 1141450 ) ( 1052640 * 0 )
NEW met2 ( 1023120 1141450 ) ( * 1217115 )
NEW met1 ( 377040 1358455 ) M1M2_PR
NEW met1 ( 388560 1358455 ) M1M2_PR
NEW met1 ( 388560 1217115 ) M1M2_PR
NEW met1 ( 1023120 1217115 ) M1M2_PR
NEW met2 ( 1023120 1141450 ) via2_FR ;
- mprj_dat_i_core\[10\] ( soc mprj_dat_i[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met1 ( 579120 1353645 ) ( 590160 * )
NEW met2 ( 579120 1353645 ) ( * 1389350 0 )
NEW met2 ( 590160 1220815 ) ( * 1353645 )
NEW met1 ( 590160 1220815 ) ( 1022640 * )
NEW met3 ( 1022640 1138490 ) ( 1052640 * 0 )
NEW met2 ( 1022640 1138490 ) ( * 1220815 )
NEW met1 ( 579120 1353645 ) M1M2_PR
NEW met1 ( 590160 1353645 ) M1M2_PR
NEW met1 ( 590160 1220815 ) M1M2_PR
NEW met1 ( 1022640 1220815 ) M1M2_PR
NEW met2 ( 1022640 1138490 ) via2_FR ;
- mprj_dat_i_core\[11\] ( soc mprj_dat_i[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met1 ( 596880 1353645 ) ( 604560 * )
NEW met2 ( 596880 1353645 ) ( * 1389350 0 )
NEW met2 ( 604560 1221185 ) ( * 1353645 )
NEW met1 ( 604560 1221185 ) ( 1028400 * )
NEW met3 ( 1028400 1136270 ) ( 1052640 * 0 )
NEW met2 ( 1028400 1136270 ) ( * 1221185 )
NEW met1 ( 596880 1353645 ) M1M2_PR
NEW met1 ( 604560 1353645 ) M1M2_PR
NEW met1 ( 604560 1221185 ) M1M2_PR
NEW met1 ( 1028400 1221185 ) M1M2_PR
NEW met2 ( 1028400 1136270 ) via2_FR ;
- mprj_dat_i_core\[12\] ( soc mprj_dat_i[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met1 ( 614640 1353645 ) ( 618960 * )
NEW met2 ( 614640 1353645 ) ( * 1389350 0 )
NEW met2 ( 618960 1221555 ) ( * 1353645 )
NEW met1 ( 618960 1221555 ) ( 1026000 * )
NEW met3 ( 1026000 1133310 ) ( 1052640 * 0 )
NEW met2 ( 1026000 1133310 ) ( * 1221555 )
NEW met1 ( 614640 1353645 ) M1M2_PR
NEW met1 ( 618960 1353645 ) M1M2_PR
NEW met1 ( 618960 1221555 ) M1M2_PR
NEW met1 ( 1026000 1221555 ) M1M2_PR
NEW met2 ( 1026000 1133310 ) via2_FR ;
- mprj_dat_i_core\[13\] ( soc mprj_dat_i[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 632880 1389350 0 ) ( 633360 * )
NEW met2 ( 633360 1221925 ) ( * 1389350 )
NEW met1 ( 633360 1221925 ) ( 1026960 * )
NEW met3 ( 1026960 1130350 ) ( 1052640 * 0 )
NEW met2 ( 1026960 1130350 ) ( * 1221925 )
NEW met1 ( 633360 1221925 ) M1M2_PR
NEW met1 ( 1026960 1221925 ) M1M2_PR
NEW met2 ( 1026960 1130350 ) via2_FR ;
- mprj_dat_i_core\[14\] ( soc mprj_dat_i[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met1 ( 650640 1354015 ) ( 662160 * )
NEW met2 ( 650640 1354015 ) ( * 1389350 0 )
NEW met2 ( 662160 1222295 ) ( * 1354015 )
NEW met1 ( 662160 1222295 ) ( 1027920 * )
NEW met3 ( 1027920 1128130 ) ( 1052640 * 0 )
NEW met2 ( 1027920 1128130 ) ( * 1222295 )
NEW met1 ( 650640 1354015 ) M1M2_PR
NEW met1 ( 662160 1354015 ) M1M2_PR
NEW met1 ( 1027920 1222295 ) M1M2_PR
NEW met1 ( 662160 1222295 ) M1M2_PR
NEW met2 ( 1027920 1128130 ) via2_FR ;
- mprj_dat_i_core\[15\] ( soc mprj_dat_i[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met1 ( 667920 1353645 ) ( 676560 * )
NEW met2 ( 667920 1353645 ) ( * 1389350 0 )
NEW met2 ( 676560 1222665 ) ( * 1353645 )
NEW met1 ( 676560 1222665 ) ( 1025040 * )
NEW met3 ( 1025040 1125170 ) ( 1052640 * 0 )
NEW met2 ( 1025040 1125170 ) ( * 1222665 )
NEW met1 ( 667920 1353645 ) M1M2_PR
NEW met1 ( 676560 1353645 ) M1M2_PR
NEW met1 ( 1025040 1222665 ) M1M2_PR
NEW met1 ( 676560 1222665 ) M1M2_PR
NEW met2 ( 1025040 1125170 ) via2_FR ;
- mprj_dat_i_core\[16\] ( soc mprj_dat_i[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met1 ( 686160 1353645 ) ( 690960 * )
NEW met2 ( 686160 1353645 ) ( * 1389350 0 )
NEW met2 ( 690960 1223035 ) ( * 1353645 )
NEW met1 ( 690960 1223035 ) ( 1034160 * )
NEW met3 ( 1034160 1122210 ) ( 1052640 * 0 )
NEW met2 ( 1034160 1122210 ) ( * 1223035 )
NEW met1 ( 686160 1353645 ) M1M2_PR
NEW met1 ( 690960 1353645 ) M1M2_PR
NEW met1 ( 1034160 1223035 ) M1M2_PR
NEW met1 ( 690960 1223035 ) M1M2_PR
NEW met2 ( 1034160 1122210 ) via2_FR ;
- mprj_dat_i_core\[17\] ( soc mprj_dat_i[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 703920 1354015 ) ( 705360 * )
NEW met2 ( 703920 1354015 ) ( * 1389350 0 )
NEW met2 ( 705360 1123135 ) ( * 1354015 )
NEW met2 ( 998640 1119990 ) ( * 1123135 )
NEW met3 ( 998640 1119990 ) ( 1052640 * 0 )
NEW met1 ( 705360 1123135 ) ( 998640 * )
NEW met1 ( 705360 1123135 ) M1M2_PR
NEW met1 ( 998640 1123135 ) M1M2_PR
NEW met2 ( 998640 1119990 ) via2_FR ;
- mprj_dat_i_core\[18\] ( soc mprj_dat_i[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met1 ( 722160 1354015 ) ( 734160 * )
NEW met2 ( 722160 1354015 ) ( * 1389350 0 )
NEW met2 ( 732240 1188070 ) ( 734160 * )
NEW met2 ( 732240 1122765 ) ( * 1188070 )
NEW met2 ( 734160 1188070 ) ( * 1354015 )
NEW met2 ( 1008720 1117030 ) ( * 1122765 )
NEW met3 ( 1008720 1117030 ) ( 1052640 * 0 )
NEW met1 ( 732240 1122765 ) ( 1008720 * )
NEW met1 ( 732240 1122765 ) M1M2_PR
NEW met1 ( 722160 1354015 ) M1M2_PR
NEW met1 ( 734160 1354015 ) M1M2_PR
NEW met1 ( 1008720 1122765 ) M1M2_PR
NEW met2 ( 1008720 1117030 ) via2_FR ;
- mprj_dat_i_core\[19\] ( soc mprj_dat_i[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met1 ( 739920 1365855 ) ( 748560 * )
NEW met2 ( 739920 1365855 ) ( * 1389350 0 )
NEW met2 ( 748560 1122395 ) ( * 1365855 )
NEW met2 ( 1008240 1114070 ) ( * 1122395 )
NEW met3 ( 1008240 1114070 ) ( 1052640 * 0 )
NEW met1 ( 748560 1122395 ) ( 1008240 * )
NEW met1 ( 748560 1122395 ) M1M2_PR
NEW met1 ( 748560 1365855 ) M1M2_PR
NEW met1 ( 739920 1365855 ) M1M2_PR
NEW met1 ( 1008240 1122395 ) M1M2_PR
NEW met2 ( 1008240 1114070 ) via2_FR ;
- mprj_dat_i_core\[1\] ( soc mprj_dat_i[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 401040 1389350 0 ) ( 402960 * )
NEW met2 ( 402960 1217485 ) ( * 1389350 )
NEW met1 ( 402960 1217485 ) ( 1035120 * )
NEW met3 ( 1035120 1111850 ) ( 1052640 * 0 )
NEW met2 ( 1035120 1111850 ) ( * 1217485 )
NEW met1 ( 402960 1217485 ) M1M2_PR
NEW met1 ( 1035120 1217485 ) M1M2_PR
NEW met2 ( 1035120 1111850 ) via2_FR ;
- mprj_dat_i_core\[20\] ( soc mprj_dat_i[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met1 ( 757200 1365855 ) ( 762960 * )
NEW met2 ( 757200 1365855 ) ( * 1389350 0 )
NEW met2 ( 762960 1122025 ) ( * 1365855 )
NEW met2 ( 1009200 1108890 ) ( * 1122025 )
NEW met3 ( 1009200 1108890 ) ( 1052640 * 0 )
NEW met1 ( 762960 1122025 ) ( 1009200 * )
NEW met1 ( 762960 1122025 ) M1M2_PR
NEW met1 ( 762960 1365855 ) M1M2_PR
NEW met1 ( 757200 1365855 ) M1M2_PR
NEW met1 ( 1009200 1122025 ) M1M2_PR
NEW met2 ( 1009200 1108890 ) via2_FR ;
- mprj_dat_i_core\[21\] ( soc mprj_dat_i[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 775440 1389350 0 ) ( 777360 * )
NEW met2 ( 777360 1108705 ) ( * 1389350 )
NEW met2 ( 994320 1105930 ) ( * 1108705 )
NEW met3 ( 994320 1105930 ) ( 1052640 * 0 )
NEW met1 ( 777360 1108705 ) ( 994320 * )
NEW met1 ( 777360 1108705 ) M1M2_PR
NEW met1 ( 994320 1108705 ) M1M2_PR
NEW met2 ( 994320 1105930 ) via2_FR ;
- mprj_dat_i_core\[22\] ( soc mprj_dat_i[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met1 ( 793200 1349945 ) ( 806160 * )
NEW met2 ( 793200 1349945 ) ( * 1389350 0 )
NEW met2 ( 806160 1108335 ) ( * 1349945 )
NEW met2 ( 1050960 1103340 ) ( * 1108335 )
NEW met3 ( 1050960 1103340 ) ( 1052640 * 0 )
NEW met1 ( 806160 1108335 ) ( 1050960 * )
NEW met1 ( 806160 1108335 ) M1M2_PR
NEW met1 ( 806160 1349945 ) M1M2_PR
NEW met1 ( 793200 1349945 ) M1M2_PR
NEW met1 ( 1050960 1108335 ) M1M2_PR
NEW met2 ( 1050960 1103340 ) via2_FR ;
- mprj_dat_i_core\[23\] ( soc mprj_dat_i[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met1 ( 810960 1365855 ) ( 820560 * )
NEW met2 ( 810960 1365855 ) ( * 1389350 0 )
NEW met2 ( 820560 1107965 ) ( * 1365855 )
NEW met2 ( 1008720 1100750 ) ( * 1107965 )
NEW met3 ( 1008720 1100750 ) ( 1052640 * 0 )
NEW met1 ( 820560 1107965 ) ( 1008720 * )
NEW met1 ( 820560 1107965 ) M1M2_PR
NEW met1 ( 820560 1365855 ) M1M2_PR
NEW met1 ( 810960 1365855 ) M1M2_PR
NEW met1 ( 1008720 1107965 ) M1M2_PR
NEW met2 ( 1008720 1100750 ) via2_FR ;
- mprj_dat_i_core\[24\] ( soc mprj_dat_i[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met1 ( 829200 1359565 ) ( 834960 * )
NEW met2 ( 829200 1359565 ) ( * 1389350 0 )
NEW met2 ( 834960 1107595 ) ( * 1359565 )
NEW met2 ( 1008240 1097790 ) ( * 1107595 )
NEW met3 ( 1008240 1097790 ) ( 1052640 * 0 )
NEW met1 ( 834960 1107595 ) ( 1008240 * )
NEW met1 ( 834960 1107595 ) M1M2_PR
NEW met1 ( 834960 1359565 ) M1M2_PR
NEW met1 ( 829200 1359565 ) M1M2_PR
NEW met1 ( 1008240 1107595 ) M1M2_PR
NEW met2 ( 1008240 1097790 ) via2_FR ;
- mprj_dat_i_core\[25\] ( soc mprj_dat_i[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 846480 1389350 0 ) ( 849360 * )
NEW met2 ( 849360 1107225 ) ( * 1389350 )
NEW met2 ( 980400 1097050 ) ( * 1107225 )
NEW met3 ( 980400 1097050 ) ( 1052640 * )
NEW met3 ( 1052640 1095200 0 ) ( * 1097050 )
NEW met1 ( 849360 1107225 ) ( 980400 * )
NEW met1 ( 849360 1107225 ) M1M2_PR
NEW met1 ( 980400 1107225 ) M1M2_PR
NEW met2 ( 980400 1097050 ) via2_FR ;
- mprj_dat_i_core\[26\] ( soc mprj_dat_i[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met1 ( 864720 1349205 ) ( 878160 * )
NEW met2 ( 864720 1349205 ) ( * 1389350 0 )
NEW met2 ( 878160 1094275 ) ( * 1349205 )
NEW met2 ( 1003440 1092610 ) ( * 1094275 )
NEW met3 ( 1003440 1092610 ) ( 1052640 * 0 )
NEW met1 ( 878160 1094275 ) ( 1003440 * )
NEW met1 ( 878160 1094275 ) M1M2_PR
NEW met1 ( 864720 1349205 ) M1M2_PR
NEW met1 ( 878160 1349205 ) M1M2_PR
NEW met1 ( 1003440 1094275 ) M1M2_PR
NEW met2 ( 1003440 1092610 ) via2_FR ;
- mprj_dat_i_core\[27\] ( soc mprj_dat_i[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met1 ( 882480 1358825 ) ( 892560 * )
NEW met2 ( 882480 1358825 ) ( * 1389350 0 )
NEW met2 ( 892560 1093905 ) ( * 1358825 )
NEW met2 ( 1008720 1089650 ) ( * 1093905 )
NEW met3 ( 1008720 1089650 ) ( 1052640 * 0 )
NEW met1 ( 892560 1093905 ) ( 1008720 * )
NEW met1 ( 892560 1093905 ) M1M2_PR
NEW met1 ( 892560 1358825 ) M1M2_PR
NEW met1 ( 882480 1358825 ) M1M2_PR
NEW met1 ( 1008720 1093905 ) M1M2_PR
NEW met2 ( 1008720 1089650 ) via2_FR ;
- mprj_dat_i_core\[28\] ( soc mprj_dat_i[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met1 ( 900240 1359565 ) ( 906960 * )
NEW met2 ( 900240 1359565 ) ( * 1389350 0 )
NEW met2 ( 906960 1093535 ) ( * 1359565 )
NEW met2 ( 1050960 1087060 ) ( * 1093535 )
NEW met3 ( 1050960 1087060 ) ( 1052640 * 0 )
NEW met1 ( 906960 1093535 ) ( 1050960 * )
NEW met1 ( 906960 1093535 ) M1M2_PR
NEW met1 ( 906960 1359565 ) M1M2_PR
NEW met1 ( 900240 1359565 ) M1M2_PR
NEW met1 ( 1050960 1093535 ) M1M2_PR
NEW met2 ( 1050960 1087060 ) via2_FR ;
- mprj_dat_i_core\[29\] ( soc mprj_dat_i[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 918000 1389350 0 ) ( 921360 * )
NEW met2 ( 921360 1093165 ) ( * 1389350 )
NEW met2 ( 1008240 1084470 ) ( * 1093165 )
NEW met3 ( 1008240 1084470 ) ( 1052640 * 0 )
NEW met1 ( 921360 1093165 ) ( 1008240 * )
NEW met1 ( 921360 1093165 ) M1M2_PR
NEW met1 ( 1008240 1093165 ) M1M2_PR
NEW met2 ( 1008240 1084470 ) via2_FR ;
- mprj_dat_i_core\[2\] ( soc mprj_dat_i[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met1 ( 424560 1362155 ) ( 431760 * )
NEW met2 ( 424560 1362155 ) ( * 1389350 0 )
NEW met2 ( 431760 1217855 ) ( * 1362155 )
NEW met1 ( 431760 1217855 ) ( 1033680 * )
NEW met3 ( 1033680 1081510 ) ( 1052640 * 0 )
NEW met2 ( 1033680 1081510 ) ( * 1217855 )
NEW met1 ( 424560 1362155 ) M1M2_PR
NEW met1 ( 431760 1362155 ) M1M2_PR
NEW met1 ( 431760 1217855 ) M1M2_PR
NEW met1 ( 1033680 1217855 ) M1M2_PR
NEW met2 ( 1033680 1081510 ) via2_FR ;
- mprj_dat_i_core\[30\] ( soc mprj_dat_i[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 935760 1079845 ) ( * 1389350 0 )
NEW met2 ( 1050960 1078920 ) ( * 1079845 )
NEW met3 ( 1050960 1078920 ) ( 1052640 * 0 )
NEW met1 ( 935760 1079845 ) ( 1050960 * )
NEW met1 ( 935760 1079845 ) M1M2_PR
NEW met1 ( 1050960 1079845 ) M1M2_PR
NEW met2 ( 1050960 1078920 ) via2_FR ;
- mprj_dat_i_core\[31\] ( soc mprj_dat_i[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met1 ( 954000 1364375 ) ( 964560 * )
NEW met2 ( 954000 1364375 ) ( * 1389350 0 )
NEW met2 ( 964560 1079475 ) ( * 1364375 )
NEW met2 ( 1008720 1076330 ) ( * 1079475 )
NEW met3 ( 1008720 1076330 ) ( 1052640 * 0 )
NEW met1 ( 964560 1079475 ) ( 1008720 * )
NEW met1 ( 964560 1364375 ) M1M2_PR
NEW met1 ( 954000 1364375 ) M1M2_PR
NEW met1 ( 964560 1079475 ) M1M2_PR
NEW met1 ( 1008720 1079475 ) M1M2_PR
NEW met2 ( 1008720 1076330 ) via2_FR ;
- mprj_dat_i_core\[3\] ( soc mprj_dat_i[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met1 ( 448080 1358085 ) ( 460560 * )
NEW met2 ( 448080 1358085 ) ( * 1389350 0 )
NEW met2 ( 460560 1218225 ) ( * 1358085 )
NEW met1 ( 460560 1218225 ) ( 1032240 * )
NEW met3 ( 1032240 1073370 ) ( 1052640 * 0 )
NEW met2 ( 1032240 1073370 ) ( * 1218225 )
NEW met1 ( 448080 1358085 ) M1M2_PR
NEW met1 ( 460560 1358085 ) M1M2_PR
NEW met1 ( 1032240 1218225 ) M1M2_PR
NEW met1 ( 460560 1218225 ) M1M2_PR
NEW met2 ( 1032240 1073370 ) via2_FR ;
- mprj_dat_i_core\[4\] ( soc mprj_dat_i[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 472080 1389350 0 ) ( 474960 * )
NEW met2 ( 474960 1218595 ) ( * 1389350 )
NEW met1 ( 474960 1218595 ) ( 1029840 * )
NEW met3 ( 1029840 1072630 ) ( 1052640 * )
NEW met3 ( 1052640 1070780 0 ) ( * 1072630 )
NEW met2 ( 1029840 1072630 ) ( * 1218595 )
NEW met1 ( 1029840 1218595 ) M1M2_PR
NEW met1 ( 474960 1218595 ) M1M2_PR
NEW met2 ( 1029840 1072630 ) via2_FR ;
- mprj_dat_i_core\[5\] ( soc mprj_dat_i[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met1 ( 490320 1353645 ) ( 503760 * )
NEW met2 ( 490320 1353645 ) ( * 1389350 0 )
NEW met2 ( 503760 1218965 ) ( * 1353645 )
NEW met1 ( 503760 1218965 ) ( 1031280 * )
NEW met2 ( 1031280 1117030 ) ( 1031760 * )
NEW met2 ( 1031760 1068190 ) ( * 1117030 )
NEW met3 ( 1031760 1068190 ) ( 1052640 * 0 )
NEW met2 ( 1031280 1117030 ) ( * 1218965 )
NEW met1 ( 490320 1353645 ) M1M2_PR
NEW met1 ( 503760 1353645 ) M1M2_PR
NEW met1 ( 1031280 1218965 ) M1M2_PR
NEW met1 ( 503760 1218965 ) M1M2_PR
NEW met2 ( 1031760 1068190 ) via2_FR ;
- mprj_dat_i_core\[6\] ( soc mprj_dat_i[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met1 ( 507600 1358825 ) ( 518160 * )
NEW met2 ( 507600 1358825 ) ( * 1389350 0 )
NEW met2 ( 518160 1219335 ) ( * 1358825 )
NEW met1 ( 518160 1219335 ) ( 1030800 * )
NEW met3 ( 1031760 1065230 ) ( 1052640 * 0 )
NEW met2 ( 1030320 1117030 ) ( 1030800 * )
NEW met2 ( 1030320 1067635 ) ( * 1117030 )
NEW met1 ( 1030320 1067635 ) ( 1031760 * )
NEW met2 ( 1030800 1117030 ) ( * 1219335 )
NEW met2 ( 1031760 1065230 ) ( * 1067635 )
NEW met1 ( 507600 1358825 ) M1M2_PR
NEW met1 ( 518160 1358825 ) M1M2_PR
NEW met1 ( 1030800 1219335 ) M1M2_PR
NEW met1 ( 518160 1219335 ) M1M2_PR
NEW met2 ( 1031760 1065230 ) via2_FR
NEW met1 ( 1030320 1067635 ) M1M2_PR
NEW met1 ( 1031760 1067635 ) M1M2_PR ;
- mprj_dat_i_core\[7\] ( soc mprj_dat_i[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met1 ( 525360 1362155 ) ( 532560 * )
NEW met2 ( 525360 1362155 ) ( * 1389350 0 )
NEW met2 ( 532560 1219705 ) ( * 1362155 )
NEW met1 ( 532560 1219705 ) ( 1028880 * )
NEW met3 ( 1030800 1063750 ) ( 1052640 * )
NEW met3 ( 1052640 1062640 0 ) ( * 1063750 )
NEW met1 ( 1028880 1115735 ) ( 1030800 * )
NEW met2 ( 1028880 1115735 ) ( * 1219705 )
NEW met2 ( 1030800 1063750 ) ( * 1115735 )
NEW met1 ( 525360 1362155 ) M1M2_PR
NEW met1 ( 532560 1362155 ) M1M2_PR
NEW met1 ( 1028880 1219705 ) M1M2_PR
NEW met1 ( 532560 1219705 ) M1M2_PR
NEW met2 ( 1030800 1063750 ) via2_FR
NEW met1 ( 1028880 1115735 ) M1M2_PR
NEW met1 ( 1030800 1115735 ) M1M2_PR ;
- mprj_dat_i_core\[8\] ( soc mprj_dat_i[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 543600 1389350 0 ) ( 546960 * )
NEW met2 ( 546960 1220075 ) ( * 1389350 )
NEW met1 ( 546960 1220075 ) ( 1032720 * )
NEW met3 ( 1033200 1060050 ) ( 1052640 * 0 )
NEW met1 ( 1032720 1166055 ) ( 1033200 * )
NEW met2 ( 1032720 1166055 ) ( * 1220075 )
NEW met2 ( 1033200 1060050 ) ( * 1166055 )
NEW met1 ( 546960 1220075 ) M1M2_PR
NEW met1 ( 1032720 1220075 ) M1M2_PR
NEW met2 ( 1033200 1060050 ) via2_FR
NEW met1 ( 1032720 1166055 ) M1M2_PR
NEW met1 ( 1033200 1166055 ) M1M2_PR ;
- mprj_dat_i_core\[9\] ( soc mprj_dat_i[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 561360 1220445 ) ( * 1389350 0 )
NEW met1 ( 561360 1220445 ) ( 1031760 * )
NEW met3 ( 1034640 1057090 ) ( 1052640 * 0 )
NEW met1 ( 1031760 1152735 ) ( 1034640 * )
NEW met2 ( 1031760 1152735 ) ( * 1220445 )
NEW met2 ( 1034640 1057090 ) ( * 1152735 )
NEW met1 ( 561360 1220445 ) M1M2_PR
NEW met1 ( 1031760 1220445 ) M1M2_PR
NEW met2 ( 1034640 1057090 ) via2_FR
NEW met1 ( 1031760 1152735 ) M1M2_PR
NEW met1 ( 1034640 1152735 ) M1M2_PR ;
- mprj_dat_o_core\[0\] ( soc mprj_dat_o[0] ) ( mgmt_buffers mprj_dat_o_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 1852800 1167350 0 ) ( 1854000 * )
NEW met2 ( 1854000 1167350 ) ( * 1188625 )
NEW met1 ( 1854000 1188625 ) ( 1905840 * )
NEW met2 ( 1905840 1188625 ) ( * 1232470 )
NEW met2 ( 1905840 1232470 ) ( 1907040 * 0 )
NEW met1 ( 1854000 1188625 ) M1M2_PR
NEW met1 ( 1905840 1188625 ) M1M2_PR ;
- mprj_dat_o_core\[10\] ( soc mprj_dat_o[10] ) ( mgmt_buffers mprj_dat_o_core[10] ) + USE SIGNAL
+ ROUTED met2 ( 1959600 1189735 ) ( * 1232470 0 )
NEW met2 ( 1854720 1167350 0 ) ( 1855920 * )
NEW met2 ( 1855920 1167350 ) ( * 1189735 )
NEW met1 ( 1855920 1189735 ) ( 1959600 * )
NEW met1 ( 1959600 1189735 ) M1M2_PR
NEW met1 ( 1855920 1189735 ) M1M2_PR ;
- mprj_dat_o_core\[11\] ( soc mprj_dat_o[11] ) ( mgmt_buffers mprj_dat_o_core[11] ) + USE SIGNAL
+ ROUTED met2 ( 1962480 1190105 ) ( * 1232470 )
NEW met2 ( 1962480 1232470 ) ( 1963680 * 0 )
NEW met2 ( 1856400 1167350 0 ) ( * 1190105 )
NEW met1 ( 1856400 1190105 ) ( 1962480 * )
NEW met1 ( 1962480 1190105 ) M1M2_PR
NEW met1 ( 1856400 1190105 ) M1M2_PR ;
- mprj_dat_o_core\[12\] ( soc mprj_dat_o[12] ) ( mgmt_buffers mprj_dat_o_core[12] ) + USE SIGNAL
+ ROUTED met2 ( 1968240 1190475 ) ( * 1232470 0 )
NEW met2 ( 1858320 1167350 0 ) ( * 1190475 )
NEW met1 ( 1858320 1190475 ) ( 1968240 * )
NEW met1 ( 1968240 1190475 ) M1M2_PR
NEW met1 ( 1858320 1190475 ) M1M2_PR ;
- mprj_dat_o_core\[13\] ( soc mprj_dat_o[13] ) ( mgmt_buffers mprj_dat_o_core[13] ) + USE SIGNAL
+ ROUTED met2 ( 1971120 1190845 ) ( * 1232470 )
NEW met2 ( 1971120 1232470 ) ( 1972320 * 0 )
NEW met2 ( 1860240 1167350 0 ) ( * 1190845 )
NEW met1 ( 1860240 1190845 ) ( 1971120 * )
NEW met1 ( 1971120 1190845 ) M1M2_PR
NEW met1 ( 1860240 1190845 ) M1M2_PR ;
- mprj_dat_o_core\[14\] ( soc mprj_dat_o[14] ) ( mgmt_buffers mprj_dat_o_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 1976880 1187515 ) ( * 1232470 0 )
NEW met2 ( 1861920 1167350 0 ) ( 1863120 * )
NEW met2 ( 1863120 1167350 ) ( * 1187515 )
NEW met1 ( 1863120 1187515 ) ( 1976880 * )
NEW met1 ( 1976880 1187515 ) M1M2_PR
NEW met1 ( 1863120 1187515 ) M1M2_PR ;
- mprj_dat_o_core\[15\] ( soc mprj_dat_o[15] ) ( mgmt_buffers mprj_dat_o_core[15] ) + USE SIGNAL
+ ROUTED met2 ( 1981200 1187145 ) ( * 1232470 0 )
NEW met2 ( 1863840 1167350 0 ) ( 1865040 * )
NEW met2 ( 1865040 1167350 ) ( * 1187145 )
NEW met1 ( 1865040 1187145 ) ( 1981200 * )
NEW met1 ( 1981200 1187145 ) M1M2_PR
NEW met1 ( 1865040 1187145 ) M1M2_PR ;
- mprj_dat_o_core\[16\] ( soc mprj_dat_o[16] ) ( mgmt_buffers mprj_dat_o_core[16] ) + USE SIGNAL
+ ROUTED met2 ( 1865760 1167350 0 ) ( 1866960 * )
NEW met2 ( 1866960 1167350 ) ( * 1195655 )
NEW met2 ( 1984560 1195655 ) ( * 1232470 )
NEW met2 ( 1984560 1232470 ) ( 1985760 * 0 )
NEW met1 ( 1866960 1195655 ) ( 1984560 * )
NEW met1 ( 1866960 1195655 ) M1M2_PR
NEW met1 ( 1984560 1195655 ) M1M2_PR ;
- mprj_dat_o_core\[17\] ( soc mprj_dat_o[17] ) ( mgmt_buffers mprj_dat_o_core[17] ) + USE SIGNAL
+ ROUTED met2 ( 1989840 1188255 ) ( * 1232470 0 )
NEW met2 ( 1867440 1167350 0 ) ( * 1188255 )
NEW met1 ( 1867440 1188255 ) ( 1989840 * )
NEW met1 ( 1989840 1188255 ) M1M2_PR
NEW met1 ( 1867440 1188255 ) M1M2_PR ;
- mprj_dat_o_core\[18\] ( soc mprj_dat_o[18] ) ( mgmt_buffers mprj_dat_o_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 1993200 1199725 ) ( * 1232470 )
NEW met2 ( 1993200 1232470 ) ( 1994400 * 0 )
NEW met2 ( 1869360 1167350 0 ) ( * 1195285 )
NEW met1 ( 1869360 1195285 ) ( 1920240 * )
NEW met2 ( 1920240 1195285 ) ( * 1199725 )
NEW met1 ( 1920240 1199725 ) ( 1993200 * )
NEW met1 ( 1993200 1199725 ) M1M2_PR
NEW met1 ( 1869360 1195285 ) M1M2_PR
NEW met1 ( 1920240 1195285 ) M1M2_PR
NEW met1 ( 1920240 1199725 ) M1M2_PR ;
- mprj_dat_o_core\[19\] ( soc mprj_dat_o[19] ) ( mgmt_buffers mprj_dat_o_core[19] ) + USE SIGNAL
+ ROUTED met2 ( 1998480 1187885 ) ( * 1232470 0 )
NEW met2 ( 1871280 1167350 0 ) ( * 1187885 )
NEW met1 ( 1871280 1187885 ) ( 1998480 * )
NEW met1 ( 1998480 1187885 ) M1M2_PR
NEW met1 ( 1871280 1187885 ) M1M2_PR ;
- mprj_dat_o_core\[1\] ( soc mprj_dat_o[1] ) ( mgmt_buffers mprj_dat_o_core[1] ) + USE SIGNAL
+ ROUTED met2 ( 1872960 1167350 0 ) ( 1874160 * )
NEW met2 ( 1874160 1167350 ) ( * 1198245 )
NEW met1 ( 1874160 1198245 ) ( 1913520 * )
NEW met2 ( 1913520 1198245 ) ( * 1232470 0 )
NEW met1 ( 1874160 1198245 ) M1M2_PR
NEW met1 ( 1913520 1198245 ) M1M2_PR ;
- mprj_dat_o_core\[20\] ( soc mprj_dat_o[20] ) ( mgmt_buffers mprj_dat_o_core[20] ) + USE SIGNAL
+ ROUTED met2 ( 1874880 1167350 0 ) ( 1876080 * )
NEW met2 ( 1876080 1167350 ) ( * 1197135 )
NEW met2 ( 2003280 1198615 ) ( * 1232470 0 )
NEW met2 ( 1936560 1197135 ) ( * 1198615 )
NEW met1 ( 1876080 1197135 ) ( 1936560 * )
NEW met1 ( 1936560 1198615 ) ( 2003280 * )
NEW met1 ( 1876080 1197135 ) M1M2_PR
NEW met1 ( 2003280 1198615 ) M1M2_PR
NEW met1 ( 1936560 1197135 ) M1M2_PR
NEW met1 ( 1936560 1198615 ) M1M2_PR ;
- mprj_dat_o_core\[21\] ( soc mprj_dat_o[21] ) ( mgmt_buffers mprj_dat_o_core[21] ) + USE SIGNAL
+ ROUTED met2 ( 2006160 1188995 ) ( * 1232470 )
NEW met2 ( 2006160 1232470 ) ( 2007360 * 0 )
NEW met2 ( 1876800 1167350 0 ) ( 1878000 * )
NEW met2 ( 1878000 1167350 ) ( * 1188995 )
NEW met1 ( 1878000 1188995 ) ( 2006160 * )
NEW met1 ( 2006160 1188995 ) M1M2_PR
NEW met1 ( 1878000 1188995 ) M1M2_PR ;
- mprj_dat_o_core\[22\] ( soc mprj_dat_o[22] ) ( mgmt_buffers mprj_dat_o_core[22] ) + USE SIGNAL
+ ROUTED met2 ( 2011920 1199355 ) ( * 1232470 0 )
NEW met2 ( 1878480 1167350 0 ) ( * 1199725 )
NEW met1 ( 1878480 1199725 ) ( 1919760 * )
NEW met1 ( 1919760 1199355 ) ( * 1199725 )
NEW met1 ( 1919760 1199355 ) ( 2011920 * )
NEW met1 ( 2011920 1199355 ) M1M2_PR
NEW met1 ( 1878480 1199725 ) M1M2_PR ;
- mprj_dat_o_core\[23\] ( soc mprj_dat_o[23] ) ( mgmt_buffers mprj_dat_o_core[23] ) + USE SIGNAL
+ ROUTED met2 ( 2016240 1200465 ) ( * 1232470 )
NEW met2 ( 2016000 1232470 0 ) ( 2016240 * )
NEW met2 ( 1880400 1167350 0 ) ( * 1200465 )
NEW met1 ( 1880400 1200465 ) ( 2016240 * )
NEW met1 ( 2016240 1200465 ) M1M2_PR
NEW met1 ( 1880400 1200465 ) M1M2_PR ;
- mprj_dat_o_core\[24\] ( soc mprj_dat_o[24] ) ( mgmt_buffers mprj_dat_o_core[24] ) + USE SIGNAL
+ ROUTED met2 ( 2020560 1201205 ) ( * 1232470 0 )
NEW met2 ( 1882320 1167350 0 ) ( * 1201205 )
NEW met1 ( 1882320 1201205 ) ( 2020560 * )
NEW met1 ( 2020560 1201205 ) M1M2_PR
NEW met1 ( 1882320 1201205 ) M1M2_PR ;
- mprj_dat_o_core\[25\] ( soc mprj_dat_o[25] ) ( mgmt_buffers mprj_dat_o_core[25] ) + USE SIGNAL
+ ROUTED met2 ( 2024880 1201945 ) ( * 1232470 0 )
NEW met2 ( 1884000 1167350 0 ) ( 1885200 * )
NEW met2 ( 1885200 1167350 ) ( * 1201945 )
NEW met1 ( 1885200 1201945 ) ( 2024880 * )
NEW met1 ( 2024880 1201945 ) M1M2_PR
NEW met1 ( 1885200 1201945 ) M1M2_PR ;
- mprj_dat_o_core\[26\] ( soc mprj_dat_o[26] ) ( mgmt_buffers mprj_dat_o_core[26] ) + USE SIGNAL
+ ROUTED met2 ( 2027760 1207865 ) ( * 1232470 )
NEW met2 ( 2027760 1232470 ) ( 2028960 * 0 )
NEW met2 ( 1885920 1167350 0 ) ( * 1168830 )
NEW met2 ( 1885920 1168830 ) ( 1886160 * )
NEW met2 ( 1886160 1168830 ) ( * 1208975 )
NEW met2 ( 1979760 1207865 ) ( * 1208975 )
NEW met1 ( 1886160 1208975 ) ( 1979760 * )
NEW met1 ( 1979760 1207865 ) ( 2027760 * )
NEW met1 ( 2027760 1207865 ) M1M2_PR
NEW met1 ( 1886160 1208975 ) M1M2_PR
NEW met1 ( 1979760 1208975 ) M1M2_PR
NEW met1 ( 1979760 1207865 ) M1M2_PR ;
- mprj_dat_o_core\[27\] ( soc mprj_dat_o[27] ) ( mgmt_buffers mprj_dat_o_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 2033520 1200095 ) ( * 1232470 0 )
NEW met2 ( 1887840 1167350 0 ) ( 1889040 * )
NEW met2 ( 1889040 1167350 ) ( * 1200095 )
NEW met1 ( 1889040 1200095 ) ( 2033520 * )
NEW met1 ( 2033520 1200095 ) M1M2_PR
NEW met1 ( 1889040 1200095 ) M1M2_PR ;
- mprj_dat_o_core\[28\] ( soc mprj_dat_o[28] ) ( mgmt_buffers mprj_dat_o_core[28] ) + USE SIGNAL
+ ROUTED met1 ( 1990800 1208975 ) ( * 1209345 )
NEW met1 ( 1990800 1208975 ) ( 2037840 * )
NEW met2 ( 2037840 1208975 ) ( * 1232470 0 )
NEW met2 ( 1889520 1167350 0 ) ( 1890960 * )
NEW met2 ( 1890960 1167350 ) ( * 1209345 )
NEW met1 ( 1890960 1209345 ) ( 1990800 * )
NEW met1 ( 2037840 1208975 ) M1M2_PR
NEW met1 ( 1890960 1209345 ) M1M2_PR ;
- mprj_dat_o_core\[29\] ( soc mprj_dat_o[29] ) ( mgmt_buffers mprj_dat_o_core[29] ) + USE SIGNAL
+ ROUTED met2 ( 2041680 1201575 ) ( * 1232470 )
NEW met2 ( 2041680 1232470 ) ( 2042400 * 0 )
NEW met2 ( 1891440 1167350 0 ) ( * 1201575 )
NEW met1 ( 1891440 1201575 ) ( 2041680 * )
NEW met1 ( 2041680 1201575 ) M1M2_PR
NEW met1 ( 1891440 1201575 ) M1M2_PR ;
- mprj_dat_o_core\[2\] ( soc mprj_dat_o[2] ) ( mgmt_buffers mprj_dat_o_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1893360 1167350 0 ) ( * 1199355 )
NEW met1 ( 1893360 1199355 ) ( 1919280 * )
NEW met2 ( 1919280 1199355 ) ( * 1232470 )
NEW met2 ( 1919280 1232470 ) ( 1920480 * 0 )
NEW met1 ( 1893360 1199355 ) M1M2_PR
NEW met1 ( 1919280 1199355 ) M1M2_PR ;
- mprj_dat_o_core\[30\] ( soc mprj_dat_o[30] ) ( mgmt_buffers mprj_dat_o_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 1895040 1167350 0 ) ( 1896240 * )
NEW met2 ( 1896240 1167350 ) ( * 1203795 )
NEW met2 ( 2046480 1203795 ) ( * 1232470 0 )
NEW met1 ( 1896240 1203795 ) ( 2046480 * )
NEW met1 ( 1896240 1203795 ) M1M2_PR
NEW met1 ( 2046480 1203795 ) M1M2_PR ;
- mprj_dat_o_core\[31\] ( soc mprj_dat_o[31] ) ( mgmt_buffers mprj_dat_o_core[31] ) + USE SIGNAL
+ ROUTED met2 ( 1896960 1167350 0 ) ( 1898160 * )
NEW met2 ( 1898160 1167350 ) ( * 1205275 )
NEW met2 ( 2049840 1205275 ) ( * 1232470 )
NEW met2 ( 2049840 1232470 ) ( 2051040 * 0 )
NEW met1 ( 1898160 1205275 ) ( 2049840 * )
NEW met1 ( 1898160 1205275 ) M1M2_PR
NEW met1 ( 2049840 1205275 ) M1M2_PR ;
- mprj_dat_o_core\[3\] ( soc mprj_dat_o[3] ) ( mgmt_buffers mprj_dat_o_core[3] ) + USE SIGNAL
+ ROUTED met2 ( 1898880 1167350 0 ) ( 1900080 * )
NEW met2 ( 1900080 1167350 ) ( * 1186405 )
NEW met1 ( 1900080 1186405 ) ( 1926960 * )
NEW met2 ( 1926960 1186405 ) ( * 1232470 0 )
NEW met1 ( 1900080 1186405 ) M1M2_PR
NEW met1 ( 1926960 1186405 ) M1M2_PR ;
- mprj_dat_o_core\[4\] ( soc mprj_dat_o[4] ) ( mgmt_buffers mprj_dat_o_core[4] ) + USE SIGNAL
+ ROUTED met2 ( 1900560 1167350 0 ) ( * 1196025 )
NEW met1 ( 1900560 1196025 ) ( 1933200 * )
NEW met2 ( 1933200 1196025 ) ( * 1232470 0 )
NEW met1 ( 1900560 1196025 ) M1M2_PR
NEW met1 ( 1933200 1196025 ) M1M2_PR ;
- mprj_dat_o_core\[5\] ( soc mprj_dat_o[5] ) ( mgmt_buffers mprj_dat_o_core[5] ) + USE SIGNAL
+ ROUTED met2 ( 1902480 1167350 0 ) ( * 1194915 )
NEW met1 ( 1902480 1194915 ) ( 1938000 * )
NEW met2 ( 1938000 1194915 ) ( * 1232470 0 )
NEW met1 ( 1902480 1194915 ) M1M2_PR
NEW met1 ( 1938000 1194915 ) M1M2_PR ;
- mprj_dat_o_core\[6\] ( soc mprj_dat_o[6] ) ( mgmt_buffers mprj_dat_o_core[6] ) + USE SIGNAL
+ ROUTED met2 ( 1904400 1167350 0 ) ( * 1197505 )
NEW met1 ( 1904400 1197505 ) ( 1940880 * )
NEW met2 ( 1940880 1197505 ) ( * 1232470 )
NEW met2 ( 1940880 1232470 ) ( 1942080 * 0 )
NEW met1 ( 1904400 1197505 ) M1M2_PR
NEW met1 ( 1940880 1197505 ) M1M2_PR ;
- mprj_dat_o_core\[7\] ( soc mprj_dat_o[7] ) ( mgmt_buffers mprj_dat_o_core[7] ) + USE SIGNAL
+ ROUTED met2 ( 1946160 1194545 ) ( * 1232470 0 )
NEW met2 ( 1906080 1167350 0 ) ( 1907280 * )
NEW met2 ( 1907280 1167350 ) ( * 1194545 )
NEW met1 ( 1907280 1194545 ) ( 1946160 * )
NEW met1 ( 1946160 1194545 ) M1M2_PR
NEW met1 ( 1907280 1194545 ) M1M2_PR ;
- mprj_dat_o_core\[8\] ( soc mprj_dat_o[8] ) ( mgmt_buffers mprj_dat_o_core[8] ) + USE SIGNAL
+ ROUTED met2 ( 1949520 1200835 ) ( * 1232470 )
NEW met2 ( 1949520 1232470 ) ( 1950720 * 0 )
NEW met2 ( 1908000 1167350 0 ) ( 1909200 * )
NEW met2 ( 1909200 1167350 ) ( * 1200835 )
NEW met1 ( 1909200 1200835 ) ( 1949520 * )
NEW met1 ( 1949520 1200835 ) M1M2_PR
NEW met1 ( 1909200 1200835 ) M1M2_PR ;
- mprj_dat_o_core\[9\] ( soc mprj_dat_o[9] ) ( mgmt_buffers mprj_dat_o_core[9] ) + USE SIGNAL
+ ROUTED met2 ( 1909680 1166610 ) ( 1909920 * 0 )
NEW met2 ( 1909680 1166610 ) ( * 1179745 )
NEW met1 ( 1909680 1179745 ) ( 1954800 * )
NEW met2 ( 1954800 1179745 ) ( * 1232470 0 )
NEW met1 ( 1909680 1179745 ) M1M2_PR
NEW met1 ( 1954800 1179745 ) M1M2_PR ;
- mprj_dat_o_user\[0\] ( mprj wbs_dat_i[0] ) ( mgmt_buffers mprj_dat_o_user[0] ) + USE SIGNAL
+ ROUTED met2 ( 370800 1361230 ) ( * 1389350 0 )
NEW met3 ( 1879200 1361230 ) ( * 1361970 )
NEW met3 ( 370800 1361230 ) ( 1879200 * )
NEW met2 ( 1920720 1356975 ) ( * 1361970 )
NEW met1 ( 1917360 1356975 ) ( 1920720 * )
NEW met2 ( 1917360 1356050 ) ( * 1356975 )
NEW met2 ( 1916880 1356050 ) ( 1917360 * )
NEW met2 ( 1916880 1325710 ) ( * 1356050 )
NEW met2 ( 1915920 1325710 0 ) ( 1916880 * )
NEW met3 ( 1879200 1361970 ) ( 1920720 * )
NEW met2 ( 370800 1361230 ) via2_FR
NEW met2 ( 1920720 1361970 ) via2_FR
NEW met1 ( 1920720 1356975 ) M1M2_PR
NEW met1 ( 1917360 1356975 ) M1M2_PR ;
- mprj_dat_o_user\[10\] ( mprj wbs_dat_i[10] ) ( mgmt_buffers mprj_dat_o_user[10] ) + USE SIGNAL
+ ROUTED met2 ( 573360 1383430 ) ( * 1389350 0 )
NEW met2 ( 1637520 1355125 ) ( * 1356605 )
NEW met1 ( 1631280 1356605 ) ( 1637520 * )
NEW met1 ( 1631280 1356235 ) ( * 1356605 )
NEW met1 ( 1610640 1356235 ) ( 1631280 * )
NEW met2 ( 1610640 1356235 ) ( * 1383430 )
NEW met3 ( 573360 1383430 ) ( 1610640 * )
NEW met2 ( 1885200 1355125 ) ( * 1355310 )
NEW met2 ( 1885200 1355310 ) ( 1885680 * )
NEW met2 ( 1885680 1355125 ) ( * 1355310 )
NEW met1 ( 1637520 1355125 ) ( 1885200 * )
NEW met2 ( 1968240 1325710 0 ) ( * 1326635 )
NEW met1 ( 1964400 1326635 ) ( 1968240 * )
NEW met2 ( 1964400 1324785 ) ( * 1326635 )
NEW met1 ( 1928880 1324785 ) ( 1964400 * )
NEW met1 ( 1928880 1324415 ) ( * 1324785 )
NEW met1 ( 1917360 1324415 ) ( 1928880 * )
NEW met2 ( 1917360 1324415 ) ( * 1339030 )
NEW met3 ( 1896720 1339030 ) ( 1917360 * )
NEW met2 ( 1896720 1339030 ) ( * 1355865 )
NEW met2 ( 1893360 1355865 ) ( 1896720 * )
NEW met2 ( 1893360 1355125 ) ( * 1355865 )
NEW met1 ( 1885680 1355125 ) ( 1893360 * )
NEW met2 ( 573360 1383430 ) via2_FR
NEW met1 ( 1637520 1355125 ) M1M2_PR
NEW met1 ( 1637520 1356605 ) M1M2_PR
NEW met1 ( 1610640 1356235 ) M1M2_PR
NEW met2 ( 1610640 1383430 ) via2_FR
NEW met1 ( 1885200 1355125 ) M1M2_PR
NEW met1 ( 1885680 1355125 ) M1M2_PR
NEW met1 ( 1968240 1326635 ) M1M2_PR
NEW met1 ( 1964400 1326635 ) M1M2_PR
NEW met1 ( 1964400 1324785 ) M1M2_PR
NEW met1 ( 1917360 1324415 ) M1M2_PR
NEW met2 ( 1917360 1339030 ) via2_FR
NEW met2 ( 1896720 1339030 ) via2_FR
NEW met1 ( 1893360 1355125 ) M1M2_PR ;
- mprj_dat_o_user\[11\] ( mprj wbs_dat_i[11] ) ( mgmt_buffers mprj_dat_o_user[11] ) + USE SIGNAL
+ ROUTED met2 ( 590640 1362155 ) ( * 1389350 0 )
NEW met2 ( 1613040 1361230 ) ( * 1362155 )
NEW met2 ( 1613040 1361230 ) ( 1614000 * )
NEW met2 ( 1614000 1361230 ) ( * 1362155 )
NEW met1 ( 590640 1362155 ) ( 1613040 * )
NEW met2 ( 1971120 1325710 ) ( 1972320 * 0 )
NEW met2 ( 1971120 1325710 ) ( * 1362155 )
NEW met1 ( 1895760 1362155 ) ( 1971120 * )
NEW met2 ( 1895760 1358455 ) ( * 1362155 )
NEW met2 ( 1894320 1358455 ) ( 1895760 * )
NEW met2 ( 1894320 1358455 ) ( * 1360675 )
NEW met2 ( 1892400 1360675 ) ( 1894320 * )
NEW met2 ( 1892400 1360675 ) ( * 1362155 )
NEW met1 ( 1614000 1362155 ) ( 1892400 * )
NEW met1 ( 590640 1362155 ) M1M2_PR
NEW met1 ( 1613040 1362155 ) M1M2_PR
NEW met1 ( 1614000 1362155 ) M1M2_PR
NEW met1 ( 1971120 1362155 ) M1M2_PR
NEW met1 ( 1895760 1362155 ) M1M2_PR
NEW met1 ( 1892400 1362155 ) M1M2_PR ;
- mprj_dat_o_user\[12\] ( mprj wbs_dat_i[12] ) ( mgmt_buffers mprj_dat_o_user[12] ) + USE SIGNAL
+ ROUTED met2 ( 608880 1362525 ) ( * 1389350 0 )
NEW met2 ( 1976880 1325710 0 ) ( * 1342915 )
NEW met1 ( 1947600 1342915 ) ( 1976880 * )
NEW met2 ( 1947600 1342915 ) ( * 1361785 )
NEW met1 ( 1894800 1361785 ) ( 1947600 * )
NEW met2 ( 1894800 1361785 ) ( * 1362525 )
NEW met2 ( 1893840 1362525 ) ( 1894800 * )
NEW met1 ( 608880 1362525 ) ( 1893840 * )
NEW met1 ( 608880 1362525 ) M1M2_PR
NEW met1 ( 1976880 1342915 ) M1M2_PR
NEW met1 ( 1947600 1342915 ) M1M2_PR
NEW met1 ( 1947600 1361785 ) M1M2_PR
NEW met1 ( 1894800 1361785 ) M1M2_PR
NEW met1 ( 1893840 1362525 ) M1M2_PR ;
- mprj_dat_o_user\[13\] ( mprj wbs_dat_i[13] ) ( mgmt_buffers mprj_dat_o_user[13] ) + USE SIGNAL
+ ROUTED met1 ( 626640 1363635 ) ( 651600 * )
NEW met1 ( 651600 1363265 ) ( * 1363635 )
NEW met2 ( 626640 1363635 ) ( * 1389350 0 )
NEW met2 ( 1981200 1325710 0 ) ( * 1367705 )
NEW met1 ( 1892880 1363265 ) ( * 1364375 )
NEW met1 ( 1892880 1364375 ) ( 1902000 * )
NEW met2 ( 1902000 1364375 ) ( * 1367705 )
NEW met1 ( 651600 1363265 ) ( 1892880 * )
NEW met1 ( 1902000 1367705 ) ( 1981200 * )
NEW met1 ( 626640 1363635 ) M1M2_PR
NEW met1 ( 1981200 1367705 ) M1M2_PR
NEW met1 ( 1902000 1364375 ) M1M2_PR
NEW met1 ( 1902000 1367705 ) M1M2_PR ;
- mprj_dat_o_user\[14\] ( mprj wbs_dat_i[14] ) ( mgmt_buffers mprj_dat_o_user[14] ) + USE SIGNAL
+ ROUTED met1 ( 644880 1363265 ) ( 650640 * )
NEW met1 ( 650640 1362895 ) ( * 1363265 )
NEW met2 ( 644880 1363265 ) ( * 1389350 0 )
NEW met2 ( 1985520 1325710 ) ( 1985760 * 0 )
NEW met2 ( 1985520 1325710 ) ( * 1364005 )
NEW met1 ( 1893360 1362895 ) ( * 1364005 )
NEW met1 ( 1893360 1364005 ) ( 1985520 * )
NEW met2 ( 1613040 1362895 ) ( * 1364190 )
NEW met2 ( 1613040 1364190 ) ( 1614960 * )
NEW met2 ( 1614960 1362895 ) ( * 1364190 )
NEW met1 ( 650640 1362895 ) ( 1613040 * )
NEW met1 ( 1614960 1362895 ) ( 1893360 * )
NEW met1 ( 644880 1363265 ) M1M2_PR
NEW met1 ( 1985520 1364005 ) M1M2_PR
NEW met1 ( 1613040 1362895 ) M1M2_PR
NEW met1 ( 1614960 1362895 ) M1M2_PR ;
- mprj_dat_o_user\[15\] ( mprj wbs_dat_i[15] ) ( mgmt_buffers mprj_dat_o_user[15] ) + USE SIGNAL
+ ROUTED met2 ( 662640 1363635 ) ( * 1389350 0 )
NEW met2 ( 734640 1358825 ) ( * 1363635 )
NEW met1 ( 734640 1358825 ) ( 834000 * )
NEW met2 ( 834000 1358825 ) ( * 1362710 )
NEW met2 ( 834000 1362710 ) ( 834960 * )
NEW met2 ( 834960 1362710 ) ( * 1363635 )
NEW met1 ( 662640 1363635 ) ( 734640 * )
NEW met2 ( 1137840 1363635 ) ( * 1367705 )
NEW met1 ( 1137840 1367705 ) ( 1238160 * )
NEW met2 ( 1238160 1363635 ) ( * 1367705 )
NEW met2 ( 1989840 1325710 0 ) ( 1991760 * )
NEW met2 ( 1991760 1325710 ) ( * 1363635 )
NEW met1 ( 834960 1363635 ) ( 1137840 * )
NEW met2 ( 1892400 1363450 ) ( * 1363635 )
NEW met2 ( 1892400 1363450 ) ( 1892880 * )
NEW met2 ( 1892880 1363450 ) ( * 1364190 )
NEW met2 ( 1892880 1364190 ) ( 1894320 * )
NEW met2 ( 1894320 1363635 ) ( * 1364190 )
NEW met1 ( 1238160 1363635 ) ( 1892400 * )
NEW met1 ( 1894320 1363635 ) ( 1991760 * )
NEW met1 ( 662640 1363635 ) M1M2_PR
NEW met1 ( 734640 1363635 ) M1M2_PR
NEW met1 ( 734640 1358825 ) M1M2_PR
NEW met1 ( 834000 1358825 ) M1M2_PR
NEW met1 ( 834960 1363635 ) M1M2_PR
NEW met1 ( 1137840 1363635 ) M1M2_PR
NEW met1 ( 1137840 1367705 ) M1M2_PR
NEW met1 ( 1238160 1367705 ) M1M2_PR
NEW met1 ( 1238160 1363635 ) M1M2_PR
NEW met1 ( 1991760 1363635 ) M1M2_PR
NEW met1 ( 1892400 1363635 ) M1M2_PR
NEW met1 ( 1894320 1363635 ) M1M2_PR ;
- mprj_dat_o_user\[16\] ( mprj wbs_dat_i[16] ) ( mgmt_buffers mprj_dat_o_user[16] ) + USE SIGNAL
+ ROUTED met2 ( 679920 1364005 ) ( * 1389350 0 )
NEW met1 ( 1872720 1364005 ) ( * 1364745 )
NEW met1 ( 679920 1364005 ) ( 1872720 * )
NEW met2 ( 1993200 1324970 ) ( 1994400 * 0 )
NEW met2 ( 1993200 1324785 ) ( * 1324970 )
NEW met1 ( 1985040 1324785 ) ( 1993200 * )
NEW met2 ( 1985040 1324785 ) ( * 1364745 )
NEW met1 ( 1872720 1364745 ) ( 1985040 * )
NEW met1 ( 679920 1364005 ) M1M2_PR
NEW met1 ( 1993200 1324785 ) M1M2_PR
NEW met1 ( 1985040 1324785 ) M1M2_PR
NEW met1 ( 1985040 1364745 ) M1M2_PR ;
- mprj_dat_o_user\[17\] ( mprj wbs_dat_i[17] ) ( mgmt_buffers mprj_dat_o_user[17] ) + USE SIGNAL
+ ROUTED met1 ( 698160 1365485 ) ( 720240 * )
NEW met1 ( 720240 1365115 ) ( * 1365485 )
NEW met2 ( 698160 1365485 ) ( * 1389350 0 )
NEW met2 ( 1998480 1325710 0 ) ( * 1365115 )
NEW met1 ( 720240 1365115 ) ( 1998480 * )
NEW met1 ( 698160 1365485 ) M1M2_PR
NEW met1 ( 1998480 1365115 ) M1M2_PR ;
- mprj_dat_o_user\[18\] ( mprj wbs_dat_i[18] ) ( mgmt_buffers mprj_dat_o_user[18] ) + USE SIGNAL
+ ROUTED met2 ( 715920 1364745 ) ( * 1389350 0 )
NEW met2 ( 2003280 1325710 0 ) ( * 1365485 )
NEW met2 ( 1872240 1364745 ) ( * 1364930 )
NEW met2 ( 1872240 1364930 ) ( 1873200 * )
NEW met2 ( 1873200 1364930 ) ( * 1365485 )
NEW met1 ( 715920 1364745 ) ( 1872240 * )
NEW met1 ( 1873200 1365485 ) ( 2003280 * )
NEW met1 ( 715920 1364745 ) M1M2_PR
NEW met1 ( 2003280 1365485 ) M1M2_PR
NEW met1 ( 1872240 1364745 ) M1M2_PR
NEW met1 ( 1873200 1365485 ) M1M2_PR ;
- mprj_dat_o_user\[19\] ( mprj wbs_dat_i[19] ) ( mgmt_buffers mprj_dat_o_user[19] ) + USE SIGNAL
+ ROUTED met2 ( 733680 1365485 ) ( * 1389350 0 )
NEW met2 ( 2006640 1325710 ) ( 2007360 * 0 )
NEW met2 ( 2006640 1325710 ) ( * 1366225 )
NEW met2 ( 1869360 1365485 ) ( * 1366225 )
NEW met2 ( 1869360 1366225 ) ( 1871760 * )
NEW met1 ( 733680 1365485 ) ( 1869360 * )
NEW met1 ( 1871760 1366225 ) ( 2006640 * )
NEW met1 ( 733680 1365485 ) M1M2_PR
NEW met1 ( 2006640 1366225 ) M1M2_PR
NEW met1 ( 1869360 1365485 ) M1M2_PR
NEW met1 ( 1871760 1366225 ) M1M2_PR ;
- mprj_dat_o_user\[1\] ( mprj wbs_dat_i[1] ) ( mgmt_buffers mprj_dat_o_user[1] ) + USE SIGNAL
+ ROUTED met2 ( 394800 1364190 ) ( * 1389350 0 )
NEW met3 ( 1873440 1364190 ) ( * 1364930 )
NEW met3 ( 1873440 1364930 ) ( 1882800 * )
NEW met2 ( 1882800 1361230 ) ( * 1364930 )
NEW met3 ( 1882800 1361230 ) ( 1909200 * )
NEW met2 ( 1909200 1359750 ) ( * 1361230 )
NEW met3 ( 1909200 1359750 ) ( 1922160 * )
NEW met3 ( 394800 1364190 ) ( 1873440 * )
NEW met2 ( 1922160 1325710 0 ) ( * 1359750 )
NEW met2 ( 394800 1364190 ) via2_FR
NEW met2 ( 1882800 1364930 ) via2_FR
NEW met2 ( 1882800 1361230 ) via2_FR
NEW met2 ( 1909200 1361230 ) via2_FR
NEW met2 ( 1909200 1359750 ) via2_FR
NEW met2 ( 1922160 1359750 ) via2_FR ;
- mprj_dat_o_user\[20\] ( mprj wbs_dat_i[20] ) ( mgmt_buffers mprj_dat_o_user[20] ) + USE SIGNAL
+ ROUTED met2 ( 821520 1360305 ) ( * 1366225 )
NEW met1 ( 751440 1360305 ) ( 821520 * )
NEW met2 ( 751440 1360305 ) ( * 1389350 0 )
NEW met1 ( 1994640 1355125 ) ( * 1355495 )
NEW met1 ( 1994640 1355125 ) ( 2011920 * )
NEW met2 ( 2011920 1325710 0 ) ( * 1355125 )
NEW met2 ( 1857840 1355495 ) ( * 1366225 )
NEW met1 ( 821520 1366225 ) ( 1857840 * )
NEW met1 ( 1857840 1355495 ) ( 1994640 * )
NEW met1 ( 821520 1366225 ) M1M2_PR
NEW met1 ( 821520 1360305 ) M1M2_PR
NEW met1 ( 751440 1360305 ) M1M2_PR
NEW met1 ( 2011920 1355125 ) M1M2_PR
NEW met1 ( 1857840 1366225 ) M1M2_PR
NEW met1 ( 1857840 1355495 ) M1M2_PR ;
- mprj_dat_o_user\[21\] ( mprj wbs_dat_i[21] ) ( mgmt_buffers mprj_dat_o_user[21] ) + USE SIGNAL
+ ROUTED met1 ( 821040 1365855 ) ( * 1366225 )
NEW met1 ( 806640 1366225 ) ( 821040 * )
NEW met2 ( 806640 1366225 ) ( * 1367150 )
NEW met2 ( 805680 1367150 ) ( 806640 * )
NEW met2 ( 805680 1366410 ) ( * 1367150 )
NEW met2 ( 804720 1366410 ) ( 805680 * )
NEW met2 ( 804720 1366410 ) ( * 1366965 )
NEW met1 ( 769200 1366965 ) ( 804720 * )
NEW met2 ( 769200 1366965 ) ( * 1389350 0 )
NEW met2 ( 2016000 1325710 0 ) ( 2016240 * )
NEW met2 ( 2016240 1325710 ) ( * 1365855 )
NEW met1 ( 821040 1365855 ) ( 2016240 * )
NEW met1 ( 806640 1366225 ) M1M2_PR
NEW met1 ( 804720 1366965 ) M1M2_PR
NEW met1 ( 769200 1366965 ) M1M2_PR
NEW met1 ( 2016240 1365855 ) M1M2_PR ;
- mprj_dat_o_user\[22\] ( mprj wbs_dat_i[22] ) ( mgmt_buffers mprj_dat_o_user[22] ) + USE SIGNAL
+ ROUTED met2 ( 787440 1366595 ) ( * 1389350 0 )
NEW met2 ( 2020560 1325710 0 ) ( * 1366595 )
NEW met1 ( 787440 1366595 ) ( 2020560 * )
NEW met1 ( 787440 1366595 ) M1M2_PR
NEW met1 ( 2020560 1366595 ) M1M2_PR ;
- mprj_dat_o_user\[23\] ( mprj wbs_dat_i[23] ) ( mgmt_buffers mprj_dat_o_user[23] ) + USE SIGNAL
+ ROUTED met2 ( 805200 1366965 ) ( * 1389350 0 )
NEW met2 ( 2024880 1325710 0 ) ( * 1366965 )
NEW met1 ( 805200 1366965 ) ( 2024880 * )
NEW met1 ( 805200 1366965 ) M1M2_PR
NEW met1 ( 2024880 1366965 ) M1M2_PR ;
- mprj_dat_o_user\[24\] ( mprj wbs_dat_i[24] ) ( mgmt_buffers mprj_dat_o_user[24] ) + USE SIGNAL
+ ROUTED met2 ( 822960 1360305 ) ( * 1389350 0 )
NEW met2 ( 1914960 1360305 ) ( 1915920 * )
NEW met2 ( 1915920 1360305 ) ( * 1360675 )
NEW met2 ( 1915920 1360675 ) ( 1916400 * )
NEW met1 ( 1916400 1360675 ) ( 1982160 * )
NEW met2 ( 1982160 1359565 ) ( * 1360675 )
NEW met2 ( 1982160 1359565 ) ( 1983600 * )
NEW met1 ( 822960 1360305 ) ( 1914960 * )
NEW met1 ( 1983600 1359565 ) ( 2028720 * )
NEW met2 ( 2028960 1325710 0 ) ( * 1327190 )
NEW met2 ( 2028720 1327190 ) ( 2028960 * )
NEW met2 ( 2028720 1327190 ) ( * 1359565 )
NEW met1 ( 822960 1360305 ) M1M2_PR
NEW met1 ( 2028720 1359565 ) M1M2_PR
NEW met1 ( 1914960 1360305 ) M1M2_PR
NEW met1 ( 1916400 1360675 ) M1M2_PR
NEW met1 ( 1982160 1360675 ) M1M2_PR
NEW met1 ( 1983600 1359565 ) M1M2_PR ;
- mprj_dat_o_user\[25\] ( mprj wbs_dat_i[25] ) ( mgmt_buffers mprj_dat_o_user[25] ) + USE SIGNAL
+ ROUTED met1 ( 1388880 1354385 ) ( * 1354755 )
NEW met2 ( 1970640 1354755 ) ( * 1358825 )
NEW met1 ( 1970640 1358825 ) ( 2033040 * )
NEW met2 ( 2033040 1325710 ) ( 2033520 * 0 )
NEW met2 ( 2033040 1325710 ) ( * 1358825 )
NEW met2 ( 840720 1389350 0 ) ( 842160 * )
NEW met2 ( 1209840 1354015 ) ( * 1354755 )
NEW met1 ( 1209840 1354755 ) ( 1260240 * )
NEW met1 ( 1260240 1354385 ) ( * 1354755 )
NEW met1 ( 1260240 1354385 ) ( 1388880 * )
NEW met2 ( 1209360 1354015 ) ( * 1354755 )
NEW met2 ( 1209360 1354015 ) ( 1209840 * )
NEW met1 ( 842160 1354015 ) ( 877680 * )
NEW met2 ( 877680 1353830 ) ( * 1354015 )
NEW met2 ( 877680 1353830 ) ( 878160 * )
NEW met2 ( 878160 1353830 ) ( * 1354385 )
NEW met2 ( 842160 1354015 ) ( * 1389350 )
NEW met1 ( 980400 1354385 ) ( * 1354755 )
NEW met1 ( 1600080 1354385 ) ( * 1354755 )
NEW met1 ( 1600080 1354385 ) ( 1601040 * )
NEW met1 ( 1601040 1354385 ) ( * 1354755 )
NEW met1 ( 1388880 1354755 ) ( 1600080 * )
NEW met1 ( 1601040 1354755 ) ( 1970640 * )
NEW met1 ( 929040 1354015 ) ( * 1354385 )
NEW met1 ( 929040 1354015 ) ( 936720 * )
NEW met1 ( 936720 1354015 ) ( * 1354755 )
NEW met1 ( 878160 1354385 ) ( 929040 * )
NEW met1 ( 936720 1354755 ) ( 980400 * )
NEW met1 ( 1093200 1354385 ) ( * 1354755 )
NEW met1 ( 980400 1354385 ) ( 1093200 * )
NEW met1 ( 1093200 1354755 ) ( 1209360 * )
NEW met1 ( 1970640 1354755 ) M1M2_PR
NEW met1 ( 1970640 1358825 ) M1M2_PR
NEW met1 ( 2033040 1358825 ) M1M2_PR
NEW met1 ( 1209840 1354755 ) M1M2_PR
NEW met1 ( 1209360 1354755 ) M1M2_PR
NEW met1 ( 842160 1354015 ) M1M2_PR
NEW met1 ( 877680 1354015 ) M1M2_PR
NEW met1 ( 878160 1354385 ) M1M2_PR ;
- mprj_dat_o_user\[26\] ( mprj wbs_dat_i[26] ) ( mgmt_buffers mprj_dat_o_user[26] ) + USE SIGNAL
+ ROUTED met2 ( 2036400 1325710 ) ( 2037840 * 0 )
NEW met2 ( 2036400 1325710 ) ( * 1363265 )
NEW met2 ( 934320 1359565 ) ( 935280 * )
NEW met2 ( 934320 1358825 ) ( * 1359565 )
NEW met1 ( 894000 1358825 ) ( 934320 * )
NEW met1 ( 894000 1358455 ) ( * 1358825 )
NEW met2 ( 1613040 1359010 ) ( * 1359565 )
NEW met2 ( 1613040 1359010 ) ( 1615440 * )
NEW met2 ( 1615440 1359010 ) ( * 1359565 )
NEW met2 ( 858480 1358455 ) ( * 1389350 0 )
NEW met1 ( 858480 1358455 ) ( 894000 * )
NEW met2 ( 1036560 1359565 ) ( * 1359750 )
NEW met2 ( 1036560 1359750 ) ( 1037040 * )
NEW met2 ( 1037040 1359565 ) ( * 1359750 )
NEW met1 ( 935280 1359565 ) ( 1036560 * )
NEW met2 ( 1484400 1359195 ) ( * 1359565 )
NEW met2 ( 1484400 1359195 ) ( 1484880 * )
NEW met2 ( 1484880 1359195 ) ( * 1359565 )
NEW met1 ( 1037040 1359565 ) ( 1484400 * )
NEW met1 ( 1484880 1359565 ) ( 1613040 * )
NEW met2 ( 1916400 1359565 ) ( * 1360305 )
NEW met2 ( 1916400 1360305 ) ( 1916880 * )
NEW met1 ( 1916880 1360305 ) ( 1962960 * )
NEW met2 ( 1962960 1360305 ) ( * 1363265 )
NEW met1 ( 1615440 1359565 ) ( 1916400 * )
NEW met1 ( 1962960 1363265 ) ( 2036400 * )
NEW met1 ( 2036400 1363265 ) M1M2_PR
NEW met1 ( 935280 1359565 ) M1M2_PR
NEW met1 ( 934320 1358825 ) M1M2_PR
NEW met1 ( 1613040 1359565 ) M1M2_PR
NEW met1 ( 1615440 1359565 ) M1M2_PR
NEW met1 ( 858480 1358455 ) M1M2_PR
NEW met1 ( 1036560 1359565 ) M1M2_PR
NEW met1 ( 1037040 1359565 ) M1M2_PR
NEW met1 ( 1484400 1359565 ) M1M2_PR
NEW met1 ( 1484880 1359565 ) M1M2_PR
NEW met1 ( 1916400 1359565 ) M1M2_PR
NEW met1 ( 1916880 1360305 ) M1M2_PR
NEW met1 ( 1962960 1360305 ) M1M2_PR
NEW met1 ( 1962960 1363265 ) M1M2_PR ;
- mprj_dat_o_user\[27\] ( mprj wbs_dat_i[27] ) ( mgmt_buffers mprj_dat_o_user[27] ) + USE SIGNAL
+ ROUTED met2 ( 2041200 1325710 ) ( 2042400 * 0 )
NEW met2 ( 2041200 1325710 ) ( * 1361785 )
NEW met2 ( 935280 1357530 ) ( * 1358825 )
NEW met2 ( 934320 1357530 ) ( 935280 * )
NEW met2 ( 934320 1357345 ) ( * 1357530 )
NEW met1 ( 876720 1357345 ) ( 934320 * )
NEW met2 ( 876720 1357345 ) ( * 1389350 0 )
NEW met2 ( 1483440 1358270 ) ( * 1358825 )
NEW met2 ( 1483440 1358270 ) ( 1483920 * )
NEW met2 ( 1483920 1355310 ) ( * 1358270 )
NEW met3 ( 1483920 1355310 ) ( 1583280 * )
NEW met2 ( 1583280 1355310 ) ( * 1357530 )
NEW met2 ( 1583280 1357530 ) ( 1583760 * )
NEW met2 ( 1583760 1357530 ) ( * 1358825 )
NEW met2 ( 1785360 1356790 ) ( * 1358825 )
NEW met2 ( 1887600 1358825 ) ( * 1364005 )
NEW met1 ( 1887600 1364005 ) ( 1890960 * )
NEW met2 ( 1890960 1361785 ) ( * 1364005 )
NEW met2 ( 1890960 1361785 ) ( 1891440 * )
NEW met2 ( 1891440 1360305 ) ( * 1361785 )
NEW met2 ( 1891440 1360305 ) ( 1893360 * )
NEW met2 ( 1893360 1359935 ) ( * 1360305 )
NEW met1 ( 1893360 1359935 ) ( 1948080 * )
NEW met2 ( 1948080 1359935 ) ( * 1361785 )
NEW met1 ( 1785360 1358825 ) ( 1887600 * )
NEW met1 ( 1948080 1361785 ) ( 2041200 * )
NEW met2 ( 1296720 1355310 ) ( * 1358825 )
NEW met3 ( 1296720 1355310 ) ( 1367760 * )
NEW met2 ( 1367760 1355310 ) ( * 1358825 )
NEW met1 ( 935280 1358825 ) ( 1296720 * )
NEW met1 ( 1367760 1358825 ) ( 1483440 * )
NEW met2 ( 1670640 1356790 ) ( * 1358825 )
NEW met1 ( 1583760 1358825 ) ( 1670640 * )
NEW met3 ( 1670640 1356790 ) ( 1785360 * )
NEW met1 ( 2041200 1361785 ) M1M2_PR
NEW met1 ( 935280 1358825 ) M1M2_PR
NEW met1 ( 934320 1357345 ) M1M2_PR
NEW met1 ( 876720 1357345 ) M1M2_PR
NEW met1 ( 1483440 1358825 ) M1M2_PR
NEW met2 ( 1483920 1355310 ) via2_FR
NEW met2 ( 1583280 1355310 ) via2_FR
NEW met1 ( 1583760 1358825 ) M1M2_PR
NEW met2 ( 1785360 1356790 ) via2_FR
NEW met1 ( 1785360 1358825 ) M1M2_PR
NEW met1 ( 1887600 1358825 ) M1M2_PR
NEW met1 ( 1887600 1364005 ) M1M2_PR
NEW met1 ( 1890960 1364005 ) M1M2_PR
NEW met1 ( 1893360 1359935 ) M1M2_PR
NEW met1 ( 1948080 1359935 ) M1M2_PR
NEW met1 ( 1948080 1361785 ) M1M2_PR
NEW met1 ( 1296720 1358825 ) M1M2_PR
NEW met2 ( 1296720 1355310 ) via2_FR
NEW met2 ( 1367760 1355310 ) via2_FR
NEW met1 ( 1367760 1358825 ) M1M2_PR
NEW met2 ( 1670640 1356790 ) via2_FR
NEW met1 ( 1670640 1358825 ) M1M2_PR ;
- mprj_dat_o_user\[28\] ( mprj wbs_dat_i[28] ) ( mgmt_buffers mprj_dat_o_user[28] ) + USE SIGNAL
+ ROUTED met2 ( 894480 1358455 ) ( * 1389350 0 )
NEW met2 ( 2046480 1325710 0 ) ( * 1358455 )
NEW met2 ( 1914960 1358455 ) ( 1916400 * )
NEW met1 ( 894480 1358455 ) ( 1914960 * )
NEW met1 ( 1916400 1358455 ) ( 2046480 * )
NEW met1 ( 894480 1358455 ) M1M2_PR
NEW met1 ( 2046480 1358455 ) M1M2_PR
NEW met1 ( 1914960 1358455 ) M1M2_PR
NEW met1 ( 1916400 1358455 ) M1M2_PR ;
- mprj_dat_o_user\[29\] ( mprj wbs_dat_i[29] ) ( mgmt_buffers mprj_dat_o_user[29] ) + USE SIGNAL
+ ROUTED met2 ( 912240 1358085 ) ( * 1389350 0 )
NEW met2 ( 2049840 1325710 ) ( 2051040 * 0 )
NEW met2 ( 2049840 1325710 ) ( * 1358085 )
NEW met2 ( 1915440 1354385 ) ( * 1358085 )
NEW met1 ( 1915440 1354385 ) ( 1963920 * )
NEW met2 ( 1963920 1354385 ) ( * 1358085 )
NEW met1 ( 1963920 1358085 ) ( 2049840 * )
NEW met2 ( 1484400 1358085 ) ( * 1358270 )
NEW met2 ( 1484400 1358270 ) ( 1485360 * )
NEW met2 ( 1485360 1358085 ) ( * 1358270 )
NEW met1 ( 912240 1358085 ) ( 1484400 * )
NEW met1 ( 1485360 1358085 ) ( 1915440 * )
NEW met1 ( 912240 1358085 ) M1M2_PR
NEW met1 ( 2049840 1358085 ) M1M2_PR
NEW met1 ( 1915440 1358085 ) M1M2_PR
NEW met1 ( 1915440 1354385 ) M1M2_PR
NEW met1 ( 1963920 1354385 ) M1M2_PR
NEW met1 ( 1963920 1358085 ) M1M2_PR
NEW met1 ( 1484400 1358085 ) M1M2_PR
NEW met1 ( 1485360 1358085 ) M1M2_PR ;
- mprj_dat_o_user\[2\] ( mprj wbs_dat_i[2] ) ( mgmt_buffers mprj_dat_o_user[2] ) + USE SIGNAL
+ ROUTED met2 ( 418320 1367890 ) ( * 1389350 0 )
NEW met2 ( 1871760 1367890 ) ( * 1370665 )
NEW met3 ( 418320 1367890 ) ( 1871760 * )
NEW met2 ( 1929120 1325710 0 ) ( 1929360 * )
NEW met2 ( 1929360 1325710 ) ( * 1326450 )
NEW met2 ( 1928880 1326450 ) ( 1929360 * )
NEW met2 ( 1928880 1326450 ) ( * 1370295 )
NEW met1 ( 1893840 1370295 ) ( 1928880 * )
NEW met1 ( 1893840 1370295 ) ( * 1370665 )
NEW met1 ( 1871760 1370665 ) ( 1893840 * )
NEW met2 ( 418320 1367890 ) via2_FR
NEW met2 ( 1871760 1367890 ) via2_FR
NEW met1 ( 1871760 1370665 ) M1M2_PR
NEW met1 ( 1928880 1370295 ) M1M2_PR ;
- mprj_dat_o_user\[30\] ( mprj wbs_dat_i[30] ) ( mgmt_buffers mprj_dat_o_user[30] ) + USE SIGNAL
+ ROUTED met1 ( 935760 1357345 ) ( * 1357715 )
NEW met1 ( 934800 1357345 ) ( 935760 * )
NEW met1 ( 934800 1357345 ) ( * 1357715 )
NEW met1 ( 930000 1357715 ) ( 934800 * )
NEW met2 ( 930000 1357715 ) ( * 1389350 0 )
NEW met2 ( 2055120 1325710 0 ) ( * 1357715 )
NEW met1 ( 935760 1357715 ) ( 2055120 * )
NEW met1 ( 930000 1357715 ) M1M2_PR
NEW met1 ( 2055120 1357715 ) M1M2_PR ;
- mprj_dat_o_user\[31\] ( mprj wbs_dat_i[31] ) ( mgmt_buffers mprj_dat_o_user[31] ) + USE SIGNAL
+ ROUTED met2 ( 947760 1354385 ) ( * 1389350 0 )
NEW met2 ( 2059920 1325710 0 ) ( * 1357345 )
NEW met1 ( 979920 1354015 ) ( * 1354385 )
NEW met1 ( 979920 1354015 ) ( 1029360 * )
NEW met2 ( 1029360 1354015 ) ( * 1357345 )
NEW met1 ( 947760 1354385 ) ( 979920 * )
NEW met1 ( 1029360 1357345 ) ( 2059920 * )
NEW met1 ( 947760 1354385 ) M1M2_PR
NEW met1 ( 2059920 1357345 ) M1M2_PR
NEW met1 ( 1029360 1354015 ) M1M2_PR
NEW met1 ( 1029360 1357345 ) M1M2_PR ;
- mprj_dat_o_user\[3\] ( mprj wbs_dat_i[3] ) ( mgmt_buffers mprj_dat_o_user[3] ) + USE SIGNAL
+ ROUTED met2 ( 442320 1367150 ) ( * 1389350 0 )
NEW met2 ( 1870800 1367150 ) ( * 1371035 )
NEW met1 ( 1870800 1371035 ) ( 1892400 * )
NEW met2 ( 1892400 1371035 ) ( * 1372330 )
NEW met2 ( 1892400 1372330 ) ( 1894320 * )
NEW met2 ( 1894320 1371775 ) ( * 1372330 )
NEW met3 ( 442320 1367150 ) ( 1870800 * )
NEW met2 ( 1934160 1325710 ) ( 1935600 * 0 )
NEW met2 ( 1934160 1325710 ) ( * 1361045 )
NEW met1 ( 1927920 1361045 ) ( 1934160 * )
NEW met2 ( 1927920 1361045 ) ( * 1371775 )
NEW met1 ( 1894320 1371775 ) ( 1927920 * )
NEW met2 ( 442320 1367150 ) via2_FR
NEW met2 ( 1870800 1367150 ) via2_FR
NEW met1 ( 1870800 1371035 ) M1M2_PR
NEW met1 ( 1892400 1371035 ) M1M2_PR
NEW met1 ( 1894320 1371775 ) M1M2_PR
NEW met1 ( 1934160 1361045 ) M1M2_PR
NEW met1 ( 1927920 1361045 ) M1M2_PR
NEW met1 ( 1927920 1371775 ) M1M2_PR ;
- mprj_dat_o_user\[4\] ( mprj wbs_dat_i[4] ) ( mgmt_buffers mprj_dat_o_user[4] ) + USE SIGNAL
+ ROUTED met2 ( 466320 1359010 ) ( * 1389350 0 )
NEW met2 ( 1940880 1325710 ) ( 1942080 * 0 )
NEW met2 ( 1940880 1325710 ) ( * 1359010 )
NEW met2 ( 804240 1358825 ) ( * 1359010 )
NEW met2 ( 804240 1358825 ) ( 806160 * )
NEW met2 ( 806160 1358825 ) ( * 1359010 )
NEW met3 ( 466320 1359010 ) ( 804240 * )
NEW met3 ( 806160 1359010 ) ( 1940880 * )
NEW met2 ( 466320 1359010 ) via2_FR
NEW met2 ( 1940880 1359010 ) via2_FR
NEW met2 ( 804240 1359010 ) via2_FR
NEW met2 ( 806160 1359010 ) via2_FR ;
- mprj_dat_o_user\[5\] ( mprj wbs_dat_i[5] ) ( mgmt_buffers mprj_dat_o_user[5] ) + USE SIGNAL
+ ROUTED met2 ( 484080 1358270 ) ( * 1389350 0 )
NEW met2 ( 605040 1358270 ) ( * 1358455 )
NEW met1 ( 605040 1358455 ) ( 705360 * )
NEW met2 ( 705360 1358270 ) ( * 1358455 )
NEW met2 ( 504240 1358085 ) ( * 1358270 )
NEW met1 ( 504240 1358085 ) ( 604080 * )
NEW met2 ( 604080 1358085 ) ( * 1358270 )
NEW met3 ( 484080 1358270 ) ( 504240 * )
NEW met3 ( 604080 1358270 ) ( 605040 * )
NEW met2 ( 706800 1358270 ) ( * 1358455 )
NEW met1 ( 706800 1358455 ) ( 805680 * )
NEW met2 ( 805680 1358270 ) ( * 1358455 )
NEW met3 ( 705360 1358270 ) ( 706800 * )
NEW met2 ( 1109040 1356050 ) ( * 1358270 )
NEW met3 ( 805680 1358270 ) ( 1109040 * )
NEW met2 ( 1311120 1356235 ) ( * 1358270 )
NEW met1 ( 1311120 1356235 ) ( 1410960 * )
NEW met2 ( 1410960 1356235 ) ( * 1358270 )
NEW met2 ( 1946640 1325710 ) ( * 1358270 )
NEW met2 ( 1946160 1325710 0 ) ( 1946640 * )
NEW met3 ( 1410960 1358270 ) ( 1946640 * )
NEW met2 ( 1230960 1356050 ) ( * 1358270 )
NEW met3 ( 1109040 1356050 ) ( 1230960 * )
NEW met3 ( 1230960 1358270 ) ( 1311120 * )
NEW met2 ( 484080 1358270 ) via2_FR
NEW met2 ( 605040 1358270 ) via2_FR
NEW met1 ( 605040 1358455 ) M1M2_PR
NEW met1 ( 705360 1358455 ) M1M2_PR
NEW met2 ( 705360 1358270 ) via2_FR
NEW met2 ( 504240 1358270 ) via2_FR
NEW met1 ( 504240 1358085 ) M1M2_PR
NEW met1 ( 604080 1358085 ) M1M2_PR
NEW met2 ( 604080 1358270 ) via2_FR
NEW met2 ( 706800 1358270 ) via2_FR
NEW met1 ( 706800 1358455 ) M1M2_PR
NEW met1 ( 805680 1358455 ) M1M2_PR
NEW met2 ( 805680 1358270 ) via2_FR
NEW met2 ( 1109040 1358270 ) via2_FR
NEW met2 ( 1109040 1356050 ) via2_FR
NEW met2 ( 1311120 1358270 ) via2_FR
NEW met1 ( 1311120 1356235 ) M1M2_PR
NEW met1 ( 1410960 1356235 ) M1M2_PR
NEW met2 ( 1410960 1358270 ) via2_FR
NEW met2 ( 1946640 1358270 ) via2_FR
NEW met2 ( 1230960 1356050 ) via2_FR
NEW met2 ( 1230960 1358270 ) via2_FR ;
- mprj_dat_o_user\[6\] ( mprj wbs_dat_i[6] ) ( mgmt_buffers mprj_dat_o_user[6] ) + USE SIGNAL
+ ROUTED met2 ( 1949520 1325710 ) ( 1950720 * 0 )
NEW met2 ( 1949520 1325710 ) ( * 1357530 )
NEW met2 ( 503280 1357530 ) ( 503760 * )
NEW met2 ( 503280 1357530 ) ( * 1389350 )
NEW met2 ( 501840 1389350 0 ) ( 503280 * )
NEW met2 ( 705840 1357530 ) ( * 1357715 )
NEW met1 ( 705840 1357715 ) ( 708240 * )
NEW met2 ( 708240 1357530 ) ( * 1357715 )
NEW met3 ( 503760 1357530 ) ( 705840 * )
NEW met2 ( 1207920 1356605 ) ( * 1357530 )
NEW met1 ( 1207920 1356605 ) ( 1210320 * )
NEW met2 ( 1210320 1356605 ) ( * 1357530 )
NEW met3 ( 708240 1357530 ) ( 1207920 * )
NEW met3 ( 1210320 1357530 ) ( 1949520 * )
NEW met2 ( 1949520 1357530 ) via2_FR
NEW met2 ( 503760 1357530 ) via2_FR
NEW met2 ( 705840 1357530 ) via2_FR
NEW met1 ( 705840 1357715 ) M1M2_PR
NEW met1 ( 708240 1357715 ) M1M2_PR
NEW met2 ( 708240 1357530 ) via2_FR
NEW met2 ( 1207920 1357530 ) via2_FR
NEW met1 ( 1207920 1356605 ) M1M2_PR
NEW met1 ( 1210320 1356605 ) M1M2_PR
NEW met2 ( 1210320 1357530 ) via2_FR ;
- mprj_dat_o_user\[7\] ( mprj wbs_dat_i[7] ) ( mgmt_buffers mprj_dat_o_user[7] ) + USE SIGNAL
+ ROUTED met2 ( 519600 1361045 ) ( * 1389350 0 )
NEW met1 ( 1893360 1360675 ) ( * 1361045 )
NEW met1 ( 519600 1361045 ) ( 1893360 * )
NEW met2 ( 1954800 1325710 0 ) ( * 1361970 )
NEW met3 ( 1931040 1361970 ) ( 1954800 * )
NEW met3 ( 1931040 1361230 ) ( * 1361970 )
NEW met3 ( 1915440 1361230 ) ( 1931040 * )
NEW met2 ( 1915440 1360675 ) ( * 1361230 )
NEW met1 ( 1893360 1360675 ) ( 1915440 * )
NEW met1 ( 519600 1361045 ) M1M2_PR
NEW met2 ( 1954800 1361970 ) via2_FR
NEW met2 ( 1915440 1361230 ) via2_FR
NEW met1 ( 1915440 1360675 ) M1M2_PR ;
- mprj_dat_o_user\[8\] ( mprj wbs_dat_i[8] ) ( mgmt_buffers mprj_dat_o_user[8] ) + USE SIGNAL
+ ROUTED met2 ( 537360 1360675 ) ( * 1389350 0 )
NEW met2 ( 1958640 1325710 ) ( 1959600 * 0 )
NEW met2 ( 1958640 1325710 ) ( * 1362895 )
NEW met1 ( 1894320 1362895 ) ( 1958640 * )
NEW met1 ( 1894320 1362155 ) ( * 1362895 )
NEW met2 ( 1893360 1362155 ) ( 1894320 * )
NEW met2 ( 1893360 1362155 ) ( * 1362525 )
NEW met2 ( 1891920 1362525 ) ( 1893360 * )
NEW met2 ( 1891920 1360675 ) ( * 1362525 )
NEW met1 ( 537360 1360675 ) ( 1891920 * )
NEW met1 ( 537360 1360675 ) M1M2_PR
NEW met1 ( 1958640 1362895 ) M1M2_PR
NEW met1 ( 1894320 1362155 ) M1M2_PR
NEW met1 ( 1891920 1360675 ) M1M2_PR ;
- mprj_dat_o_user\[9\] ( mprj wbs_dat_i[9] ) ( mgmt_buffers mprj_dat_o_user[9] ) + USE SIGNAL
+ ROUTED met2 ( 555600 1361785 ) ( * 1389350 0 )
NEW met2 ( 1962480 1325710 ) ( 1963680 * 0 )
NEW met2 ( 1962480 1325710 ) ( * 1363265 )
NEW met1 ( 1893840 1363265 ) ( 1962480 * )
NEW met2 ( 1893840 1362895 ) ( * 1363265 )
NEW met2 ( 1891920 1362895 ) ( 1893840 * )
NEW met2 ( 1891920 1362895 ) ( * 1364375 )
NEW met2 ( 1890480 1364375 ) ( 1891920 * )
NEW met2 ( 1890480 1361785 ) ( * 1364375 )
NEW met1 ( 555600 1361785 ) ( 1890480 * )
NEW met1 ( 555600 1361785 ) M1M2_PR
NEW met1 ( 1962480 1363265 ) M1M2_PR
NEW met1 ( 1893840 1363265 ) M1M2_PR
NEW met1 ( 1890480 1361785 ) M1M2_PR ;
- mprj_io_analog_en\[0\] ( padframe mprj_io_analog_en[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 3372240 619010 ) ( 3373920 * 0 )
NEW met1 ( 3372240 531875 ) ( 3377040 * )
NEW met2 ( 3377040 529100 0 ) ( * 531875 )
NEW met2 ( 3372240 531875 ) ( * 619010 )
NEW met2 ( 3372240 619010 ) via2_FR
NEW met1 ( 3372240 531875 ) M1M2_PR
NEW met1 ( 3377040 531875 ) M1M2_PR ;
- mprj_io_analog_en\[10\] ( padframe mprj_io_analog_en[10] ) ( gpio_control_in\[10\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 3365520 3443035 ) ( 3377520 * )
NEW met2 ( 3377520 3443035 ) ( * 3445070 0 )
NEW met3 ( 3365520 3083950 ) ( 3373920 * 0 )
NEW met2 ( 3365520 3083950 ) ( * 3443035 )
NEW met1 ( 3365520 3443035 ) M1M2_PR
NEW met1 ( 3377520 3443035 ) M1M2_PR
NEW met2 ( 3365520 3083950 ) via2_FR ;
- mprj_io_analog_en\[11\] ( padframe mprj_io_analog_en[11] ) ( gpio_control_in\[11\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 3365040 3311685 ) ( 3369360 * )
NEW met2 ( 3369360 3308910 ) ( * 3311685 )
NEW met3 ( 3369360 3308910 ) ( 3373920 * 0 )
NEW met1 ( 3365040 3667995 ) ( 3377520 * )
NEW met2 ( 3377520 3667995 ) ( * 3670030 0 )
NEW met2 ( 3365040 3311685 ) ( * 3667995 )
NEW met1 ( 3365040 3311685 ) M1M2_PR
NEW met1 ( 3369360 3311685 ) M1M2_PR
NEW met2 ( 3369360 3308910 ) via2_FR
NEW met1 ( 3365040 3667995 ) M1M2_PR
NEW met1 ( 3377520 3667995 ) M1M2_PR ;
- mprj_io_analog_en\[12\] ( padframe mprj_io_analog_en[12] ) ( gpio_control_in\[12\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 3366000 3892955 ) ( 3377040 * )
NEW met2 ( 3377040 3892955 ) ( * 3895155 0 )
NEW met3 ( 3366000 3535350 ) ( 3373920 * )
NEW met3 ( 3373920 3534980 0 ) ( * 3535350 )
NEW met2 ( 3366000 3535350 ) ( * 3892955 )
NEW met1 ( 3366000 3892955 ) M1M2_PR
NEW met1 ( 3377040 3892955 ) M1M2_PR
NEW met2 ( 3366000 3535350 ) via2_FR ;
- mprj_io_analog_en\[13\] ( padframe mprj_io_analog_en[13] ) ( gpio_control_in\[13\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 3366960 3760310 ) ( 3373920 * )
NEW met3 ( 3373920 3759940 0 ) ( * 3760310 )
NEW met1 ( 3366960 4334735 ) ( 3376080 * )
NEW met2 ( 3376080 4334735 ) ( * 4341210 )
NEW met2 ( 3376080 4341210 ) ( 3377040 * 0 )
NEW met2 ( 3366960 3760310 ) ( * 4334735 )
NEW met2 ( 3366960 3760310 ) via2_FR
NEW met1 ( 3366960 4334735 ) M1M2_PR
NEW met1 ( 3376080 4334735 ) M1M2_PR ;
- mprj_io_analog_en\[14\] ( padframe mprj_io_analog_en[14] ) ( gpio_control_in\[14\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 3384480 4652010 0 ) ( * 4653490 )
NEW met3 ( 3376080 4766710 ) ( 3384480 * )
NEW met2 ( 3376080 4766710 ) ( * 4785025 )
NEW met1 ( 3376080 4785025 ) ( 3377520 * )
NEW met2 ( 3377520 4785025 ) ( * 4787060 0 )
NEW met4 ( 3384480 4653490 ) ( * 4766710 )
NEW met3 ( 3384480 4653490 ) M3M4_PR_M
NEW met3 ( 3384480 4766710 ) M3M4_PR_M
NEW met2 ( 3376080 4766710 ) via2_FR
NEW met1 ( 3376080 4785025 ) M1M2_PR
NEW met1 ( 3377520 4785025 ) M1M2_PR ;
- mprj_io_analog_en\[15\] ( padframe mprj_io_analog_en[15] ) ( gpio_control_in\[15\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 2866320 4976130 ) ( * 5004435 )
NEW met2 ( 3180720 4976130 ) ( * 4977610 0 )
NEW met3 ( 2866320 4976130 ) ( 3180720 * )
NEW met2 ( 2761680 5004250 ) ( * 5004435 )
NEW met3 ( 2760000 5004250 0 ) ( 2761680 * )
NEW met1 ( 2761680 5004435 ) ( 2866320 * )
NEW met2 ( 2866320 4976130 ) via2_FR
NEW met1 ( 2866320 5004435 ) M1M2_PR
NEW met2 ( 3180720 4976130 ) via2_FR
NEW met1 ( 2761680 5004435 ) M1M2_PR
NEW met2 ( 2761680 5004250 ) via2_FR ;
- mprj_io_analog_en\[16\] ( padframe mprj_io_analog_en[16] ) ( gpio_control_in\[16\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 2616720 4975945 ) ( * 5004065 )
NEW met2 ( 2503920 5004065 ) ( * 5004250 )
NEW met3 ( 2503200 5004250 0 ) ( 2503920 * )
NEW met1 ( 2503920 5004065 ) ( 2616720 * )
NEW met2 ( 2671920 4975945 ) ( * 4977610 0 )
NEW met1 ( 2616720 4975945 ) ( 2671920 * )
NEW met1 ( 2616720 4975945 ) M1M2_PR
NEW met1 ( 2616720 5004065 ) M1M2_PR
NEW met1 ( 2503920 5004065 ) M1M2_PR
NEW met2 ( 2503920 5004250 ) via2_FR
NEW met1 ( 2671920 4975945 ) M1M2_PR ;
- mprj_io_analog_en\[17\] ( padframe mprj_io_analog_en[17] ) ( gpio_control_in\[17\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 2413680 4978350 ) ( 2414880 * 0 )
NEW met3 ( 2389920 4977610 ) ( * 4978350 )
NEW met3 ( 2389920 4978350 ) ( 2413680 * )
NEW met2 ( 2195760 5013685 ) ( * 5015165 )
NEW met2 ( 2118960 5013685 ) ( * 5013870 )
NEW met3 ( 2118240 5013870 0 ) ( 2118960 * )
NEW met1 ( 2118960 5013685 ) ( 2195760 * )
NEW met2 ( 2326800 4977610 ) ( * 4985935 )
NEW met1 ( 2317680 4985935 ) ( 2326800 * )
NEW met2 ( 2317680 4985935 ) ( * 5015165 )
NEW met1 ( 2195760 5015165 ) ( 2317680 * )
NEW met3 ( 2326800 4977610 ) ( 2389920 * )
NEW met2 ( 2413680 4978350 ) via2_FR
NEW met1 ( 2195760 5013685 ) M1M2_PR
NEW met1 ( 2195760 5015165 ) M1M2_PR
NEW met1 ( 2118960 5013685 ) M1M2_PR
NEW met2 ( 2118960 5013870 ) via2_FR
NEW met2 ( 2326800 4977610 ) via2_FR
NEW met1 ( 2326800 4985935 ) M1M2_PR
NEW met1 ( 2317680 4985935 ) M1M2_PR
NEW met1 ( 2317680 5015165 ) M1M2_PR ;
- mprj_io_analog_en\[18\] ( padframe mprj_io_analog_en[18] ) ( gpio_control_in\[18\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 1782000 5004250 ) ( * 5004435 )
NEW met3 ( 1780800 5004250 0 ) ( 1782000 * )
NEW met2 ( 1968720 4979090 ) ( 1969920 * 0 )
NEW met2 ( 1915440 4979090 ) ( * 4989265 )
NEW met1 ( 1886160 4989265 ) ( 1915440 * )
NEW met2 ( 1886160 4989265 ) ( * 5004435 )
NEW met1 ( 1782000 5004435 ) ( 1886160 * )
NEW met3 ( 1915440 4979090 ) ( 1968720 * )
NEW met1 ( 1782000 5004435 ) M1M2_PR
NEW met2 ( 1782000 5004250 ) via2_FR
NEW met2 ( 1968720 4979090 ) via2_FR
NEW met2 ( 1915440 4979090 ) via2_FR
NEW met1 ( 1915440 4989265 ) M1M2_PR
NEW met1 ( 1886160 4989265 ) M1M2_PR
NEW met1 ( 1886160 5004435 ) M1M2_PR ;
- mprj_io_analog_en\[19\] ( padframe mprj_io_analog_en[19] ) ( gpio_control_in\[19\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 1460880 4978350 0 ) ( 1462800 * )
NEW met3 ( 1462800 4978350 ) ( 1528800 * )
NEW met3 ( 1528800 4978350 ) ( * 4979090 0 )
NEW met2 ( 1462800 4978350 ) via2_FR ;
- mprj_io_analog_en\[1\] ( padframe mprj_io_analog_en[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 3370800 757575 ) ( 3377040 * )
NEW met2 ( 3377040 755170 0 ) ( * 757575 )
NEW met3 ( 3370800 844710 ) ( 3373920 * 0 )
NEW met2 ( 3370800 757575 ) ( * 844710 )
NEW met1 ( 3370800 757575 ) M1M2_PR
NEW met1 ( 3377040 757575 ) M1M2_PR
NEW met2 ( 3370800 844710 ) via2_FR ;
- mprj_io_analog_en\[20\] ( padframe mprj_io_analog_en[20] ) ( gpio_control_in\[20\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 1202880 4979090 0 ) ( 1204560 * )
NEW met2 ( 1204560 4978905 ) ( * 4979090 )
NEW met1 ( 1204560 4978905 ) ( 1233360 * )
NEW met2 ( 1233360 4978905 ) ( * 5011465 )
NEW met2 ( 1269360 5011465 ) ( * 5011650 )
NEW met3 ( 1269360 5011650 ) ( 1271040 * 0 )
NEW met1 ( 1233360 5011465 ) ( 1269360 * )
NEW met1 ( 1233360 5011465 ) M1M2_PR
NEW met1 ( 1204560 4978905 ) M1M2_PR
NEW met1 ( 1233360 4978905 ) M1M2_PR
NEW met1 ( 1269360 5011465 ) M1M2_PR
NEW met2 ( 1269360 5011650 ) via2_FR ;
- mprj_io_analog_en\[21\] ( padframe mprj_io_analog_en[21] ) ( gpio_control_in\[21\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 945840 4979090 0 ) ( 947280 * )
NEW met3 ( 947280 4979090 ) ( 993360 * )
NEW met2 ( 993360 4979090 ) ( * 4979275 )
NEW met1 ( 993360 4979275 ) ( 1012560 * )
NEW met2 ( 1012560 4979090 ) ( * 4979275 )
NEW met3 ( 1012560 4979090 ) ( 1014240 * 0 )
NEW met2 ( 947280 4979090 ) via2_FR
NEW met2 ( 993360 4979090 ) via2_FR
NEW met1 ( 993360 4979275 ) M1M2_PR
NEW met1 ( 1012560 4979275 ) M1M2_PR
NEW met2 ( 1012560 4979090 ) via2_FR ;
- mprj_io_analog_en\[22\] ( padframe mprj_io_analog_en[22] ) ( gpio_control_in\[22\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 718320 5011650 ) ( 718800 * )
NEW met2 ( 718800 5011650 ) ( * 5011835 )
NEW met1 ( 718800 5011835 ) ( 719280 * )
NEW met1 ( 719280 5011835 ) ( * 5012205 )
NEW met2 ( 688800 4979090 0 ) ( 690000 * )
NEW met2 ( 690000 4978905 ) ( * 4979090 )
NEW met1 ( 690000 4978905 ) ( 718320 * )
NEW met2 ( 718320 4978905 ) ( * 5011650 )
NEW met2 ( 755760 5012205 ) ( * 5012390 )
NEW met3 ( 755760 5012390 ) ( 756960 * 0 )
NEW met1 ( 719280 5012205 ) ( 755760 * )
NEW met1 ( 718800 5011835 ) M1M2_PR
NEW met1 ( 690000 4978905 ) M1M2_PR
NEW met1 ( 718320 4978905 ) M1M2_PR
NEW met1 ( 755760 5012205 ) M1M2_PR
NEW met2 ( 755760 5012390 ) via2_FR ;
- mprj_io_analog_en\[23\] ( padframe mprj_io_analog_en[23] ) ( gpio_control_in\[23\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 432240 4976130 ) ( * 4977610 )
NEW met3 ( 432240 4976130 ) ( 498000 * )
NEW met2 ( 498000 4976130 ) ( * 4979830 )
NEW met3 ( 498000 4979830 ) ( 499680 * 0 )
NEW met2 ( 431760 4977610 0 ) ( 432240 * )
NEW met2 ( 432240 4976130 ) via2_FR
NEW met2 ( 498000 4976130 ) via2_FR
NEW met2 ( 498000 4979830 ) via2_FR ;
- mprj_io_analog_en\[24\] ( padframe mprj_io_analog_en[24] ) ( gpio_control_in\[24\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 204960 4819990 ) ( 209040 * )
NEW met2 ( 209040 4819990 ) ( * 4821840 0 )
NEW met3 ( 204960 4669770 0 ) ( * 4671990 )
NEW met4 ( 204960 4671990 ) ( * 4819990 )
NEW met3 ( 204960 4819990 ) M3M4_PR_M
NEW met2 ( 209040 4819990 ) via2_FR
NEW met3 ( 204960 4671990 ) M3M4_PR_M ;
- mprj_io_analog_en\[25\] ( padframe mprj_io_analog_en[25] ) ( gpio_control_in\[25\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 210960 3972845 0 ) ( * 3975095 )
NEW met1 ( 210960 3975095 ) ( 214320 * )
NEW met2 ( 214320 3975095 ) ( * 4040770 )
NEW met3 ( 212640 4040770 0 ) ( 214320 * )
NEW met1 ( 210960 3975095 ) M1M2_PR
NEW met1 ( 214320 3975095 ) M1M2_PR
NEW met2 ( 214320 4040770 ) via2_FR ;
- mprj_io_analog_en\[26\] ( padframe mprj_io_analog_en[26] ) ( gpio_control_in\[26\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 207840 3758830 ) ( 210480 * )
NEW met4 ( 207840 3758830 ) ( * 3786950 )
NEW met3 ( 207840 3786950 ) ( 208080 * )
NEW met2 ( 208080 3786950 ) ( * 3823950 )
NEW met3 ( 207840 3823950 ) ( 208080 * )
NEW met3 ( 207840 3823950 ) ( * 3824690 0 )
NEW met2 ( 210480 3756980 0 ) ( * 3758830 )
NEW met2 ( 210480 3758830 ) via2_FR
NEW met3 ( 207840 3758830 ) M3M4_PR_M
NEW met3 ( 207840 3786950 ) M3M4_PR_M
NEW met2 ( 208080 3786950 ) via2_FR
NEW met2 ( 208080 3823950 ) via2_FR
NEW met3 ( 207840 3786950 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_analog_en\[27\] ( padframe mprj_io_analog_en[27] ) ( gpio_control_in\[27\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 215520 3556810 ) ( 216240 * )
NEW met3 ( 215520 3556810 ) ( * 3557550 )
NEW met3 ( 213840 3557550 ) ( 215520 * )
NEW met2 ( 213840 3557550 ) ( * 3602135 )
NEW met1 ( 213840 3602135 ) ( * 3603245 )
NEW met2 ( 213840 3603245 ) ( * 3608980 )
NEW met3 ( 212640 3608980 0 ) ( 213840 * )
NEW met2 ( 210480 3540900 0 ) ( * 3542935 )
NEW met1 ( 210480 3542935 ) ( 215760 * )
NEW met2 ( 215760 3542935 ) ( * 3556070 )
NEW met2 ( 215760 3556070 ) ( 216240 * )
NEW met2 ( 216240 3556070 ) ( * 3556810 )
NEW met2 ( 216240 3556810 ) via2_FR
NEW met2 ( 213840 3557550 ) via2_FR
NEW met1 ( 213840 3602135 ) M1M2_PR
NEW met1 ( 213840 3603245 ) M1M2_PR
NEW met2 ( 213840 3608980 ) via2_FR
NEW met1 ( 210480 3542935 ) M1M2_PR
NEW met1 ( 215760 3542935 ) M1M2_PR ;
- mprj_io_analog_en\[28\] ( padframe mprj_io_analog_en[28] ) ( gpio_control_in\[28\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 207840 3326670 ) ( 210960 * )
NEW met4 ( 207840 3326670 ) ( * 3391050 )
NEW met3 ( 207840 3391050 ) ( * 3392900 0 )
NEW met2 ( 210960 3324820 0 ) ( * 3326670 )
NEW met2 ( 210960 3326670 ) via2_FR
NEW met3 ( 207840 3326670 ) M3M4_PR_M
NEW met3 ( 207840 3391050 ) M3M4_PR_M ;
- mprj_io_analog_en\[29\] ( padframe mprj_io_analog_en[29] ) ( gpio_control_in\[29\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 211680 3175710 ) ( 211920 * )
NEW met3 ( 211680 3175710 ) ( * 3177190 0 )
NEW met2 ( 210960 3108740 0 ) ( * 3111145 )
NEW met1 ( 210960 3111145 ) ( 212400 * )
NEW met2 ( 212400 3111145 ) ( * 3122430 )
NEW met3 ( 212400 3122430 ) ( 212640 * )
NEW met4 ( 212640 3122430 ) ( * 3135010 )
NEW met3 ( 211920 3135010 ) ( 212640 * )
NEW met2 ( 211920 3135010 ) ( * 3175710 )
NEW met2 ( 211920 3175710 ) via2_FR
NEW met1 ( 210960 3111145 ) M1M2_PR
NEW met1 ( 212400 3111145 ) M1M2_PR
NEW met2 ( 212400 3122430 ) via2_FR
NEW met3 ( 212640 3122430 ) M3M4_PR_M
NEW met3 ( 212640 3135010 ) M3M4_PR_M
NEW met2 ( 211920 3135010 ) via2_FR
NEW met3 ( 212400 3122430 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_analog_en\[2\] ( padframe mprj_io_analog_en[2] ) ( gpio_control_in\[2\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 3377040 980130 0 ) ( * 982905 )
NEW met1 ( 3373200 982905 ) ( 3377040 * )
NEW met2 ( 3373200 982905 ) ( * 1068930 )
NEW met3 ( 3373200 1068930 ) ( 3373920 * )
NEW met3 ( 3373920 1068930 ) ( * 1069670 0 )
NEW met1 ( 3377040 982905 ) M1M2_PR
NEW met1 ( 3373200 982905 ) M1M2_PR
NEW met2 ( 3373200 1068930 ) via2_FR ;
- mprj_io_analog_en\[30\] ( padframe mprj_io_analog_en[30] ) ( gpio_control_in\[30\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 210960 2894510 ) ( 213600 * )
NEW met4 ( 213600 2894510 ) ( * 2912085 )
NEW met4 ( 212640 2912085 ) ( 213600 * )
NEW met4 ( 212640 2912085 ) ( * 2959630 )
NEW met3 ( 212640 2959630 ) ( * 2961110 0 )
NEW met2 ( 210960 2892845 0 ) ( * 2894510 )
NEW met2 ( 210960 2894510 ) via2_FR
NEW met3 ( 213600 2894510 ) M3M4_PR_M
NEW met3 ( 212640 2959630 ) M3M4_PR_M ;
- mprj_io_analog_en\[31\] ( padframe mprj_io_analog_en[31] ) ( gpio_control_in\[31\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 210480 2676950 0 ) ( * 2678985 )
NEW met1 ( 210480 2678985 ) ( 215280 * )
NEW met2 ( 215280 2678985 ) ( * 2745030 )
NEW met3 ( 212640 2745030 0 ) ( 215280 * )
NEW met1 ( 210480 2678985 ) M1M2_PR
NEW met1 ( 215280 2678985 ) M1M2_PR
NEW met2 ( 215280 2745030 ) via2_FR ;
- mprj_io_analog_en\[32\] ( padframe mprj_io_analog_en[32] ) ( gpio_control_in\[32\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 210960 2041105 ) ( 213840 * )
NEW met2 ( 210960 2038845 0 ) ( * 2041105 )
NEW met2 ( 213840 2046470 ) ( 214800 * )
NEW met2 ( 214800 2046470 ) ( * 2107150 )
NEW met3 ( 212640 2107150 0 ) ( 214800 * )
NEW met2 ( 213840 2041105 ) ( * 2046470 )
NEW met1 ( 213840 2041105 ) M1M2_PR
NEW met1 ( 210960 2041105 ) M1M2_PR
NEW met2 ( 214800 2107150 ) via2_FR ;
- mprj_io_analog_en\[33\] ( padframe mprj_io_analog_en[33] ) ( gpio_control_in\[33\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 210960 1822845 0 ) ( * 1825025 )
NEW met1 ( 210960 1825025 ) ( 215760 * )
NEW met3 ( 212640 1891070 0 ) ( 215760 * )
NEW met2 ( 215760 1825025 ) ( * 1891070 )
NEW met1 ( 210960 1825025 ) M1M2_PR
NEW met1 ( 215760 1825025 ) M1M2_PR
NEW met2 ( 215760 1891070 ) via2_FR ;
- mprj_io_analog_en\[34\] ( padframe mprj_io_analog_en[34] ) ( gpio_control_in\[34\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 210480 1606910 0 ) ( * 1608945 )
NEW met1 ( 210480 1608945 ) ( 215280 * )
NEW met3 ( 212640 1674990 0 ) ( 215280 * )
NEW met2 ( 215280 1608945 ) ( * 1674990 )
NEW met1 ( 210480 1608945 ) M1M2_PR
NEW met1 ( 215280 1608945 ) M1M2_PR
NEW met2 ( 215280 1674990 ) via2_FR ;
- mprj_io_analog_en\[35\] ( padframe mprj_io_analog_en[35] ) ( gpio_control_in\[35\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 210960 1390830 0 ) ( * 1392865 )
NEW met1 ( 210960 1392865 ) ( 214800 * )
NEW met3 ( 212640 1458910 0 ) ( 214800 * )
NEW met2 ( 214800 1392865 ) ( * 1458910 )
NEW met1 ( 210960 1392865 ) M1M2_PR
NEW met1 ( 214800 1392865 ) M1M2_PR
NEW met2 ( 214800 1458910 ) via2_FR ;
- mprj_io_analog_en\[36\] ( padframe mprj_io_analog_en[36] ) ( gpio_control_in\[36\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 210480 1241350 ) ( 210720 * )
NEW met3 ( 210720 1241350 ) ( * 1242830 0 )
NEW met3 ( 210480 1210270 ) ( 212400 * )
NEW met2 ( 210480 1210270 ) ( * 1241350 )
NEW met2 ( 210960 1174750 0 ) ( * 1177155 )
NEW met1 ( 210960 1177155 ) ( 215280 * )
NEW met2 ( 215280 1177155 ) ( * 1191955 )
NEW met1 ( 212400 1191955 ) ( 215280 * )
NEW met2 ( 212400 1191955 ) ( * 1210270 )
NEW met2 ( 210480 1241350 ) via2_FR
NEW met2 ( 210480 1210270 ) via2_FR
NEW met2 ( 212400 1210270 ) via2_FR
NEW met1 ( 210960 1177155 ) M1M2_PR
NEW met1 ( 215280 1177155 ) M1M2_PR
NEW met1 ( 215280 1191955 ) M1M2_PR
NEW met1 ( 212400 1191955 ) M1M2_PR ;
- mprj_io_analog_en\[37\] ( padframe mprj_io_analog_en[37] ) ( gpio_control_in\[37\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met2 ( 210960 958845 0 ) ( * 961075 )
NEW met1 ( 210960 961075 ) ( 215280 * )
NEW met2 ( 215280 961075 ) ( * 1026750 )
NEW met3 ( 212640 1026750 0 ) ( 215280 * )
NEW met1 ( 210960 961075 ) M1M2_PR
NEW met1 ( 215280 961075 ) M1M2_PR
NEW met2 ( 215280 1026750 ) via2_FR ;
- mprj_io_analog_en\[3\] ( padframe mprj_io_analog_en[3] ) ( gpio_control_in\[3\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 3370320 1207865 ) ( 3377520 * )
NEW met2 ( 3377520 1206200 0 ) ( * 1207865 )
NEW met3 ( 3370320 1296110 ) ( 3373920 * 0 )
NEW met2 ( 3370320 1207865 ) ( * 1296110 )
NEW met1 ( 3370320 1207865 ) M1M2_PR
NEW met1 ( 3377520 1207865 ) M1M2_PR
NEW met2 ( 3370320 1296110 ) via2_FR ;
- mprj_io_analog_en\[4\] ( padframe mprj_io_analog_en[4] ) ( gpio_control_in\[4\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 3371280 1433935 ) ( 3377040 * )
NEW met2 ( 3377040 1431160 0 ) ( * 1433935 )
NEW met3 ( 3371280 1521070 ) ( 3373920 * 0 )
NEW met2 ( 3371280 1433935 ) ( * 1521070 )
NEW met1 ( 3371280 1433935 ) M1M2_PR
NEW met1 ( 3377040 1433935 ) M1M2_PR
NEW met2 ( 3371280 1521070 ) via2_FR ;
- mprj_io_analog_en\[5\] ( padframe mprj_io_analog_en[5] ) ( gpio_control_in\[5\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 3370320 1746030 ) ( 3373920 * 0 )
NEW met1 ( 3370320 1657785 ) ( 3377040 * )
NEW met2 ( 3377040 1656120 0 ) ( * 1657785 )
NEW met2 ( 3370320 1657785 ) ( * 1746030 )
NEW met2 ( 3370320 1746030 ) via2_FR
NEW met1 ( 3370320 1657785 ) M1M2_PR
NEW met1 ( 3377040 1657785 ) M1M2_PR ;
- mprj_io_analog_en\[6\] ( padframe mprj_io_analog_en[6] ) ( gpio_control_in\[6\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 3371280 1971730 ) ( 3373920 * 0 )
NEW met1 ( 3371280 1884225 ) ( 3377520 * )
NEW met2 ( 3377520 1882190 0 ) ( * 1884225 )
NEW met2 ( 3371280 1884225 ) ( * 1971730 )
NEW met2 ( 3371280 1971730 ) via2_FR
NEW met1 ( 3371280 1884225 ) M1M2_PR
NEW met1 ( 3377520 1884225 ) M1M2_PR ;
- mprj_io_analog_en\[7\] ( padframe mprj_io_analog_en[7] ) ( gpio_control_in\[7\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 3366960 2412770 ) ( 3373920 * 0 )
NEW met1 ( 3366960 2765935 ) ( 3377040 * )
NEW met2 ( 3377040 2765935 ) ( * 2768155 0 )
NEW met2 ( 3366960 2412770 ) ( * 2765935 )
NEW met2 ( 3366960 2412770 ) via2_FR
NEW met1 ( 3366960 2765935 ) M1M2_PR
NEW met1 ( 3377040 2765935 ) M1M2_PR ;
- mprj_io_analog_en\[8\] ( padframe mprj_io_analog_en[8] ) ( gpio_control_in\[8\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met3 ( 3365040 2633290 ) ( 3373920 * )
NEW met3 ( 3373920 2632920 0 ) ( * 2633290 )
NEW met1 ( 3365040 2992005 ) ( 3377520 * )
NEW met2 ( 3377520 2992005 ) ( * 2994040 0 )
NEW met2 ( 3365040 2633290 ) ( * 2992005 )
NEW met2 ( 3365040 2633290 ) via2_FR
NEW met1 ( 3365040 2992005 ) M1M2_PR
NEW met1 ( 3377520 2992005 ) M1M2_PR ;
- mprj_io_analog_en\[9\] ( padframe mprj_io_analog_en[9] ) ( gpio_control_in\[9\] pad_gpio_ana_en ) + USE SIGNAL
+ ROUTED met1 ( 3364560 3216965 ) ( 3377040 * )
NEW met2 ( 3377040 3216965 ) ( * 3219155 0 )
NEW met1 ( 3364560 2859175 ) ( 3373200 * )
NEW met2 ( 3373200 2858990 ) ( * 2859175 )
NEW met3 ( 3373200 2858990 ) ( 3373920 * )
NEW met3 ( 3373920 2857880 0 ) ( * 2858990 )
NEW met2 ( 3364560 2859175 ) ( * 3216965 )
NEW met1 ( 3364560 3216965 ) M1M2_PR
NEW met1 ( 3377040 3216965 ) M1M2_PR
NEW met1 ( 3364560 2859175 ) M1M2_PR
NEW met1 ( 3373200 2859175 ) M1M2_PR
NEW met2 ( 3373200 2858990 ) via2_FR ;
- mprj_io_analog_pol\[0\] ( padframe mprj_io_analog_pol[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3374640 620490 ) ( 3374880 * )
NEW met3 ( 3374880 620490 ) ( * 621600 0 )
NEW met1 ( 3374640 537795 ) ( 3377040 * )
NEW met2 ( 3377040 535595 0 ) ( * 537795 )
NEW met2 ( 3374640 537795 ) ( * 620490 )
NEW met2 ( 3374640 620490 ) via2_FR
NEW met1 ( 3374640 537795 ) M1M2_PR
NEW met1 ( 3377040 537795 ) M1M2_PR ;
- mprj_io_analog_pol\[10\] ( padframe mprj_io_analog_pol[10] ) ( gpio_control_in\[10\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 3366480 3448955 ) ( 3377040 * )
NEW met2 ( 3377040 3448955 ) ( * 3451730 0 )
NEW met3 ( 3366480 3086910 ) ( 3373920 * 0 )
NEW met2 ( 3366480 3086910 ) ( * 3448955 )
NEW met1 ( 3366480 3448955 ) M1M2_PR
NEW met1 ( 3377040 3448955 ) M1M2_PR
NEW met2 ( 3366480 3086910 ) via2_FR ;
- mprj_io_analog_pol\[11\] ( padframe mprj_io_analog_pol[11] ) ( gpio_control_in\[11\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3366960 3311870 ) ( 3373920 * 0 )
NEW met1 ( 3366960 3674655 ) ( 3377040 * )
NEW met2 ( 3377040 3674655 ) ( * 3676690 0 )
NEW met2 ( 3366960 3311870 ) ( * 3674655 )
NEW met2 ( 3366960 3311870 ) via2_FR
NEW met1 ( 3366960 3674655 ) M1M2_PR
NEW met1 ( 3377040 3674655 ) M1M2_PR ;
- mprj_io_analog_pol\[12\] ( padframe mprj_io_analog_pol[12] ) ( gpio_control_in\[12\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 3365520 3898875 ) ( 3377040 * )
NEW met2 ( 3377040 3898875 ) ( * 3901650 0 )
NEW met3 ( 3365520 3537570 ) ( 3373920 * 0 )
NEW met2 ( 3365520 3537570 ) ( * 3898875 )
NEW met1 ( 3365520 3898875 ) M1M2_PR
NEW met1 ( 3377040 3898875 ) M1M2_PR
NEW met2 ( 3365520 3537570 ) via2_FR ;
- mprj_io_analog_pol\[13\] ( padframe mprj_io_analog_pol[13] ) ( gpio_control_in\[13\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3374640 3880930 ) ( 3385440 * )
NEW met4 ( 3385440 3801750 ) ( * 3880930 )
NEW met3 ( 3385440 3801750 ) ( 3389520 * )
NEW met3 ( 3389280 3799530 ) ( 3389520 * )
NEW met4 ( 3389280 3764010 ) ( * 3799530 )
NEW met3 ( 3389280 3762530 0 ) ( * 3764010 )
NEW met2 ( 3389520 3799530 ) ( * 3801750 )
NEW met1 ( 3372240 4310315 ) ( 3374640 * )
NEW met2 ( 3372240 4310315 ) ( * 4345095 )
NEW met1 ( 3372240 4345095 ) ( 3376080 * )
NEW met2 ( 3376080 4345095 ) ( * 4347500 )
NEW met2 ( 3376080 4347500 ) ( 3376560 * )
NEW met2 ( 3376560 4347500 ) ( * 4347870 )
NEW met2 ( 3376560 4347870 ) ( 3377040 * )
NEW met2 ( 3377040 4347500 0 ) ( * 4347870 )
NEW met2 ( 3374640 3880930 ) ( * 4310315 )
NEW met2 ( 3374640 3880930 ) via2_FR
NEW met3 ( 3385440 3880930 ) M3M4_PR_M
NEW met3 ( 3385440 3801750 ) M3M4_PR_M
NEW met2 ( 3389520 3801750 ) via2_FR
NEW met2 ( 3389520 3799530 ) via2_FR
NEW met3 ( 3389280 3799530 ) M3M4_PR_M
NEW met3 ( 3389280 3764010 ) M3M4_PR_M
NEW met1 ( 3374640 4310315 ) M1M2_PR
NEW met1 ( 3372240 4310315 ) M1M2_PR
NEW met1 ( 3372240 4345095 ) M1M2_PR
NEW met1 ( 3376080 4345095 ) M1M2_PR
NEW met3 ( 3389520 3799530 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_analog_pol\[14\] ( padframe mprj_io_analog_pol[14] ) ( gpio_control_in\[14\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3385440 4654600 0 ) ( * 4656450 )
NEW met3 ( 3375600 4767450 ) ( 3385440 * )
NEW met2 ( 3375600 4767450 ) ( * 4792055 )
NEW met1 ( 3375600 4792055 ) ( 3377040 * )
NEW met2 ( 3377040 4792055 ) ( * 4793720 0 )
NEW met4 ( 3385440 4656450 ) ( * 4767450 )
NEW met3 ( 3385440 4656450 ) M3M4_PR_M
NEW met3 ( 3385440 4767450 ) M3M4_PR_M
NEW met2 ( 3375600 4767450 ) via2_FR
NEW met1 ( 3375600 4792055 ) M1M2_PR
NEW met1 ( 3377040 4792055 ) M1M2_PR ;
- mprj_io_analog_pol\[15\] ( padframe mprj_io_analog_pol[15] ) ( gpio_control_in\[15\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 2875920 4976870 ) ( * 5004065 )
NEW met2 ( 3173040 4976870 ) ( * 4977610 )
NEW met2 ( 3173040 4977610 ) ( 3174480 * 0 )
NEW met3 ( 2875920 4976870 ) ( 3173040 * )
NEW met2 ( 2765040 5004065 ) ( * 5004250 )
NEW met2 ( 2764560 5004250 ) ( 2765040 * )
NEW met3 ( 2762400 5004250 0 ) ( 2764560 * )
NEW met1 ( 2765040 5004065 ) ( 2875920 * )
NEW met2 ( 2875920 4976870 ) via2_FR
NEW met1 ( 2875920 5004065 ) M1M2_PR
NEW met2 ( 3173040 4976870 ) via2_FR
NEW met1 ( 2765040 5004065 ) M1M2_PR
NEW met2 ( 2764560 5004250 ) via2_FR ;
- mprj_io_analog_pol\[16\] ( padframe mprj_io_analog_pol[16] ) ( gpio_control_in\[16\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 2594160 4977425 ) ( * 5015905 )
NEW met1 ( 2514480 5015165 ) ( * 5015905 )
NEW met1 ( 2506800 5015165 ) ( 2514480 * )
NEW met2 ( 2506800 5015165 ) ( * 5015350 )
NEW met3 ( 2505600 5015350 0 ) ( 2506800 * )
NEW met1 ( 2514480 5015905 ) ( 2594160 * )
NEW met2 ( 2664240 4977425 ) ( * 4977610 )
NEW met2 ( 2664240 4977610 ) ( 2665440 * 0 )
NEW met1 ( 2594160 4977425 ) ( 2664240 * )
NEW met1 ( 2594160 5015905 ) M1M2_PR
NEW met1 ( 2594160 4977425 ) M1M2_PR
NEW met1 ( 2506800 5015165 ) M1M2_PR
NEW met2 ( 2506800 5015350 ) via2_FR
NEW met1 ( 2664240 4977425 ) M1M2_PR ;
- mprj_io_analog_pol\[17\] ( padframe mprj_io_analog_pol[17] ) ( gpio_control_in\[17\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 2407440 4979090 ) ( 2408400 * 0 )
NEW met4 ( 2383200 4979090 ) ( * 4980570 )
NEW met3 ( 2383200 4979090 ) ( 2407440 * )
NEW met2 ( 2121840 5014610 ) ( * 5014795 )
NEW met3 ( 2120640 5014610 0 ) ( 2121840 * )
NEW met2 ( 2318640 4980570 ) ( * 4982790 )
NEW met2 ( 2318160 4982790 ) ( 2318640 * )
NEW met2 ( 2318160 4982790 ) ( * 5014795 )
NEW met1 ( 2121840 5014795 ) ( 2318160 * )
NEW met3 ( 2318640 4980570 ) ( 2383200 * )
NEW met2 ( 2407440 4979090 ) via2_FR
NEW met3 ( 2383200 4980570 ) M3M4_PR_M
NEW met3 ( 2383200 4979090 ) M3M4_PR_M
NEW met1 ( 2121840 5014795 ) M1M2_PR
NEW met2 ( 2121840 5014610 ) via2_FR
NEW met2 ( 2318640 4980570 ) via2_FR
NEW met1 ( 2318160 5014795 ) M1M2_PR ;
- mprj_io_analog_pol\[18\] ( padframe mprj_io_analog_pol[18] ) ( gpio_control_in\[18\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 1785840 4996850 ) ( * 4997035 )
NEW met2 ( 1785360 4996850 ) ( 1785840 * )
NEW met3 ( 1783680 4996850 0 ) ( 1785360 * )
NEW met2 ( 1962000 4977610 ) ( * 4977795 )
NEW met2 ( 1962000 4977610 ) ( 1963440 * 0 )
NEW met1 ( 1881840 4996665 ) ( * 4997035 )
NEW met1 ( 1881840 4996665 ) ( 1915920 * )
NEW met2 ( 1915920 4977795 ) ( * 4996665 )
NEW met1 ( 1785840 4997035 ) ( 1881840 * )
NEW met1 ( 1915920 4977795 ) ( 1962000 * )
NEW met1 ( 1785840 4997035 ) M1M2_PR
NEW met2 ( 1785360 4996850 ) via2_FR
NEW met1 ( 1962000 4977795 ) M1M2_PR
NEW met1 ( 1915920 4996665 ) M1M2_PR
NEW met1 ( 1915920 4977795 ) M1M2_PR ;
- mprj_io_analog_pol\[19\] ( padframe mprj_io_analog_pol[19] ) ( gpio_control_in\[19\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 1454400 4979090 0 ) ( 1456080 * )
NEW met2 ( 1456080 4978905 ) ( * 4979090 )
NEW met1 ( 1456080 4978905 ) ( 1530480 * )
NEW met2 ( 1530480 4978905 ) ( * 4979090 )
NEW met3 ( 1530480 4979090 ) ( 1531680 * 0 )
NEW met1 ( 1456080 4978905 ) M1M2_PR
NEW met1 ( 1530480 4978905 ) M1M2_PR
NEW met2 ( 1530480 4979090 ) via2_FR ;
- mprj_io_analog_pol\[1\] ( padframe mprj_io_analog_pol[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 3371280 763865 ) ( 3377040 * )
NEW met2 ( 3377040 761460 0 ) ( * 763865 )
NEW met3 ( 3371280 847670 ) ( 3373920 * 0 )
NEW met2 ( 3371280 763865 ) ( * 847670 )
NEW met1 ( 3371280 763865 ) M1M2_PR
NEW met1 ( 3377040 763865 ) M1M2_PR
NEW met2 ( 3371280 847670 ) via2_FR ;
- mprj_io_analog_pol\[20\] ( padframe mprj_io_analog_pol[20] ) ( gpio_control_in\[20\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 1196400 4979090 0 ) ( 1197840 * )
NEW met2 ( 1197840 4978905 ) ( * 4979090 )
NEW met1 ( 1197840 4978905 ) ( * 4979275 )
NEW met2 ( 1272720 4979275 ) ( * 4979830 )
NEW met3 ( 1272720 4979830 ) ( 1273440 * 0 )
NEW met1 ( 1197840 4979275 ) ( 1272720 * )
NEW met1 ( 1197840 4978905 ) M1M2_PR
NEW met1 ( 1272720 4979275 ) M1M2_PR
NEW met2 ( 1272720 4979830 ) via2_FR ;
- mprj_io_analog_pol\[21\] ( padframe mprj_io_analog_pol[21] ) ( gpio_control_in\[21\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 939360 4977610 0 ) ( 940560 * )
NEW met3 ( 940560 4977610 ) ( 992400 * )
NEW met2 ( 992400 4977610 ) ( * 4978535 )
NEW met1 ( 992400 4978535 ) ( 1014960 * )
NEW met2 ( 1014960 4978535 ) ( * 4979090 )
NEW met3 ( 1014960 4979090 ) ( 1016640 * 0 )
NEW met2 ( 940560 4977610 ) via2_FR
NEW met2 ( 992400 4977610 ) via2_FR
NEW met1 ( 992400 4978535 ) M1M2_PR
NEW met1 ( 1014960 4978535 ) M1M2_PR
NEW met2 ( 1014960 4979090 ) via2_FR ;
- mprj_io_analog_pol\[22\] ( padframe mprj_io_analog_pol[22] ) ( gpio_control_in\[22\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 682320 4978350 0 ) ( 683760 * )
NEW met2 ( 683760 4978350 ) ( * 4978535 )
NEW met2 ( 758640 4978535 ) ( * 4979090 )
NEW met3 ( 758640 4979090 ) ( 759840 * 0 )
NEW met1 ( 683760 4978535 ) ( 758640 * )
NEW met1 ( 683760 4978535 ) M1M2_PR
NEW met1 ( 758640 4978535 ) M1M2_PR
NEW met2 ( 758640 4979090 ) via2_FR ;
- mprj_io_analog_pol\[23\] ( padframe mprj_io_analog_pol[23] ) ( gpio_control_in\[23\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 426960 4976870 ) ( * 4977610 )
NEW met2 ( 425520 4977610 0 ) ( 426960 * )
NEW met2 ( 469200 4976870 ) ( * 4978165 )
NEW met1 ( 469200 4978165 ) ( 495120 * )
NEW met2 ( 495120 4978165 ) ( * 4978350 )
NEW met3 ( 495120 4978350 ) ( 502560 * )
NEW met3 ( 502560 4978350 ) ( * 4979090 0 )
NEW met3 ( 426960 4976870 ) ( 469200 * )
NEW met2 ( 426960 4976870 ) via2_FR
NEW met2 ( 469200 4976870 ) via2_FR
NEW met1 ( 469200 4978165 ) M1M2_PR
NEW met1 ( 495120 4978165 ) M1M2_PR
NEW met2 ( 495120 4978350 ) via2_FR ;
- mprj_io_analog_pol\[24\] ( padframe mprj_io_analog_pol[24] ) ( gpio_control_in\[24\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 204000 4813330 ) ( 209040 * )
NEW met2 ( 209040 4813330 ) ( * 4815405 0 )
NEW met3 ( 204000 4672730 0 ) ( * 4674210 )
NEW met4 ( 204000 4674210 ) ( * 4813330 )
NEW met3 ( 204000 4813330 ) M3M4_PR_M
NEW met2 ( 209040 4813330 ) via2_FR
NEW met3 ( 204000 4674210 ) M3M4_PR_M ;
- mprj_io_analog_pol\[25\] ( padframe mprj_io_analog_pol[25] ) ( gpio_control_in\[25\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 210960 3966400 0 ) ( * 3969175 )
NEW met1 ( 210960 3969175 ) ( 215760 * )
NEW met2 ( 215760 3969175 ) ( * 4043730 )
NEW met3 ( 212640 4043730 0 ) ( 215760 * )
NEW met1 ( 210960 3969175 ) M1M2_PR
NEW met1 ( 215760 3969175 ) M1M2_PR
NEW met2 ( 215760 4043730 ) via2_FR ;
- mprj_io_analog_pol\[26\] ( padframe mprj_io_analog_pol[26] ) ( gpio_control_in\[26\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 210960 3750320 0 ) ( * 3752910 )
NEW met3 ( 210960 3752910 ) ( 211680 * )
NEW met4 ( 211680 3752910 ) ( * 3826170 )
NEW met3 ( 211680 3826170 ) ( * 3827650 0 )
NEW met2 ( 210960 3752910 ) via2_FR
NEW met3 ( 211680 3752910 ) M3M4_PR_M
NEW met3 ( 211680 3826170 ) M3M4_PR_M ;
- mprj_io_analog_pol\[27\] ( padframe mprj_io_analog_pol[27] ) ( gpio_control_in\[27\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 209040 3534405 0 ) ( * 3536830 )
NEW met3 ( 207840 3536830 ) ( 209040 * )
NEW met4 ( 207840 3536830 ) ( * 3574755 )
NEW met4 ( 207840 3574755 ) ( 208800 * )
NEW met4 ( 208800 3574755 ) ( * 3610090 )
NEW met3 ( 208800 3610090 ) ( * 3611570 0 )
NEW met2 ( 209040 3536830 ) via2_FR
NEW met3 ( 207840 3536830 ) M3M4_PR_M
NEW met3 ( 208800 3610090 ) M3M4_PR_M ;
- mprj_io_analog_pol\[28\] ( padframe mprj_io_analog_pol[28] ) ( gpio_control_in\[28\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 208800 3394010 ) ( 209040 * )
NEW met3 ( 208800 3394010 ) ( * 3395490 0 )
NEW met1 ( 209040 3353125 ) ( 213360 * )
NEW met2 ( 213360 3320935 ) ( * 3353125 )
NEW met1 ( 210480 3320935 ) ( 213360 * )
NEW met2 ( 210480 3318530 0 ) ( * 3320935 )
NEW met2 ( 209040 3353125 ) ( * 3394010 )
NEW met2 ( 209040 3394010 ) via2_FR
NEW met1 ( 209040 3353125 ) M1M2_PR
NEW met1 ( 213360 3353125 ) M1M2_PR
NEW met1 ( 213360 3320935 ) M1M2_PR
NEW met1 ( 210480 3320935 ) M1M2_PR ;
- mprj_io_analog_pol\[29\] ( padframe mprj_io_analog_pol[29] ) ( gpio_control_in\[29\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 210480 3102450 0 ) ( * 3104485 )
NEW met1 ( 210480 3104485 ) ( 216720 * )
NEW met3 ( 212640 3177930 ) ( 216720 * )
NEW met3 ( 212640 3177930 ) ( * 3179410 0 )
NEW met2 ( 216720 3104485 ) ( * 3177930 )
NEW met1 ( 210480 3104485 ) M1M2_PR
NEW met1 ( 216720 3104485 ) M1M2_PR
NEW met2 ( 216720 3177930 ) via2_FR ;
- mprj_io_analog_pol\[2\] ( padframe mprj_io_analog_pol[2] ) ( gpio_control_in\[2\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 3377040 986595 0 ) ( * 988085 )
NEW met1 ( 3373680 988085 ) ( 3377040 * )
NEW met2 ( 3373680 988085 ) ( * 1071890 )
NEW met3 ( 3373680 1071890 ) ( 3373920 * )
NEW met3 ( 3373920 1071890 ) ( * 1072630 0 )
NEW met1 ( 3377040 988085 ) M1M2_PR
NEW met1 ( 3373680 988085 ) M1M2_PR
NEW met2 ( 3373680 1071890 ) via2_FR ;
- mprj_io_analog_pol\[30\] ( padframe mprj_io_analog_pol[30] ) ( gpio_control_in\[30\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 212640 2963700 0 ) ( 215280 * )
NEW met2 ( 210960 2886370 0 ) ( * 2888405 )
NEW met1 ( 210960 2888405 ) ( 218160 * )
NEW met2 ( 218160 2888405 ) ( * 2921705 )
NEW met1 ( 215280 2921705 ) ( 218160 * )
NEW met2 ( 215280 2921705 ) ( * 2963700 )
NEW met2 ( 215280 2963700 ) via2_FR
NEW met1 ( 210960 2888405 ) M1M2_PR
NEW met1 ( 218160 2888405 ) M1M2_PR
NEW met1 ( 218160 2921705 ) M1M2_PR
NEW met1 ( 215280 2921705 ) M1M2_PR ;
- mprj_io_analog_pol\[31\] ( padframe mprj_io_analog_pol[31] ) ( gpio_control_in\[31\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 210960 2670290 0 ) ( * 2672325 )
NEW met1 ( 210960 2672325 ) ( 214800 * )
NEW met2 ( 214800 2672325 ) ( * 2690825 )
NEW met1 ( 214800 2690825 ) ( 216720 * )
NEW met2 ( 216720 2690825 ) ( * 2705625 )
NEW met1 ( 214320 2705625 ) ( 216720 * )
NEW met2 ( 214320 2705625 ) ( * 2747620 )
NEW met3 ( 212640 2747620 0 ) ( 214320 * )
NEW met1 ( 210960 2672325 ) M1M2_PR
NEW met1 ( 214800 2672325 ) M1M2_PR
NEW met1 ( 214800 2690825 ) M1M2_PR
NEW met1 ( 216720 2690825 ) M1M2_PR
NEW met1 ( 216720 2705625 ) M1M2_PR
NEW met1 ( 214320 2705625 ) M1M2_PR
NEW met2 ( 214320 2747620 ) via2_FR ;
- mprj_io_analog_pol\[32\] ( padframe mprj_io_analog_pol[32] ) ( gpio_control_in\[32\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 210960 2035185 ) ( 214320 * )
NEW met2 ( 210960 2032410 0 ) ( * 2035185 )
NEW met1 ( 214320 2045915 ) ( * 2046285 )
NEW met1 ( 214320 2046285 ) ( 215280 * )
NEW met2 ( 215280 2046285 ) ( * 2076995 )
NEW met1 ( 200880 2076995 ) ( 215280 * )
NEW met2 ( 200880 2076995 ) ( * 2107890 )
NEW met3 ( 200880 2107890 ) ( 201120 * )
NEW met3 ( 201120 2107890 ) ( * 2109370 0 )
NEW met2 ( 214320 2035185 ) ( * 2045915 )
NEW met1 ( 214320 2035185 ) M1M2_PR
NEW met1 ( 210960 2035185 ) M1M2_PR
NEW met1 ( 214320 2045915 ) M1M2_PR
NEW met1 ( 215280 2046285 ) M1M2_PR
NEW met1 ( 215280 2076995 ) M1M2_PR
NEW met1 ( 200880 2076995 ) M1M2_PR
NEW met2 ( 200880 2107890 ) via2_FR ;
- mprj_io_analog_pol\[33\] ( padframe mprj_io_analog_pol[33] ) ( gpio_control_in\[33\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 201360 1866835 ) ( * 1891810 )
NEW met3 ( 201120 1891810 ) ( 201360 * )
NEW met3 ( 201120 1891810 ) ( * 1893660 0 )
NEW met2 ( 217200 1819105 ) ( * 1866835 )
NEW met1 ( 210960 1819105 ) ( 217200 * )
NEW met2 ( 210960 1816330 0 ) ( * 1819105 )
NEW met1 ( 201360 1866835 ) ( 217200 * )
NEW met1 ( 201360 1866835 ) M1M2_PR
NEW met2 ( 201360 1891810 ) via2_FR
NEW met1 ( 217200 1866835 ) M1M2_PR
NEW met1 ( 217200 1819105 ) M1M2_PR
NEW met1 ( 210960 1819105 ) M1M2_PR ;
- mprj_io_analog_pol\[34\] ( padframe mprj_io_analog_pol[34] ) ( gpio_control_in\[34\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 210960 1600405 0 ) ( * 1603025 )
NEW met1 ( 210960 1603025 ) ( 215760 * )
NEW met1 ( 199440 1648905 ) ( 215760 * )
NEW met2 ( 199440 1648905 ) ( * 1675730 )
NEW met3 ( 199200 1675730 ) ( 199440 * )
NEW met3 ( 199200 1675730 ) ( * 1677950 0 )
NEW met2 ( 215760 1603025 ) ( * 1648905 )
NEW met1 ( 210960 1603025 ) M1M2_PR
NEW met1 ( 215760 1603025 ) M1M2_PR
NEW met1 ( 215760 1648905 ) M1M2_PR
NEW met1 ( 199440 1648905 ) M1M2_PR
NEW met2 ( 199440 1675730 ) via2_FR ;
- mprj_io_analog_pol\[35\] ( padframe mprj_io_analog_pol[35] ) ( gpio_control_in\[35\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 200400 1426535 ) ( 215760 * )
NEW met2 ( 215760 1399525 ) ( * 1426535 )
NEW met1 ( 215760 1398415 ) ( * 1399525 )
NEW met2 ( 215760 1386205 ) ( * 1398415 )
NEW met1 ( 210480 1386205 ) ( 215760 * )
NEW met2 ( 210480 1384540 0 ) ( * 1386205 )
NEW met3 ( 200160 1460390 ) ( 200400 * )
NEW met3 ( 200160 1460390 ) ( * 1461870 0 )
NEW met2 ( 200400 1426535 ) ( * 1460390 )
NEW met1 ( 200400 1426535 ) M1M2_PR
NEW met1 ( 215760 1426535 ) M1M2_PR
NEW met1 ( 215760 1399525 ) M1M2_PR
NEW met1 ( 215760 1398415 ) M1M2_PR
NEW met1 ( 215760 1386205 ) M1M2_PR
NEW met1 ( 210480 1386205 ) M1M2_PR
NEW met2 ( 200400 1460390 ) via2_FR ;
- mprj_io_analog_pol\[36\] ( padframe mprj_io_analog_pol[36] ) ( gpio_control_in\[36\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 200160 1244310 ) ( 200400 * )
NEW met3 ( 200160 1244310 ) ( * 1245790 0 )
NEW met1 ( 200400 1210085 ) ( 212880 * )
NEW met2 ( 200400 1210085 ) ( * 1244310 )
NEW met2 ( 210480 1168460 0 ) ( * 1170310 )
NEW met3 ( 210480 1170310 ) ( 211680 * )
NEW met4 ( 211680 1170310 ) ( * 1191770 )
NEW met3 ( 211680 1191770 ) ( 212880 * )
NEW met2 ( 212880 1191770 ) ( * 1210085 )
NEW met2 ( 200400 1244310 ) via2_FR
NEW met1 ( 200400 1210085 ) M1M2_PR
NEW met1 ( 212880 1210085 ) M1M2_PR
NEW met2 ( 210480 1170310 ) via2_FR
NEW met3 ( 211680 1170310 ) M3M4_PR_M
NEW met3 ( 211680 1191770 ) M3M4_PR_M
NEW met2 ( 212880 1191770 ) via2_FR ;
- mprj_io_analog_pol\[37\] ( padframe mprj_io_analog_pol[37] ) ( gpio_control_in\[37\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met2 ( 201360 993635 ) ( * 1028230 )
NEW met3 ( 201120 1028230 ) ( 201360 * )
NEW met3 ( 201120 1028230 ) ( * 1029710 0 )
NEW met2 ( 205200 988270 ) ( * 993635 )
NEW met3 ( 205200 988270 ) ( 210720 * )
NEW met4 ( 210720 954970 ) ( * 988270 )
NEW met3 ( 210720 954970 ) ( 210960 * )
NEW met2 ( 210960 952380 0 ) ( * 954970 )
NEW met1 ( 201360 993635 ) ( 205200 * )
NEW met1 ( 201360 993635 ) M1M2_PR
NEW met2 ( 201360 1028230 ) via2_FR
NEW met1 ( 205200 993635 ) M1M2_PR
NEW met2 ( 205200 988270 ) via2_FR
NEW met3 ( 210720 988270 ) M3M4_PR_M
NEW met3 ( 210720 954970 ) M3M4_PR_M
NEW met2 ( 210960 954970 ) via2_FR
NEW met3 ( 210720 954970 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_analog_pol\[3\] ( padframe mprj_io_analog_pol[3] ) ( gpio_control_in\[3\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 3375120 1214895 ) ( 3377040 * )
NEW met2 ( 3377040 1212490 0 ) ( * 1214895 )
NEW met3 ( 3374880 1297590 ) ( 3375120 * )
NEW met3 ( 3374880 1297590 ) ( * 1298700 0 )
NEW met2 ( 3375120 1214895 ) ( * 1297590 )
NEW met1 ( 3375120 1214895 ) M1M2_PR
NEW met1 ( 3377040 1214895 ) M1M2_PR
NEW met2 ( 3375120 1297590 ) via2_FR ;
- mprj_io_analog_pol\[4\] ( padframe mprj_io_analog_pol[4] ) ( gpio_control_in\[4\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 3372240 1438745 ) ( 3377040 * )
NEW met2 ( 3377040 1437595 0 ) ( * 1438745 )
NEW met2 ( 3372240 1503310 ) ( 3372720 * )
NEW met2 ( 3372720 1503310 ) ( * 1522550 )
NEW met3 ( 3372720 1522550 ) ( 3373920 * )
NEW met3 ( 3373920 1522550 ) ( * 1523660 0 )
NEW met2 ( 3372240 1438745 ) ( * 1503310 )
NEW met1 ( 3372240 1438745 ) M1M2_PR
NEW met1 ( 3377040 1438745 ) M1M2_PR
NEW met2 ( 3372720 1522550 ) via2_FR ;
- mprj_io_analog_pol\[5\] ( padframe mprj_io_analog_pol[5] ) ( gpio_control_in\[5\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3371280 1748620 ) ( 3373920 * 0 )
NEW met1 ( 3371280 1664815 ) ( 3377040 * )
NEW met2 ( 3377040 1662595 0 ) ( * 1664815 )
NEW met2 ( 3371280 1664815 ) ( * 1748620 )
NEW met2 ( 3371280 1748620 ) via2_FR
NEW met1 ( 3371280 1664815 ) M1M2_PR
NEW met1 ( 3377040 1664815 ) M1M2_PR ;
- mprj_io_analog_pol\[6\] ( padframe mprj_io_analog_pol[6] ) ( gpio_control_in\[6\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3373920 1973210 ) ( 3374160 * )
NEW met3 ( 3373920 1973210 ) ( * 1974690 0 )
NEW met1 ( 3374160 1890885 ) ( 3377040 * )
NEW met2 ( 3377040 1888480 0 ) ( * 1890885 )
NEW met2 ( 3374160 1890885 ) ( * 1973210 )
NEW met2 ( 3374160 1973210 ) via2_FR
NEW met1 ( 3374160 1890885 ) M1M2_PR
NEW met1 ( 3377040 1890885 ) M1M2_PR ;
- mprj_io_analog_pol\[7\] ( padframe mprj_io_analog_pol[7] ) ( gpio_control_in\[7\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3384480 2415730 0 ) ( * 2417210 )
NEW met3 ( 3378960 2772410 ) ( 3384480 * )
NEW met2 ( 3378960 2772410 ) ( * 2774630 0 )
NEW met4 ( 3384480 2417210 ) ( * 2772410 )
NEW met3 ( 3384480 2417210 ) M3M4_PR_M
NEW met3 ( 3384480 2772410 ) M3M4_PR_M
NEW met2 ( 3378960 2772410 ) via2_FR ;
- mprj_io_analog_pol\[8\] ( padframe mprj_io_analog_pol[8] ) ( gpio_control_in\[8\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met3 ( 3365520 2635510 ) ( 3373920 * 0 )
NEW met1 ( 3365520 2997925 ) ( 3377040 * )
NEW met2 ( 3377040 2997925 ) ( * 3000700 0 )
NEW met2 ( 3365520 2635510 ) ( * 2997925 )
NEW met2 ( 3365520 2635510 ) via2_FR
NEW met1 ( 3365520 2997925 ) M1M2_PR
NEW met1 ( 3377040 2997925 ) M1M2_PR ;
- mprj_io_analog_pol\[9\] ( padframe mprj_io_analog_pol[9] ) ( gpio_control_in\[9\] pad_gpio_ana_pol ) + USE SIGNAL
+ ROUTED met1 ( 3361200 2865465 ) ( 3368880 * )
NEW met2 ( 3368880 2860470 ) ( * 2865465 )
NEW met3 ( 3368880 2860470 ) ( 3373920 * 0 )
NEW met1 ( 3361200 3222885 ) ( 3377520 * )
NEW met2 ( 3377520 3222885 ) ( * 3225660 0 )
NEW met2 ( 3361200 2865465 ) ( * 3222885 )
NEW met1 ( 3361200 2865465 ) M1M2_PR
NEW met1 ( 3368880 2865465 ) M1M2_PR
NEW met2 ( 3368880 2860470 ) via2_FR
NEW met1 ( 3361200 3222885 ) M1M2_PR
NEW met1 ( 3377520 3222885 ) M1M2_PR ;
- mprj_io_analog_sel\[0\] ( padframe mprj_io_analog_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 3373920 623450 ) ( 3374160 * )
NEW met3 ( 3373920 623450 ) ( * 624190 0 )
NEW met1 ( 3374160 556295 ) ( 3375600 * )
NEW met2 ( 3375600 550775 ) ( * 556295 )
NEW met2 ( 3375600 550775 ) ( 3377040 * 0 )
NEW met2 ( 3374160 556295 ) ( * 623450 )
NEW met2 ( 3374160 623450 ) via2_FR
NEW met1 ( 3374160 556295 ) M1M2_PR
NEW met1 ( 3375600 556295 ) M1M2_PR ;
- mprj_io_analog_sel\[10\] ( padframe mprj_io_analog_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met1 ( 3364080 3095975 ) ( 3369360 * )
NEW met2 ( 3369360 3089130 ) ( * 3095975 )
NEW met3 ( 3369360 3089130 ) ( 3373920 * 0 )
NEW met1 ( 3364080 3464495 ) ( 3377040 * )
NEW met2 ( 3377040 3464495 ) ( * 3466900 0 )
NEW met2 ( 3364080 3095975 ) ( * 3464495 )
NEW met1 ( 3364080 3095975 ) M1M2_PR
NEW met1 ( 3369360 3095975 ) M1M2_PR
NEW met2 ( 3369360 3089130 ) via2_FR
NEW met1 ( 3364080 3464495 ) M1M2_PR
NEW met1 ( 3377040 3464495 ) M1M2_PR ;
- mprj_io_analog_sel\[11\] ( padframe mprj_io_analog_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met1 ( 3363600 3326115 ) ( 3369360 * )
NEW met2 ( 3369360 3314090 ) ( * 3326115 )
NEW met3 ( 3369360 3314090 ) ( 3373920 * 0 )
NEW met1 ( 3363600 3689455 ) ( 3377040 * )
NEW met2 ( 3377040 3689455 ) ( * 3691860 0 )
NEW met2 ( 3363600 3326115 ) ( * 3689455 )
NEW met1 ( 3363600 3326115 ) M1M2_PR
NEW met1 ( 3369360 3326115 ) M1M2_PR
NEW met2 ( 3369360 3314090 ) via2_FR
NEW met1 ( 3363600 3689455 ) M1M2_PR
NEW met1 ( 3377040 3689455 ) M1M2_PR ;
- mprj_io_analog_sel\[12\] ( padframe mprj_io_analog_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met1 ( 3366480 3914785 ) ( 3377040 * )
NEW met2 ( 3377040 3914785 ) ( * 3916820 0 )
NEW met3 ( 3366480 3540530 ) ( 3373920 * 0 )
NEW met2 ( 3366480 3540530 ) ( * 3914785 )
NEW met1 ( 3366480 3914785 ) M1M2_PR
NEW met1 ( 3377040 3914785 ) M1M2_PR
NEW met2 ( 3366480 3540530 ) via2_FR ;
- mprj_io_analog_sel\[13\] ( padframe mprj_io_analog_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 3373200 3884630 ) ( 3385440 * )
NEW met4 ( 3385440 3884445 ) ( * 3884630 )
NEW met4 ( 3385440 3884445 ) ( 3386400 * )
NEW met3 ( 3386400 3765490 0 ) ( * 3766970 )
NEW met4 ( 3386400 3766970 ) ( * 3884445 )
NEW met1 ( 3373200 4360635 ) ( 3377520 * )
NEW met2 ( 3377520 4360635 ) ( * 4362670 0 )
NEW met2 ( 3373200 3884630 ) ( * 4360635 )
NEW met2 ( 3373200 3884630 ) via2_FR
NEW met3 ( 3385440 3884630 ) M3M4_PR_M
NEW met3 ( 3386400 3766970 ) M3M4_PR_M
NEW met1 ( 3373200 4360635 ) M1M2_PR
NEW met1 ( 3377520 4360635 ) M1M2_PR ;
- mprj_io_analog_sel\[14\] ( padframe mprj_io_analog_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 3373920 4657190 0 ) ( * 4658670 )
NEW met3 ( 3373920 4807410 ) ( 3377040 * )
NEW met2 ( 3377040 4807410 ) ( * 4808890 0 )
NEW met4 ( 3373920 4658670 ) ( * 4807410 )
NEW met3 ( 3373920 4658670 ) M3M4_PR_M
NEW met3 ( 3373920 4807410 ) M3M4_PR_M
NEW met2 ( 3377040 4807410 ) via2_FR ;
- mprj_io_analog_sel\[15\] ( padframe mprj_io_analog_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 2981040 4988155 ) ( * 4997035 )
NEW met2 ( 3157680 4979090 ) ( 3159120 * 0 )
NEW met2 ( 3157680 4978905 ) ( * 4979090 )
NEW met1 ( 3157680 4978905 ) ( * 4979645 )
NEW met1 ( 3127440 4979645 ) ( 3157680 * )
NEW met2 ( 3127440 4979645 ) ( * 4997035 )
NEW met1 ( 2981040 4997035 ) ( 3127440 * )
NEW met2 ( 2837520 4988155 ) ( * 5011835 )
NEW met1 ( 2766480 5011835 ) ( 2837520 * )
NEW met2 ( 2766480 5011650 ) ( * 5011835 )
NEW met3 ( 2765280 5011650 0 ) ( 2766480 * )
NEW met1 ( 2837520 4988155 ) ( 2981040 * )
NEW met1 ( 2981040 4988155 ) M1M2_PR
NEW met1 ( 2981040 4997035 ) M1M2_PR
NEW met1 ( 3157680 4978905 ) M1M2_PR
NEW met1 ( 3127440 4979645 ) M1M2_PR
NEW met1 ( 3127440 4997035 ) M1M2_PR
NEW met1 ( 2837520 4988155 ) M1M2_PR
NEW met1 ( 2837520 5011835 ) M1M2_PR
NEW met1 ( 2766480 5011835 ) M1M2_PR
NEW met2 ( 2766480 5011650 ) via2_FR ;
- mprj_io_analog_sel\[16\] ( padframe mprj_io_analog_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 2618640 4979645 ) ( * 4998330 )
NEW met1 ( 2618640 4979645 ) ( 2649360 * )
NEW met1 ( 2649360 4978905 ) ( * 4979645 )
NEW met2 ( 2649360 4978905 ) ( * 4979090 )
NEW met2 ( 2560560 4998330 ) ( * 4998515 )
NEW met1 ( 2510160 4998515 ) ( 2560560 * )
NEW met2 ( 2510160 4998330 ) ( * 4998515 )
NEW met3 ( 2508480 4998330 0 ) ( 2510160 * )
NEW met3 ( 2560560 4998330 ) ( 2618640 * )
NEW met2 ( 2649360 4979090 ) ( 2650320 * 0 )
NEW met2 ( 2618640 4998330 ) via2_FR
NEW met1 ( 2618640 4979645 ) M1M2_PR
NEW met1 ( 2649360 4978905 ) M1M2_PR
NEW met2 ( 2560560 4998330 ) via2_FR
NEW met1 ( 2560560 4998515 ) M1M2_PR
NEW met1 ( 2510160 4998515 ) M1M2_PR
NEW met2 ( 2510160 4998330 ) via2_FR ;
- mprj_io_analog_sel\[17\] ( padframe mprj_io_analog_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 2392080 4978165 ) ( * 4978350 )
NEW met2 ( 2392080 4978350 ) ( 2393280 * 0 )
NEW met1 ( 2370960 4977795 ) ( * 4978165 )
NEW met1 ( 2370960 4978165 ) ( 2392080 * )
NEW met2 ( 2196240 5013315 ) ( * 5015905 )
NEW met2 ( 2124240 5013130 ) ( * 5013315 )
NEW met3 ( 2123520 5013130 0 ) ( 2124240 * )
NEW met1 ( 2124240 5013315 ) ( 2196240 * )
NEW met2 ( 2333040 4977795 ) ( * 5015905 )
NEW met1 ( 2196240 5015905 ) ( 2333040 * )
NEW met1 ( 2333040 4977795 ) ( 2370960 * )
NEW met1 ( 2392080 4978165 ) M1M2_PR
NEW met1 ( 2196240 5013315 ) M1M2_PR
NEW met1 ( 2196240 5015905 ) M1M2_PR
NEW met1 ( 2124240 5013315 ) M1M2_PR
NEW met2 ( 2124240 5013130 ) via2_FR
NEW met1 ( 2333040 5015905 ) M1M2_PR
NEW met1 ( 2333040 4977795 ) M1M2_PR ;
- mprj_io_analog_sel\[18\] ( padframe mprj_io_analog_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 1792560 4973910 ) ( * 4978350 )
NEW met2 ( 1791120 4978350 ) ( 1792560 * )
NEW met3 ( 1788000 4978350 ) ( 1791120 * )
NEW met3 ( 1788000 4978350 ) ( * 4979090 )
NEW met3 ( 1786080 4979090 0 ) ( 1788000 * )
NEW met2 ( 1947120 4973910 ) ( * 4977610 )
NEW met2 ( 1947120 4977610 ) ( 1948320 * 0 )
NEW met3 ( 1792560 4973910 ) ( 1947120 * )
NEW met2 ( 1792560 4973910 ) via2_FR
NEW met2 ( 1791120 4978350 ) via2_FR
NEW met2 ( 1947120 4973910 ) via2_FR ;
- mprj_io_analog_sel\[19\] ( padframe mprj_io_analog_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 1439760 4977055 ) ( * 4977610 )
NEW met2 ( 1439280 4977610 0 ) ( 1439760 * )
NEW met2 ( 1532880 4977055 ) ( * 4979090 )
NEW met3 ( 1532880 4979090 ) ( 1534560 * 0 )
NEW met1 ( 1439760 4977055 ) ( 1532880 * )
NEW met1 ( 1439760 4977055 ) M1M2_PR
NEW met1 ( 1532880 4977055 ) M1M2_PR
NEW met2 ( 1532880 4979090 ) via2_FR ;
- mprj_io_analog_sel\[1\] ( padframe mprj_io_analog_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 3372240 776775 ) ( 3377040 * 0 )
NEW met3 ( 3372240 850630 ) ( 3373920 * 0 )
NEW met2 ( 3372240 776775 ) ( * 850630 )
NEW met2 ( 3372240 850630 ) via2_FR ;
- mprj_io_analog_sel\[20\] ( padframe mprj_io_analog_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 1181280 4977610 0 ) ( 1182480 * )
NEW met3 ( 1276320 4977610 ) ( * 4979090 0 )
NEW met3 ( 1182480 4977610 ) ( 1276320 * )
NEW met2 ( 1182480 4977610 ) via2_FR ;
- mprj_io_analog_sel\[21\] ( padframe mprj_io_analog_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 925680 4977610 ) ( * 4977795 )
NEW met2 ( 924240 4977610 0 ) ( 925680 * )
NEW met2 ( 993360 4977610 ) ( * 4977795 )
NEW met3 ( 993360 4977610 ) ( 1019520 * )
NEW met3 ( 1019520 4977610 ) ( * 4979090 0 )
NEW met1 ( 925680 4977795 ) ( 993360 * )
NEW met1 ( 925680 4977795 ) M1M2_PR
NEW met1 ( 993360 4977795 ) M1M2_PR
NEW met2 ( 993360 4977610 ) via2_FR ;
- mprj_io_analog_sel\[22\] ( padframe mprj_io_analog_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 668400 4972430 ) ( * 4977610 )
NEW met2 ( 667200 4977610 0 ) ( 668400 * )
NEW met2 ( 734160 4972430 ) ( * 4979645 )
NEW met3 ( 668400 4972430 ) ( 734160 * )
NEW met2 ( 760560 4979645 ) ( * 4979830 )
NEW met3 ( 760560 4979830 ) ( 762240 * 0 )
NEW met1 ( 734160 4979645 ) ( 760560 * )
NEW met2 ( 668400 4972430 ) via2_FR
NEW met2 ( 734160 4972430 ) via2_FR
NEW met1 ( 734160 4979645 ) M1M2_PR
NEW met1 ( 760560 4979645 ) M1M2_PR
NEW met2 ( 760560 4979830 ) via2_FR ;
- mprj_io_analog_sel\[23\] ( padframe mprj_io_analog_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 410160 4979090 0 ) ( 411600 * )
NEW met2 ( 411600 4978905 ) ( * 4979090 )
NEW met1 ( 411600 4978905 ) ( * 4979275 )
NEW met2 ( 489360 4977610 ) ( * 4979275 )
NEW met3 ( 489360 4977610 ) ( 505440 * )
NEW met3 ( 505440 4977610 ) ( * 4979090 0 )
NEW met1 ( 411600 4979275 ) ( 489360 * )
NEW met1 ( 411600 4978905 ) M1M2_PR
NEW met1 ( 489360 4979275 ) M1M2_PR
NEW met2 ( 489360 4977610 ) via2_FR ;
- mprj_io_analog_sel\[24\] ( padframe mprj_io_analog_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 207840 4798530 ) ( 209040 * )
NEW met2 ( 209040 4798530 ) ( * 4800225 0 )
NEW met3 ( 207840 4675690 0 ) ( * 4677170 )
NEW met4 ( 207840 4677170 ) ( * 4798530 )
NEW met3 ( 207840 4798530 ) M3M4_PR_M
NEW met2 ( 209040 4798530 ) via2_FR
NEW met3 ( 207840 4677170 ) M3M4_PR_M ;
- mprj_io_analog_sel\[25\] ( padframe mprj_io_analog_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 3951230 0 ) ( * 3952525 )
NEW met1 ( 210960 3952525 ) ( 212880 * )
NEW met2 ( 212400 3962330 ) ( 212880 * )
NEW met2 ( 212400 3962330 ) ( * 3963810 )
NEW met2 ( 212400 3963810 ) ( 213360 * )
NEW met2 ( 213360 3963810 ) ( * 3987490 )
NEW met2 ( 212880 3987490 ) ( 213360 * )
NEW met2 ( 212880 3987490 ) ( * 3988045 )
NEW met1 ( 212880 3988045 ) ( 217200 * )
NEW met2 ( 217200 3988045 ) ( * 4004325 )
NEW met1 ( 213840 4004325 ) ( 217200 * )
NEW met2 ( 213840 4004325 ) ( * 4046320 )
NEW met3 ( 212640 4046320 0 ) ( 213840 * )
NEW met2 ( 212880 3952525 ) ( * 3962330 )
NEW met1 ( 210960 3952525 ) M1M2_PR
NEW met1 ( 212880 3952525 ) M1M2_PR
NEW met1 ( 212880 3988045 ) M1M2_PR
NEW met1 ( 217200 3988045 ) M1M2_PR
NEW met1 ( 217200 4004325 ) M1M2_PR
NEW met1 ( 213840 4004325 ) M1M2_PR
NEW met2 ( 213840 4046320 ) via2_FR ;
- mprj_io_analog_sel\[26\] ( padframe mprj_io_analog_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 215760 3764750 ) ( 216720 * )
NEW met2 ( 216720 3764750 ) ( * 3785285 )
NEW met1 ( 209040 3785285 ) ( 216720 * )
NEW met2 ( 209040 3785285 ) ( * 3829130 )
NEW met3 ( 208800 3829130 ) ( 209040 * )
NEW met3 ( 208800 3829130 ) ( * 3830610 0 )
NEW met2 ( 210960 3735150 0 ) ( * 3736445 )
NEW met1 ( 210960 3736445 ) ( 215760 * )
NEW met2 ( 215760 3736445 ) ( * 3764750 )
NEW met1 ( 216720 3785285 ) M1M2_PR
NEW met1 ( 209040 3785285 ) M1M2_PR
NEW met2 ( 209040 3829130 ) via2_FR
NEW met1 ( 210960 3736445 ) M1M2_PR
NEW met1 ( 215760 3736445 ) M1M2_PR ;
- mprj_io_analog_sel\[27\] ( padframe mprj_io_analog_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 211680 3558290 ) ( 215280 * )
NEW met2 ( 215280 3558290 ) ( * 3614530 )
NEW met3 ( 212640 3614530 0 ) ( 215280 * )
NEW met2 ( 210960 3519225 0 ) ( * 3520365 )
NEW met1 ( 210960 3520365 ) ( 211440 * )
NEW met1 ( 211440 3520365 ) ( * 3521105 )
NEW met1 ( 211440 3521105 ) ( 215280 * )
NEW met1 ( 215280 3521105 ) ( * 3521475 )
NEW met2 ( 215280 3521475 ) ( * 3554590 )
NEW met3 ( 211680 3554590 ) ( 215280 * )
NEW met4 ( 211680 3554590 ) ( * 3558290 )
NEW met3 ( 211680 3558290 ) M3M4_PR_M
NEW met2 ( 215280 3558290 ) via2_FR
NEW met2 ( 215280 3614530 ) via2_FR
NEW met1 ( 210960 3520365 ) M1M2_PR
NEW met1 ( 215280 3521475 ) M1M2_PR
NEW met2 ( 215280 3554590 ) via2_FR
NEW met3 ( 211680 3554590 ) M3M4_PR_M ;
- mprj_io_analog_sel\[28\] ( padframe mprj_io_analog_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 209760 3399190 ) ( 210000 * )
NEW met3 ( 209760 3398450 0 ) ( * 3399190 )
NEW met1 ( 210000 3353495 ) ( 215760 * )
NEW met2 ( 215760 3305395 ) ( * 3353495 )
NEW met1 ( 210480 3305395 ) ( 215760 * )
NEW met2 ( 210480 3303360 0 ) ( * 3305395 )
NEW met2 ( 210000 3353495 ) ( * 3399190 )
NEW met2 ( 210000 3399190 ) via2_FR
NEW met1 ( 210000 3353495 ) M1M2_PR
NEW met1 ( 215760 3353495 ) M1M2_PR
NEW met1 ( 215760 3305395 ) M1M2_PR
NEW met1 ( 210480 3305395 ) M1M2_PR ;
- mprj_io_analog_sel\[29\] ( padframe mprj_io_analog_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 210480 3180890 ) ( 210720 * )
NEW met3 ( 210720 3180890 ) ( * 3182370 0 )
NEW met3 ( 210480 3137970 ) ( 210720 * )
NEW met4 ( 210720 3107630 ) ( * 3137970 )
NEW met3 ( 210720 3107630 ) ( 213360 * )
NEW met2 ( 213360 3089315 ) ( * 3107630 )
NEW met1 ( 210480 3089315 ) ( 213360 * )
NEW met2 ( 210480 3087280 0 ) ( * 3089315 )
NEW met2 ( 210480 3137970 ) ( * 3180890 )
NEW met2 ( 210480 3180890 ) via2_FR
NEW met2 ( 210480 3137970 ) via2_FR
NEW met3 ( 210720 3137970 ) M3M4_PR_M
NEW met3 ( 210720 3107630 ) M3M4_PR_M
NEW met2 ( 213360 3107630 ) via2_FR
NEW met1 ( 213360 3089315 ) M1M2_PR
NEW met1 ( 210480 3089315 ) M1M2_PR
NEW met3 ( 210480 3137970 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_analog_sel\[2\] ( padframe mprj_io_analog_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 3374160 1001775 ) ( 3377040 * 0 )
NEW met2 ( 3374160 1001775 ) ( * 1074110 )
NEW met3 ( 3373920 1074110 ) ( 3374160 * )
NEW met3 ( 3373920 1074110 ) ( * 1075590 0 )
NEW met2 ( 3374160 1074110 ) via2_FR ;
- mprj_io_analog_sel\[30\] ( padframe mprj_io_analog_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 210480 2871200 0 ) ( * 2873050 )
NEW met3 ( 210480 2873050 ) ( 210720 * )
NEW met3 ( 210720 2895250 ) ( 211680 * )
NEW met4 ( 211680 2895250 ) ( * 2902095 )
NEW met4 ( 210720 2902095 ) ( 211680 * )
NEW met4 ( 210720 2902095 ) ( * 2964810 )
NEW met3 ( 210720 2964810 ) ( * 2966290 0 )
NEW met4 ( 210720 2873050 ) ( * 2895250 )
NEW met2 ( 210480 2873050 ) via2_FR
NEW met3 ( 210720 2873050 ) M3M4_PR_M
NEW met3 ( 210720 2895250 ) M3M4_PR_M
NEW met3 ( 211680 2895250 ) M3M4_PR_M
NEW met3 ( 210720 2964810 ) M3M4_PR_M
NEW met3 ( 210480 2873050 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_analog_sel\[31\] ( padframe mprj_io_analog_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 2655120 0 ) ( * 2656785 )
NEW met1 ( 210960 2656785 ) ( 211920 * )
NEW met2 ( 211920 2656785 ) ( * 2666590 )
NEW met3 ( 211680 2666590 ) ( 211920 * )
NEW met4 ( 211680 2666590 ) ( * 2705810 )
NEW met3 ( 209040 2705810 ) ( 211680 * )
NEW met2 ( 209040 2705810 ) ( * 2748730 )
NEW met3 ( 208800 2748730 ) ( 209040 * )
NEW met3 ( 208800 2748730 ) ( * 2750210 0 )
NEW met1 ( 210960 2656785 ) M1M2_PR
NEW met1 ( 211920 2656785 ) M1M2_PR
NEW met2 ( 211920 2666590 ) via2_FR
NEW met3 ( 211680 2666590 ) M3M4_PR_M
NEW met3 ( 211680 2705810 ) M3M4_PR_M
NEW met2 ( 209040 2705810 ) via2_FR
NEW met2 ( 209040 2748730 ) via2_FR
NEW met3 ( 211920 2666590 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_analog_sel\[32\] ( padframe mprj_io_analog_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 213840 2034630 ) ( 214800 * )
NEW met2 ( 213840 2018535 ) ( * 2034630 )
NEW met1 ( 210960 2018535 ) ( 213840 * )
NEW met2 ( 210960 2017240 0 ) ( * 2018535 )
NEW met1 ( 214800 2045545 ) ( 215760 * )
NEW met2 ( 215760 2045545 ) ( * 2078475 )
NEW met1 ( 200400 2078475 ) ( 215760 * )
NEW met2 ( 200400 2078475 ) ( * 2110850 )
NEW met3 ( 200160 2110850 ) ( 200400 * )
NEW met3 ( 200160 2110850 ) ( * 2112330 0 )
NEW met2 ( 214800 2034630 ) ( * 2045545 )
NEW met1 ( 213840 2018535 ) M1M2_PR
NEW met1 ( 210960 2018535 ) M1M2_PR
NEW met1 ( 214800 2045545 ) M1M2_PR
NEW met1 ( 215760 2045545 ) M1M2_PR
NEW met1 ( 215760 2078475 ) M1M2_PR
NEW met1 ( 200400 2078475 ) M1M2_PR
NEW met2 ( 200400 2110850 ) via2_FR ;
- mprj_io_analog_sel\[33\] ( padframe mprj_io_analog_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 1801160 0 ) ( * 1803195 )
NEW met1 ( 210960 1803195 ) ( 214800 * )
NEW met2 ( 214800 1803195 ) ( * 1837975 )
NEW met1 ( 214800 1837975 ) ( 216720 * )
NEW met1 ( 199440 1857955 ) ( 216720 * )
NEW met2 ( 199440 1857955 ) ( * 1894770 )
NEW met3 ( 199200 1894770 ) ( 199440 * )
NEW met3 ( 199200 1894770 ) ( * 1896250 0 )
NEW met2 ( 216720 1837975 ) ( * 1857955 )
NEW met1 ( 210960 1803195 ) M1M2_PR
NEW met1 ( 214800 1803195 ) M1M2_PR
NEW met1 ( 214800 1837975 ) M1M2_PR
NEW met1 ( 216720 1837975 ) M1M2_PR
NEW met1 ( 216720 1857955 ) M1M2_PR
NEW met1 ( 199440 1857955 ) M1M2_PR
NEW met2 ( 199440 1894770 ) via2_FR ;
- mprj_io_analog_sel\[34\] ( padframe mprj_io_analog_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 1585225 0 ) ( * 1587485 )
NEW met1 ( 210960 1587485 ) ( 212400 * )
NEW met2 ( 212400 1587485 ) ( * 1604690 )
NEW met3 ( 212400 1604690 ) ( 212640 * )
NEW met4 ( 212640 1604690 ) ( * 1632810 )
NEW met3 ( 211920 1632810 ) ( 212640 * )
NEW met1 ( 201360 1645945 ) ( 211920 * )
NEW met2 ( 201360 1645945 ) ( * 1678690 )
NEW met3 ( 201120 1678690 ) ( 201360 * )
NEW met3 ( 201120 1678690 ) ( * 1680170 0 )
NEW met2 ( 211920 1632810 ) ( * 1645945 )
NEW met1 ( 210960 1587485 ) M1M2_PR
NEW met1 ( 212400 1587485 ) M1M2_PR
NEW met2 ( 212400 1604690 ) via2_FR
NEW met3 ( 212640 1604690 ) M3M4_PR_M
NEW met3 ( 212640 1632810 ) M3M4_PR_M
NEW met2 ( 211920 1632810 ) via2_FR
NEW met1 ( 211920 1645945 ) M1M2_PR
NEW met1 ( 201360 1645945 ) M1M2_PR
NEW met2 ( 201360 1678690 ) via2_FR
NEW met3 ( 212400 1604690 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_analog_sel\[35\] ( padframe mprj_io_analog_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met1 ( 199440 1427275 ) ( 215760 * )
NEW met2 ( 215760 1427090 ) ( * 1427275 )
NEW met2 ( 215760 1427090 ) ( 216240 * )
NEW met2 ( 216240 1398970 ) ( * 1427090 )
NEW met2 ( 215280 1398970 ) ( 216240 * )
NEW met2 ( 215280 1371405 ) ( * 1398970 )
NEW met1 ( 210960 1371405 ) ( 215280 * )
NEW met2 ( 210960 1369225 0 ) ( * 1371405 )
NEW met3 ( 199200 1462610 ) ( 199440 * )
NEW met3 ( 199200 1462610 ) ( * 1464090 0 )
NEW met2 ( 199440 1427275 ) ( * 1462610 )
NEW met1 ( 199440 1427275 ) M1M2_PR
NEW met1 ( 215760 1427275 ) M1M2_PR
NEW met1 ( 215280 1371405 ) M1M2_PR
NEW met1 ( 210960 1371405 ) M1M2_PR
NEW met2 ( 199440 1462610 ) via2_FR ;
- mprj_io_analog_sel\[36\] ( padframe mprj_io_analog_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 199200 1246530 ) ( 199440 * )
NEW met3 ( 199200 1246530 ) ( * 1248380 0 )
NEW met1 ( 199440 1210455 ) ( 211920 * )
NEW met2 ( 211920 1200465 ) ( * 1210455 )
NEW met1 ( 211920 1200465 ) ( 216720 * )
NEW met2 ( 216720 1189735 ) ( * 1200465 )
NEW met1 ( 214800 1189735 ) ( 216720 * )
NEW met2 ( 214800 1155325 ) ( * 1189735 )
NEW met1 ( 210480 1155325 ) ( 214800 * )
NEW met2 ( 210480 1153290 0 ) ( * 1155325 )
NEW met2 ( 199440 1210455 ) ( * 1246530 )
NEW met2 ( 199440 1246530 ) via2_FR
NEW met1 ( 199440 1210455 ) M1M2_PR
NEW met1 ( 211920 1210455 ) M1M2_PR
NEW met1 ( 211920 1200465 ) M1M2_PR
NEW met1 ( 216720 1200465 ) M1M2_PR
NEW met1 ( 216720 1189735 ) M1M2_PR
NEW met1 ( 214800 1189735 ) M1M2_PR
NEW met1 ( 214800 1155325 ) M1M2_PR
NEW met1 ( 210480 1155325 ) M1M2_PR ;
- mprj_io_analog_sel\[37\] ( padframe mprj_io_analog_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 937210 0 ) ( * 938505 )
NEW met1 ( 210960 938505 ) ( 214320 * )
NEW met2 ( 214320 938505 ) ( * 973655 )
NEW met1 ( 214320 973655 ) ( 216720 * )
NEW met2 ( 216720 973655 ) ( * 994005 )
NEW met1 ( 199920 994005 ) ( 216720 * )
NEW met2 ( 199920 994005 ) ( * 1030450 )
NEW met3 ( 199920 1030450 ) ( 200160 * )
NEW met3 ( 200160 1030450 ) ( * 1032670 0 )
NEW met1 ( 210960 938505 ) M1M2_PR
NEW met1 ( 214320 938505 ) M1M2_PR
NEW met1 ( 214320 973655 ) M1M2_PR
NEW met1 ( 216720 973655 ) M1M2_PR
NEW met1 ( 216720 994005 ) M1M2_PR
NEW met1 ( 199920 994005 ) M1M2_PR
NEW met2 ( 199920 1030450 ) via2_FR ;
- mprj_io_analog_sel\[3\] ( padframe mprj_io_analog_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met1 ( 3374160 1230435 ) ( 3377040 * )
NEW met2 ( 3377040 1227660 0 ) ( * 1230435 )
NEW met3 ( 3373920 1300550 ) ( 3374160 * )
NEW met3 ( 3373920 1300550 ) ( * 1301290 0 )
NEW met2 ( 3374160 1230435 ) ( * 1300550 )
NEW met1 ( 3374160 1230435 ) M1M2_PR
NEW met1 ( 3377040 1230435 ) M1M2_PR
NEW met2 ( 3374160 1300550 ) via2_FR ;
- mprj_io_analog_sel\[4\] ( padframe mprj_io_analog_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met1 ( 3373200 1455395 ) ( 3375600 * )
NEW met2 ( 3375600 1452775 ) ( * 1455395 )
NEW met2 ( 3375600 1452775 ) ( 3377040 * 0 )
NEW met3 ( 3373200 1525510 ) ( 3373920 * )
NEW met3 ( 3373920 1525510 ) ( * 1526250 0 )
NEW met2 ( 3373200 1455395 ) ( * 1525510 )
NEW met1 ( 3373200 1455395 ) M1M2_PR
NEW met1 ( 3375600 1455395 ) M1M2_PR
NEW met2 ( 3373200 1525510 ) via2_FR ;
- mprj_io_analog_sel\[5\] ( padframe mprj_io_analog_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 3373680 1750470 ) ( 3373920 * )
NEW met3 ( 3373920 1750470 ) ( * 1751210 0 )
NEW met2 ( 3373680 1677775 ) ( 3377040 * 0 )
NEW met2 ( 3373680 1677775 ) ( * 1750470 )
NEW met2 ( 3373680 1750470 ) via2_FR ;
- mprj_io_analog_sel\[6\] ( padframe mprj_io_analog_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met2 ( 3375120 1905130 ) ( 3377040 * )
NEW met2 ( 3377040 1903650 0 ) ( * 1905130 )
NEW met1 ( 3373680 1926035 ) ( 3375120 * )
NEW met2 ( 3373680 1926035 ) ( * 1975430 )
NEW met3 ( 3373680 1975430 ) ( 3373920 * )
NEW met3 ( 3373920 1975430 ) ( * 1977650 0 )
NEW met2 ( 3375120 1905130 ) ( * 1926035 )
NEW met1 ( 3375120 1926035 ) M1M2_PR
NEW met1 ( 3373680 1926035 ) M1M2_PR
NEW met2 ( 3373680 1975430 ) via2_FR ;
- mprj_io_analog_sel\[7\] ( padframe mprj_io_analog_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 3367440 2418690 ) ( 3373920 * )
NEW met3 ( 3373920 2418320 0 ) ( * 2418690 )
NEW met1 ( 3367440 2788135 ) ( 3377520 * )
NEW met2 ( 3377520 2788135 ) ( * 2789800 0 )
NEW met2 ( 3367440 2418690 ) ( * 2788135 )
NEW met2 ( 3367440 2418690 ) via2_FR
NEW met1 ( 3367440 2788135 ) M1M2_PR
NEW met1 ( 3377520 2788135 ) M1M2_PR ;
- mprj_io_analog_sel\[8\] ( padframe mprj_io_analog_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met3 ( 3366480 2638470 ) ( 3373920 * 0 )
NEW met1 ( 3366480 3013465 ) ( 3377040 * )
NEW met2 ( 3377040 3013465 ) ( * 3015870 0 )
NEW met2 ( 3366480 2638470 ) ( * 3013465 )
NEW met2 ( 3366480 2638470 ) via2_FR
NEW met1 ( 3366480 3013465 ) M1M2_PR
NEW met1 ( 3377040 3013465 ) M1M2_PR ;
- mprj_io_analog_sel\[9\] ( padframe mprj_io_analog_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ana_sel ) + USE SIGNAL
+ ROUTED met1 ( 3361680 3240275 ) ( 3377040 * )
NEW met2 ( 3377040 3240275 ) ( * 3240830 0 )
NEW met1 ( 3361680 2864355 ) ( 3373200 * )
NEW met2 ( 3373200 2864170 ) ( * 2864355 )
NEW met3 ( 3373200 2864170 ) ( 3373920 * )
NEW met3 ( 3373920 2863430 0 ) ( * 2864170 )
NEW met2 ( 3361680 2864355 ) ( * 3240275 )
NEW met1 ( 3361680 3240275 ) M1M2_PR
NEW met1 ( 3377040 3240275 ) M1M2_PR
NEW met1 ( 3361680 2864355 ) M1M2_PR
NEW met1 ( 3373200 2864355 ) M1M2_PR
NEW met2 ( 3373200 2864170 ) via2_FR ;
- mprj_io_dm\[0\] ( padframe mprj_io_dm[0] ) ( gpio_control_bidir\[0\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3369840 627150 ) ( 3373920 * 0 )
NEW met1 ( 3369840 534465 ) ( 3377520 * )
NEW met2 ( 3377520 532430 0 ) ( * 534465 )
NEW met2 ( 3369840 534465 ) ( * 627150 )
NEW met2 ( 3369840 627150 ) via2_FR
NEW met1 ( 3369840 534465 ) M1M2_PR
NEW met1 ( 3377520 534465 ) M1M2_PR ;
- mprj_io_dm\[100\] ( padframe mprj_io_dm[100] ) ( gpio_control_in\[33\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 210480 1828910 0 ) ( * 1830945 )
NEW met1 ( 210480 1830945 ) ( 215280 * )
NEW met1 ( 199920 1862025 ) ( 215280 * )
NEW met2 ( 199920 1862025 ) ( * 1900690 )
NEW met3 ( 199920 1900690 ) ( 200160 * )
NEW met3 ( 200160 1900690 ) ( * 1901800 0 )
NEW met2 ( 215280 1830945 ) ( * 1862025 )
NEW met1 ( 210480 1830945 ) M1M2_PR
NEW met1 ( 215280 1830945 ) M1M2_PR
NEW met1 ( 215280 1862025 ) M1M2_PR
NEW met1 ( 199920 1862025 ) M1M2_PR
NEW met2 ( 199920 1900690 ) via2_FR ;
- mprj_io_dm\[101\] ( padframe mprj_io_dm[101] ) ( gpio_control_in\[33\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 210960 1798005 0 ) ( * 1799310 )
NEW met3 ( 210960 1799310 ) ( 211680 * )
NEW met3 ( 200880 1864430 ) ( 211680 * )
NEW met2 ( 200880 1864430 ) ( * 1902910 )
NEW met3 ( 200880 1902910 ) ( 201120 * )
NEW met3 ( 201120 1902910 ) ( * 1904390 0 )
NEW met4 ( 211680 1799310 ) ( * 1864430 )
NEW met2 ( 210960 1799310 ) via2_FR
NEW met3 ( 211680 1799310 ) M3M4_PR_M
NEW met3 ( 211680 1864430 ) M3M4_PR_M
NEW met2 ( 200880 1864430 ) via2_FR
NEW met2 ( 200880 1902910 ) via2_FR ;
- mprj_io_dm\[102\] ( padframe mprj_io_dm[102] ) ( gpio_control_in\[34\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 210960 1603580 0 ) ( * 1605245 )
NEW met1 ( 210960 1605245 ) ( 212400 * )
NEW met2 ( 212400 1605245 ) ( * 1613755 )
NEW met1 ( 211920 1613755 ) ( 212400 * )
NEW met1 ( 211920 1613755 ) ( * 1614865 )
NEW met1 ( 211920 1614865 ) ( 214320 * )
NEW met1 ( 200400 1647425 ) ( 214320 * )
NEW met2 ( 200400 1647425 ) ( * 1681650 )
NEW met3 ( 200160 1681650 ) ( 200400 * )
NEW met3 ( 200160 1681650 ) ( * 1683130 0 )
NEW met2 ( 214320 1614865 ) ( * 1647425 )
NEW met1 ( 210960 1605245 ) M1M2_PR
NEW met1 ( 212400 1605245 ) M1M2_PR
NEW met1 ( 212400 1613755 ) M1M2_PR
NEW met1 ( 214320 1614865 ) M1M2_PR
NEW met1 ( 214320 1647425 ) M1M2_PR
NEW met1 ( 200400 1647425 ) M1M2_PR
NEW met2 ( 200400 1681650 ) via2_FR ;
- mprj_io_dm\[103\] ( padframe mprj_io_dm[103] ) ( gpio_control_in\[34\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 212400 1628185 ) ( 214320 * )
NEW met1 ( 214320 1626705 ) ( * 1628185 )
NEW met1 ( 213840 1626705 ) ( 214320 * )
NEW met2 ( 213840 1615605 ) ( * 1626705 )
NEW met1 ( 210960 1615605 ) ( 213840 * )
NEW met2 ( 210960 1612830 0 ) ( * 1615605 )
NEW met1 ( 199920 1646685 ) ( 212400 * )
NEW met2 ( 199920 1646685 ) ( * 1684610 )
NEW met3 ( 199920 1684610 ) ( 200160 * )
NEW met3 ( 200160 1684610 ) ( * 1686090 0 )
NEW met2 ( 212400 1628185 ) ( * 1646685 )
NEW met1 ( 212400 1628185 ) M1M2_PR
NEW met1 ( 213840 1626705 ) M1M2_PR
NEW met1 ( 213840 1615605 ) M1M2_PR
NEW met1 ( 210960 1615605 ) M1M2_PR
NEW met1 ( 212400 1646685 ) M1M2_PR
NEW met1 ( 199920 1646685 ) M1M2_PR
NEW met2 ( 199920 1684610 ) via2_FR ;
- mprj_io_dm\[104\] ( padframe mprj_io_dm[104] ) ( gpio_control_in\[34\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 210480 1582120 0 ) ( * 1583230 )
NEW met3 ( 210480 1583230 ) ( 211680 * )
NEW met3 ( 200880 1649090 ) ( 211680 * )
NEW met2 ( 200880 1649090 ) ( * 1686830 )
NEW met3 ( 200880 1686830 ) ( 201120 * )
NEW met3 ( 201120 1686830 ) ( * 1688310 0 )
NEW met4 ( 211680 1583230 ) ( * 1649090 )
NEW met2 ( 210480 1583230 ) via2_FR
NEW met3 ( 211680 1583230 ) M3M4_PR_M
NEW met3 ( 211680 1649090 ) M3M4_PR_M
NEW met2 ( 200880 1649090 ) via2_FR
NEW met2 ( 200880 1686830 ) via2_FR ;
- mprj_io_dm\[105\] ( padframe mprj_io_dm[105] ) ( gpio_control_in\[35\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 210960 1387500 0 ) ( * 1390090 )
NEW met3 ( 210960 1390090 ) ( 213600 * )
NEW met4 ( 213600 1390090 ) ( * 1467050 )
NEW met3 ( 212640 1467050 0 ) ( 213600 * )
NEW met2 ( 210960 1390090 ) via2_FR
NEW met3 ( 213600 1390090 ) M3M4_PR_M
NEW met3 ( 213600 1467050 ) M3M4_PR_M ;
- mprj_io_dm\[106\] ( padframe mprj_io_dm[106] ) ( gpio_control_in\[35\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 200880 1425795 ) ( 215280 * )
NEW met2 ( 215280 1399525 ) ( * 1425795 )
NEW met1 ( 210960 1399525 ) ( 215280 * )
NEW met2 ( 210960 1396750 0 ) ( * 1399525 )
NEW met3 ( 200880 1468530 ) ( 201120 * )
NEW met3 ( 201120 1468530 ) ( * 1470010 0 )
NEW met2 ( 200880 1425795 ) ( * 1468530 )
NEW met1 ( 200880 1425795 ) M1M2_PR
NEW met1 ( 215280 1425795 ) M1M2_PR
NEW met1 ( 215280 1399525 ) M1M2_PR
NEW met1 ( 210960 1399525 ) M1M2_PR
NEW met2 ( 200880 1468530 ) via2_FR ;
- mprj_io_dm\[107\] ( padframe mprj_io_dm[107] ) ( gpio_control_in\[35\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 201360 1425610 ) ( 208800 * )
NEW met4 ( 208800 1367890 ) ( * 1425610 )
NEW met3 ( 208800 1367890 ) ( 209040 * )
NEW met2 ( 209040 1366040 0 ) ( * 1367890 )
NEW met3 ( 201120 1470750 ) ( 201360 * )
NEW met3 ( 201120 1470750 ) ( * 1472600 0 )
NEW met2 ( 201360 1425610 ) ( * 1470750 )
NEW met2 ( 201360 1425610 ) via2_FR
NEW met3 ( 208800 1425610 ) M3M4_PR_M
NEW met3 ( 208800 1367890 ) M3M4_PR_M
NEW met2 ( 209040 1367890 ) via2_FR
NEW met2 ( 201360 1470750 ) via2_FR
NEW met3 ( 208800 1367890 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_dm\[108\] ( padframe mprj_io_dm[108] ) ( gpio_control_in\[36\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 199920 1249490 ) ( 200160 * )
NEW met3 ( 200160 1249490 ) ( * 1250970 0 )
NEW met1 ( 199920 1211195 ) ( 212880 * )
NEW met2 ( 212880 1211010 ) ( * 1211195 )
NEW met2 ( 212880 1211010 ) ( 213360 * )
NEW met2 ( 199920 1211195 ) ( * 1249490 )
NEW met2 ( 210960 1171625 0 ) ( * 1174195 )
NEW met1 ( 210960 1174195 ) ( 212400 * )
NEW met2 ( 212400 1174195 ) ( 212880 * )
NEW met2 ( 212880 1174195 ) ( * 1189735 )
NEW met2 ( 212400 1189735 ) ( 212880 * )
NEW met2 ( 212400 1189735 ) ( * 1191030 )
NEW met2 ( 212400 1191030 ) ( 213360 * )
NEW met2 ( 213360 1191030 ) ( * 1211010 )
NEW met2 ( 199920 1249490 ) via2_FR
NEW met1 ( 199920 1211195 ) M1M2_PR
NEW met1 ( 212880 1211195 ) M1M2_PR
NEW met1 ( 210960 1174195 ) M1M2_PR
NEW met1 ( 212400 1174195 ) M1M2_PR ;
- mprj_io_dm\[109\] ( padframe mprj_io_dm[109] ) ( gpio_control_in\[36\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 201120 1252450 ) ( 201360 * )
NEW met3 ( 201120 1252450 ) ( * 1253930 0 )
NEW met1 ( 201360 1209715 ) ( 215760 * )
NEW met2 ( 215760 1183445 ) ( * 1209715 )
NEW met1 ( 210960 1183445 ) ( 215760 * )
NEW met2 ( 210960 1180825 0 ) ( * 1183445 )
NEW met2 ( 201360 1209715 ) ( * 1252450 )
NEW met2 ( 201360 1252450 ) via2_FR
NEW met1 ( 201360 1209715 ) M1M2_PR
NEW met1 ( 215760 1209715 ) M1M2_PR
NEW met1 ( 215760 1183445 ) M1M2_PR
NEW met1 ( 210960 1183445 ) M1M2_PR ;
- mprj_io_dm\[10\] ( padframe mprj_io_dm[10] ) ( gpio_control_in\[3\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 3370800 1202685 ) ( 3377520 * )
NEW met2 ( 3377520 1200280 0 ) ( * 1202685 )
NEW met3 ( 3370800 1306470 ) ( 3373920 * )
NEW met3 ( 3373920 1306470 ) ( * 1306840 0 )
NEW met2 ( 3370800 1202685 ) ( * 1306470 )
NEW met1 ( 3370800 1202685 ) M1M2_PR
NEW met1 ( 3377520 1202685 ) M1M2_PR
NEW met2 ( 3370800 1306470 ) via2_FR ;
- mprj_io_dm\[110\] ( padframe mprj_io_dm[110] ) ( gpio_control_in\[36\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 200880 1254670 ) ( 201120 * )
NEW met3 ( 201120 1254670 ) ( * 1256520 0 )
NEW met3 ( 200880 1224330 ) ( 208800 * )
NEW met4 ( 208800 1151810 ) ( * 1224330 )
NEW met3 ( 208800 1151810 ) ( 209040 * )
NEW met2 ( 209040 1149960 0 ) ( * 1151810 )
NEW met2 ( 200880 1224330 ) ( * 1254670 )
NEW met2 ( 200880 1254670 ) via2_FR
NEW met2 ( 200880 1224330 ) via2_FR
NEW met3 ( 208800 1224330 ) M3M4_PR_M
NEW met3 ( 208800 1151810 ) M3M4_PR_M
NEW met2 ( 209040 1151810 ) via2_FR
NEW met3 ( 208800 1151810 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_dm\[111\] ( padframe mprj_io_dm[111] ) ( gpio_control_in\[37\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 210480 955710 0 ) ( * 957375 )
NEW met1 ( 210480 957375 ) ( 214800 * )
NEW met2 ( 214800 957375 ) ( * 974025 )
NEW met1 ( 214800 974025 ) ( 216240 * )
NEW met2 ( 216240 974025 ) ( * 984385 )
NEW met1 ( 211920 984385 ) ( 216240 * )
NEW met2 ( 211920 984385 ) ( * 995115 )
NEW met1 ( 200880 995115 ) ( 211920 * )
NEW met2 ( 200880 995115 ) ( * 1033410 )
NEW met3 ( 200880 1033410 ) ( 201120 * )
NEW met3 ( 201120 1033410 ) ( * 1034890 0 )
NEW met1 ( 210480 957375 ) M1M2_PR
NEW met1 ( 214800 957375 ) M1M2_PR
NEW met1 ( 214800 974025 ) M1M2_PR
NEW met1 ( 216240 974025 ) M1M2_PR
NEW met1 ( 216240 984385 ) M1M2_PR
NEW met1 ( 211920 984385 ) M1M2_PR
NEW met1 ( 211920 995115 ) M1M2_PR
NEW met1 ( 200880 995115 ) M1M2_PR
NEW met2 ( 200880 1033410 ) via2_FR ;
- mprj_io_dm\[112\] ( padframe mprj_io_dm[112] ) ( gpio_control_in\[37\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 200160 1036370 ) ( 200400 * )
NEW met2 ( 200400 1000665 ) ( * 1036370 )
NEW met1 ( 200400 1000665 ) ( 215760 * )
NEW met2 ( 215760 967365 ) ( * 1000665 )
NEW met1 ( 210480 967365 ) ( 215760 * )
NEW met2 ( 210480 964960 0 ) ( * 967365 )
NEW met3 ( 200160 1036370 ) ( * 1037850 0 )
NEW met2 ( 200400 1036370 ) via2_FR
NEW met1 ( 200400 1000665 ) M1M2_PR
NEW met1 ( 215760 1000665 ) M1M2_PR
NEW met1 ( 215760 967365 ) M1M2_PR
NEW met1 ( 210480 967365 ) M1M2_PR ;
- mprj_io_dm\[113\] ( padframe mprj_io_dm[113] ) ( gpio_control_in\[37\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 206880 935730 ) ( 209040 * )
NEW met2 ( 209040 933880 0 ) ( * 935730 )
NEW met3 ( 206880 1039330 ) ( * 1040810 0 )
NEW met4 ( 206880 935730 ) ( * 1039330 )
NEW met3 ( 206880 935730 ) M3M4_PR_M
NEW met2 ( 209040 935730 ) via2_FR
NEW met3 ( 206880 1039330 ) M3M4_PR_M ;
- mprj_io_dm\[11\] ( padframe mprj_io_dm[11] ) ( gpio_control_in\[3\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 3373680 1233765 ) ( 3377040 * )
NEW met2 ( 3377040 1230990 0 ) ( * 1233765 )
NEW met3 ( 3373680 1308690 ) ( 3373920 * )
NEW met3 ( 3373920 1308690 ) ( * 1309430 0 )
NEW met2 ( 3373680 1233765 ) ( * 1308690 )
NEW met1 ( 3373680 1233765 ) M1M2_PR
NEW met1 ( 3377040 1233765 ) M1M2_PR
NEW met2 ( 3373680 1308690 ) via2_FR ;
- mprj_io_dm\[12\] ( padframe mprj_io_dm[12] ) ( gpio_control_in\[4\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met1 ( 3370320 1436155 ) ( 3377520 * )
NEW met2 ( 3377520 1434490 0 ) ( * 1436155 )
NEW met3 ( 3370320 1529210 ) ( 3373920 * 0 )
NEW met2 ( 3370320 1436155 ) ( * 1529210 )
NEW met1 ( 3370320 1436155 ) M1M2_PR
NEW met1 ( 3377520 1436155 ) M1M2_PR
NEW met2 ( 3370320 1529210 ) via2_FR ;
- mprj_io_dm\[13\] ( padframe mprj_io_dm[13] ) ( gpio_control_in\[4\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 3378960 1424130 ) ( * 1425240 0 )
NEW met3 ( 3378960 1424130 ) ( 3379680 * )
NEW met3 ( 3379680 1497390 ) ( 3384720 * )
NEW met2 ( 3384720 1497390 ) ( * 1529950 )
NEW met3 ( 3384480 1529950 ) ( 3384720 * )
NEW met3 ( 3384480 1529950 ) ( * 1531800 0 )
NEW met4 ( 3379680 1424130 ) ( * 1497390 )
NEW met2 ( 3378960 1424130 ) via2_FR
NEW met3 ( 3379680 1424130 ) M3M4_PR_M
NEW met3 ( 3379680 1497390 ) M3M4_PR_M
NEW met2 ( 3384720 1497390 ) via2_FR
NEW met2 ( 3384720 1529950 ) via2_FR ;
- mprj_io_dm\[14\] ( padframe mprj_io_dm[14] ) ( gpio_control_in\[4\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 3374160 1458725 ) ( 3375600 * )
NEW met2 ( 3375600 1455950 ) ( * 1458725 )
NEW met2 ( 3375600 1455950 ) ( 3377040 * 0 )
NEW met3 ( 3373920 1533650 ) ( 3374160 * )
NEW met3 ( 3373920 1533650 ) ( * 1534390 0 )
NEW met2 ( 3374160 1458725 ) ( * 1533650 )
NEW met1 ( 3374160 1458725 ) M1M2_PR
NEW met1 ( 3375600 1458725 ) M1M2_PR
NEW met2 ( 3374160 1533650 ) via2_FR ;
- mprj_io_dm\[15\] ( padframe mprj_io_dm[15] ) ( gpio_control_in\[5\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3371760 1754170 ) ( 3373920 * 0 )
NEW met1 ( 3371760 1661115 ) ( 3377520 * )
NEW met2 ( 3377520 1659450 0 ) ( * 1661115 )
NEW met2 ( 3371760 1661115 ) ( * 1754170 )
NEW met2 ( 3371760 1754170 ) via2_FR
NEW met1 ( 3371760 1661115 ) M1M2_PR
NEW met1 ( 3377520 1661115 ) M1M2_PR ;
- mprj_io_dm\[16\] ( padframe mprj_io_dm[16] ) ( gpio_control_in\[5\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3382560 1727530 ) ( 3384240 * )
NEW met2 ( 3384240 1727530 ) ( * 1755650 )
NEW met3 ( 3384240 1755650 ) ( 3384480 * )
NEW met3 ( 3384480 1755650 ) ( * 1756760 0 )
NEW met2 ( 3378960 1650200 0 ) ( * 1652790 )
NEW met3 ( 3378960 1652790 ) ( 3382560 * )
NEW met4 ( 3382560 1652790 ) ( * 1727530 )
NEW met3 ( 3382560 1727530 ) M3M4_PR_M
NEW met2 ( 3384240 1727530 ) via2_FR
NEW met2 ( 3384240 1755650 ) via2_FR
NEW met2 ( 3378960 1652790 ) via2_FR
NEW met3 ( 3382560 1652790 ) M3M4_PR_M ;
- mprj_io_dm\[17\] ( padframe mprj_io_dm[17] ) ( gpio_control_in\[5\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 3374880 1758610 ) ( 3375120 * )
NEW met3 ( 3374880 1758610 ) ( * 1759350 0 )
NEW met2 ( 3375120 1682390 ) ( 3377040 * )
NEW met2 ( 3377040 1680910 0 ) ( * 1682390 )
NEW met2 ( 3375120 1682390 ) ( * 1758610 )
NEW met2 ( 3375120 1758610 ) via2_FR ;
- mprj_io_dm\[18\] ( padframe mprj_io_dm[18] ) ( gpio_control_in\[6\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3373200 1979130 ) ( 3373920 * )
NEW met3 ( 3373920 1979130 ) ( * 1979870 0 )
NEW met1 ( 3373200 1887925 ) ( 3377040 * )
NEW met2 ( 3377040 1885375 0 ) ( * 1887925 )
NEW met2 ( 3373200 1887925 ) ( * 1979130 )
NEW met2 ( 3373200 1979130 ) via2_FR
NEW met1 ( 3373200 1887925 ) M1M2_PR
NEW met1 ( 3377040 1887925 ) M1M2_PR ;
- mprj_io_dm\[19\] ( padframe mprj_io_dm[19] ) ( gpio_control_in\[6\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3369840 1982830 ) ( 3373920 * 0 )
NEW met1 ( 3369840 1878675 ) ( 3377520 * )
NEW met2 ( 3377520 1876270 0 ) ( * 1878675 )
NEW met2 ( 3369840 1878675 ) ( * 1982830 )
NEW met2 ( 3369840 1982830 ) via2_FR
NEW met1 ( 3369840 1878675 ) M1M2_PR
NEW met1 ( 3377520 1878675 ) M1M2_PR ;
- mprj_io_dm\[1\] ( padframe mprj_io_dm[1] ) ( gpio_control_bidir\[0\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3371040 630110 ) ( 3373920 * 0 )
NEW met3 ( 3371040 525770 ) ( 3377040 * )
NEW met2 ( 3377040 523180 0 ) ( * 525770 )
NEW met4 ( 3371040 525770 ) ( * 630110 )
NEW met3 ( 3371040 630110 ) M3M4_PR_M
NEW met3 ( 3371040 525770 ) M3M4_PR_M
NEW met2 ( 3377040 525770 ) via2_FR ;
- mprj_io_dm\[20\] ( padframe mprj_io_dm[20] ) ( gpio_control_in\[6\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 3376080 1906980 ) ( 3377040 * 0 )
NEW met2 ( 3375600 1927145 ) ( 3376080 * )
NEW met2 ( 3375600 1927145 ) ( * 1984310 )
NEW met3 ( 3375600 1984310 ) ( 3375840 * )
NEW met3 ( 3375840 1984310 ) ( * 1985790 0 )
NEW met2 ( 3376080 1906980 ) ( * 1927145 )
NEW met2 ( 3375600 1984310 ) via2_FR ;
- mprj_io_dm\[21\] ( padframe mprj_io_dm[21] ) ( gpio_control_in\[7\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3366000 2420910 ) ( 3373920 * 0 )
NEW met1 ( 3366000 2769635 ) ( 3377520 * )
NEW met2 ( 3377520 2769635 ) ( * 2771300 0 )
NEW met2 ( 3366000 2420910 ) ( * 2769635 )
NEW met2 ( 3366000 2420910 ) via2_FR
NEW met1 ( 3366000 2769635 ) M1M2_PR
NEW met1 ( 3377520 2769635 ) M1M2_PR ;
- mprj_io_dm\[22\] ( padframe mprj_io_dm[22] ) ( gpio_control_in\[7\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3371280 2423870 ) ( 3373920 * 0 )
NEW met1 ( 3371760 2759645 ) ( 3377520 * )
NEW met2 ( 3377520 2759645 ) ( * 2762050 0 )
NEW met2 ( 3371280 2498610 ) ( 3371760 * )
NEW met2 ( 3371280 2423870 ) ( * 2498610 )
NEW met2 ( 3371760 2498610 ) ( * 2759645 )
NEW met2 ( 3371280 2423870 ) via2_FR
NEW met1 ( 3371760 2759645 ) M1M2_PR
NEW met1 ( 3377520 2759645 ) M1M2_PR ;
- mprj_io_dm\[23\] ( padframe mprj_io_dm[23] ) ( gpio_control_in\[7\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 3374160 2427570 ) ( 3374640 * )
NEW met3 ( 3374640 2427570 ) ( 3374880 * )
NEW met3 ( 3374880 2426830 0 ) ( * 2427570 )
NEW met2 ( 3374160 2748730 ) ( 3374640 * )
NEW met2 ( 3374640 2748730 ) ( * 2749285 )
NEW met1 ( 3374640 2749285 ) ( * 2750395 )
NEW met2 ( 3374640 2750395 ) ( * 2790355 )
NEW met1 ( 3374640 2790355 ) ( 3377040 * )
NEW met2 ( 3377040 2790355 ) ( * 2793130 0 )
NEW met2 ( 3374160 2427570 ) ( * 2748730 )
NEW met2 ( 3374640 2427570 ) via2_FR
NEW met1 ( 3374640 2749285 ) M1M2_PR
NEW met1 ( 3374640 2750395 ) M1M2_PR
NEW met1 ( 3374640 2790355 ) M1M2_PR
NEW met1 ( 3377040 2790355 ) M1M2_PR ;
- mprj_io_dm\[24\] ( padframe mprj_io_dm[24] ) ( gpio_control_in\[8\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met1 ( 3359280 2643095 ) ( 3368880 * )
NEW met2 ( 3368880 2641430 ) ( * 2643095 )
NEW met3 ( 3368880 2641430 ) ( 3373920 * )
NEW met3 ( 3373920 2641060 0 ) ( * 2641430 )
NEW met1 ( 3359280 2995335 ) ( 3377040 * )
NEW met2 ( 3377040 2995335 ) ( * 2997370 0 )
NEW met2 ( 3359280 2643095 ) ( * 2995335 )
NEW met1 ( 3359280 2643095 ) M1M2_PR
NEW met1 ( 3368880 2643095 ) M1M2_PR
NEW met2 ( 3368880 2641430 ) via2_FR
NEW met1 ( 3359280 2995335 ) M1M2_PR
NEW met1 ( 3377040 2995335 ) M1M2_PR ;
- mprj_io_dm\[25\] ( padframe mprj_io_dm[25] ) ( gpio_control_in\[8\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 3364080 2647905 ) ( 3368880 * )
NEW met2 ( 3368880 2643650 ) ( * 2647905 )
NEW met3 ( 3368880 2643650 ) ( 3373920 * 0 )
NEW met1 ( 3364080 2985715 ) ( 3377520 * )
NEW met2 ( 3377520 2985715 ) ( * 2988120 0 )
NEW met2 ( 3364080 2647905 ) ( * 2985715 )
NEW met1 ( 3364080 2647905 ) M1M2_PR
NEW met1 ( 3368880 2647905 ) M1M2_PR
NEW met2 ( 3368880 2643650 ) via2_FR
NEW met1 ( 3364080 2985715 ) M1M2_PR
NEW met1 ( 3377520 2985715 ) M1M2_PR ;
- mprj_io_dm\[26\] ( padframe mprj_io_dm[26] ) ( gpio_control_in\[8\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 3360240 2647535 ) ( 3374640 * )
NEW met2 ( 3374640 2647350 ) ( * 2647535 )
NEW met3 ( 3374640 2647350 ) ( 3374880 * )
NEW met3 ( 3374880 2646610 0 ) ( * 2647350 )
NEW met1 ( 3360240 3016425 ) ( 3377040 * )
NEW met2 ( 3377040 3016425 ) ( * 3018995 0 )
NEW met2 ( 3360240 2647535 ) ( * 3016425 )
NEW met1 ( 3360240 2647535 ) M1M2_PR
NEW met1 ( 3374640 2647535 ) M1M2_PR
NEW met2 ( 3374640 2647350 ) via2_FR
NEW met1 ( 3360240 3016425 ) M1M2_PR
NEW met1 ( 3377040 3016425 ) M1M2_PR ;
- mprj_io_dm\[27\] ( padframe mprj_io_dm[27] ) ( gpio_control_in\[9\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met1 ( 3363120 3220295 ) ( 3377520 * )
NEW met2 ( 3377520 3220295 ) ( * 3222330 0 )
NEW met1 ( 3363120 2867315 ) ( 3373200 * )
NEW met2 ( 3373200 2867130 ) ( * 2867315 )
NEW met3 ( 3373200 2867130 ) ( 3373920 * )
NEW met3 ( 3373920 2866390 0 ) ( * 2867130 )
NEW met2 ( 3363120 2867315 ) ( * 3220295 )
NEW met1 ( 3363120 3220295 ) M1M2_PR
NEW met1 ( 3377520 3220295 ) M1M2_PR
NEW met1 ( 3363120 2867315 ) M1M2_PR
NEW met1 ( 3373200 2867315 ) M1M2_PR
NEW met2 ( 3373200 2867130 ) via2_FR ;
- mprj_io_dm\[28\] ( padframe mprj_io_dm[28] ) ( gpio_control_in\[9\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 3366960 3211415 ) ( 3377520 * )
NEW met2 ( 3377520 3211415 ) ( * 3213080 0 )
NEW met3 ( 3366960 2868610 ) ( 3373920 * 0 )
NEW met2 ( 3366960 2868610 ) ( * 3211415 )
NEW met1 ( 3366960 3211415 ) M1M2_PR
NEW met1 ( 3377520 3211415 ) M1M2_PR
NEW met2 ( 3366960 2868610 ) via2_FR ;
- mprj_io_dm\[29\] ( padframe mprj_io_dm[29] ) ( gpio_control_in\[9\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 3358800 3241385 ) ( 3377040 * )
NEW met2 ( 3377040 3241385 ) ( * 3243995 0 )
NEW met1 ( 3358800 2872495 ) ( 3373200 * )
NEW met2 ( 3373200 2872310 ) ( * 2872495 )
NEW met3 ( 3373200 2872310 ) ( 3373920 * )
NEW met3 ( 3373920 2871570 0 ) ( * 2872310 )
NEW met2 ( 3358800 2872495 ) ( * 3241385 )
NEW met1 ( 3358800 3241385 ) M1M2_PR
NEW met1 ( 3377040 3241385 ) M1M2_PR
NEW met1 ( 3358800 2872495 ) M1M2_PR
NEW met1 ( 3373200 2872495 ) M1M2_PR
NEW met2 ( 3373200 2872310 ) via2_FR ;
- mprj_io_dm\[2\] ( padframe mprj_io_dm[2] ) ( gpio_control_bidir\[0\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 3373200 576090 ) ( 3373680 * )
NEW met2 ( 3373200 576090 ) ( * 631590 )
NEW met3 ( 3373200 631590 ) ( 3373920 * )
NEW met3 ( 3373920 631590 ) ( * 632330 0 )
NEW met1 ( 3373680 556665 ) ( 3377040 * )
NEW met2 ( 3377040 553890 0 ) ( * 556665 )
NEW met2 ( 3373680 556665 ) ( * 576090 )
NEW met2 ( 3373200 631590 ) via2_FR
NEW met1 ( 3373680 556665 ) M1M2_PR
NEW met1 ( 3377040 556665 ) M1M2_PR ;
- mprj_io_dm\[30\] ( padframe mprj_io_dm[30] ) ( gpio_control_in\[10\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3378960 3445810 ) ( 3379680 * )
NEW met2 ( 3378960 3445810 ) ( * 3448400 0 )
NEW met3 ( 3379680 3092090 0 ) ( * 3093570 )
NEW met4 ( 3379680 3093570 ) ( * 3445810 )
NEW met3 ( 3379680 3445810 ) M3M4_PR_M
NEW met2 ( 3378960 3445810 ) via2_FR
NEW met3 ( 3379680 3093570 ) M3M4_PR_M ;
- mprj_io_dm\[31\] ( padframe mprj_io_dm[31] ) ( gpio_control_in\[10\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 3374160 3419910 ) ( 3375600 * )
NEW met2 ( 3375600 3419910 ) ( * 3436745 )
NEW met1 ( 3375600 3436745 ) ( 3377520 * )
NEW met2 ( 3377520 3436745 ) ( * 3439150 0 )
NEW met2 ( 3374160 3095790 ) ( 3374640 * )
NEW met3 ( 3374640 3095790 ) ( 3374880 * )
NEW met3 ( 3374880 3095050 0 ) ( * 3095790 )
NEW met2 ( 3374160 3095790 ) ( * 3419910 )
NEW met1 ( 3375600 3436745 ) M1M2_PR
NEW met1 ( 3377520 3436745 ) M1M2_PR
NEW met2 ( 3374640 3095790 ) via2_FR ;
- mprj_io_dm\[32\] ( padframe mprj_io_dm[32] ) ( gpio_control_in\[10\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 3380640 3097270 0 ) ( * 3099490 )
NEW met3 ( 3375600 3441370 ) ( 3380640 * )
NEW met2 ( 3375600 3441370 ) ( * 3467455 )
NEW met1 ( 3375600 3467455 ) ( 3377520 * )
NEW met2 ( 3377520 3467455 ) ( * 3469860 0 )
NEW met4 ( 3380640 3099490 ) ( * 3441370 )
NEW met3 ( 3380640 3099490 ) M3M4_PR_M
NEW met3 ( 3380640 3441370 ) M3M4_PR_M
NEW met2 ( 3375600 3441370 ) via2_FR
NEW met1 ( 3375600 3467455 ) M1M2_PR
NEW met1 ( 3377520 3467455 ) M1M2_PR ;
- mprj_io_dm\[33\] ( padframe mprj_io_dm[33] ) ( gpio_control_in\[11\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3381600 3317050 0 ) ( * 3318530 )
NEW met3 ( 3376800 3672250 ) ( 3377040 * )
NEW met2 ( 3377040 3672250 ) ( * 3673360 0 )
NEW met3 ( 3376800 3485030 ) ( 3381600 * )
NEW met4 ( 3376800 3485030 ) ( * 3672250 )
NEW met4 ( 3381600 3318530 ) ( * 3485030 )
NEW met3 ( 3381600 3318530 ) M3M4_PR_M
NEW met3 ( 3376800 3672250 ) M3M4_PR_M
NEW met2 ( 3377040 3672250 ) via2_FR
NEW met3 ( 3376800 3485030 ) M3M4_PR_M
NEW met3 ( 3381600 3485030 ) M3M4_PR_M
NEW met3 ( 3376800 3672250 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_dm\[34\] ( padframe mprj_io_dm[34] ) ( gpio_control_in\[11\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 3374640 3418985 ) ( * 3420465 )
NEW met3 ( 3374640 3320750 ) ( 3374880 * )
NEW met3 ( 3374880 3320010 0 ) ( * 3320750 )
NEW met2 ( 3374640 3320750 ) ( * 3418985 )
NEW met1 ( 3374640 3661705 ) ( 3377520 * )
NEW met2 ( 3377520 3661705 ) ( * 3664110 0 )
NEW met2 ( 3374640 3420465 ) ( * 3661705 )
NEW met1 ( 3374640 3418985 ) M1M2_PR
NEW met1 ( 3374640 3420465 ) M1M2_PR
NEW met2 ( 3374640 3320750 ) via2_FR
NEW met1 ( 3374640 3661705 ) M1M2_PR
NEW met1 ( 3377520 3661705 ) M1M2_PR ;
- mprj_io_dm\[35\] ( padframe mprj_io_dm[35] ) ( gpio_control_in\[11\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 3373920 3322600 0 ) ( * 3324450 )
NEW met3 ( 3373920 3692970 ) ( 3377040 * )
NEW met2 ( 3377040 3692970 ) ( * 3694995 0 )
NEW met4 ( 3373920 3324450 ) ( * 3692970 )
NEW met3 ( 3373920 3324450 ) M3M4_PR_M
NEW met3 ( 3373920 3692970 ) M3M4_PR_M
NEW met2 ( 3377040 3692970 ) via2_FR ;
- mprj_io_dm\[36\] ( padframe mprj_io_dm[36] ) ( gpio_control_in\[12\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met1 ( 3364560 3896655 ) ( 3377520 * )
NEW met2 ( 3377520 3896655 ) ( * 3898320 0 )
NEW met1 ( 3364560 3543305 ) ( 3372240 * )
NEW met2 ( 3372240 3543120 ) ( * 3543305 )
NEW met3 ( 3372240 3543120 ) ( 3373920 * 0 )
NEW met2 ( 3364560 3543305 ) ( * 3896655 )
NEW met1 ( 3364560 3896655 ) M1M2_PR
NEW met1 ( 3377520 3896655 ) M1M2_PR
NEW met1 ( 3364560 3543305 ) M1M2_PR
NEW met1 ( 3372240 3543305 ) M1M2_PR
NEW met2 ( 3372240 3543120 ) via2_FR ;
- mprj_io_dm\[37\] ( padframe mprj_io_dm[37] ) ( gpio_control_in\[12\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 3363120 3888145 ) ( 3377520 * )
NEW met2 ( 3377520 3888145 ) ( * 3889070 0 )
NEW met1 ( 3363120 3545895 ) ( 3372240 * )
NEW met2 ( 3372240 3545710 ) ( * 3545895 )
NEW met3 ( 3372240 3545710 ) ( 3373920 * 0 )
NEW met2 ( 3363120 3545895 ) ( * 3888145 )
NEW met1 ( 3363120 3888145 ) M1M2_PR
NEW met1 ( 3377520 3888145 ) M1M2_PR
NEW met1 ( 3363120 3545895 ) M1M2_PR
NEW met1 ( 3372240 3545895 ) M1M2_PR
NEW met2 ( 3372240 3545710 ) via2_FR ;
- mprj_io_dm\[38\] ( padframe mprj_io_dm[38] ) ( gpio_control_in\[12\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 3362640 3917375 ) ( 3377040 * )
NEW met2 ( 3377040 3917375 ) ( * 3919995 0 )
NEW met1 ( 3362640 3548855 ) ( 3372240 * )
NEW met2 ( 3372240 3548670 ) ( * 3548855 )
NEW met3 ( 3372240 3548670 ) ( 3373920 * 0 )
NEW met2 ( 3362640 3548855 ) ( * 3917375 )
NEW met1 ( 3362640 3917375 ) M1M2_PR
NEW met1 ( 3377040 3917375 ) M1M2_PR
NEW met1 ( 3362640 3548855 ) M1M2_PR
NEW met1 ( 3372240 3548855 ) M1M2_PR
NEW met2 ( 3372240 3548670 ) via2_FR ;
- mprj_io_dm\[39\] ( padframe mprj_io_dm[39] ) ( gpio_control_in\[13\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3371760 3865390 ) ( 3388320 * )
NEW met3 ( 3388320 3768080 0 ) ( * 3769930 )
NEW met4 ( 3388320 3769930 ) ( * 3865390 )
NEW met1 ( 3371760 4325115 ) ( 3375600 * )
NEW met2 ( 3375600 4325115 ) ( * 4344375 )
NEW met2 ( 3375600 4344375 ) ( 3377040 * 0 )
NEW met2 ( 3371760 3865390 ) ( * 4325115 )
NEW met2 ( 3371760 3865390 ) via2_FR
NEW met3 ( 3388320 3865390 ) M3M4_PR_M
NEW met3 ( 3388320 3769930 ) M3M4_PR_M
NEW met1 ( 3371760 4325115 ) M1M2_PR
NEW met1 ( 3375600 4325115 ) M1M2_PR ;
- mprj_io_dm\[3\] ( padframe mprj_io_dm[3] ) ( gpio_control_bidir\[1\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met1 ( 3369840 760165 ) ( 3377520 * )
NEW met2 ( 3377520 758500 0 ) ( * 760165 )
NEW met3 ( 3369840 852850 ) ( 3373920 * 0 )
NEW met2 ( 3369840 760165 ) ( * 852850 )
NEW met1 ( 3369840 760165 ) M1M2_PR
NEW met1 ( 3377520 760165 ) M1M2_PR
NEW met2 ( 3369840 852850 ) via2_FR ;
- mprj_io_dm\[40\] ( padframe mprj_io_dm[40] ) ( gpio_control_in\[13\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3372720 3887590 ) ( 3385440 * )
NEW met4 ( 3385440 3887590 ) ( * 3887775 )
NEW met4 ( 3385440 3887775 ) ( 3387360 * )
NEW met3 ( 3387360 3770670 0 ) ( * 3772150 )
NEW met4 ( 3387360 3772150 ) ( * 3887775 )
NEW met1 ( 3372720 4332515 ) ( 3377040 * )
NEW met2 ( 3377040 4332515 ) ( * 4335290 0 )
NEW met2 ( 3372720 3887590 ) ( * 4332515 )
NEW met2 ( 3372720 3887590 ) via2_FR
NEW met3 ( 3385440 3887590 ) M3M4_PR_M
NEW met3 ( 3387360 3772150 ) M3M4_PR_M
NEW met1 ( 3372720 4332515 ) M1M2_PR
NEW met1 ( 3377040 4332515 ) M1M2_PR ;
- mprj_io_dm\[41\] ( padframe mprj_io_dm[41] ) ( gpio_control_in\[13\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 3372000 3773630 ) ( 3373920 * 0 )
NEW met3 ( 3372000 4364150 ) ( 3377040 * )
NEW met2 ( 3377040 4364150 ) ( * 4366000 0 )
NEW met4 ( 3372000 3773630 ) ( * 4364150 )
NEW met3 ( 3372000 3773630 ) M3M4_PR_M
NEW met3 ( 3372000 4364150 ) M3M4_PR_M
NEW met2 ( 3377040 4364150 ) via2_FR ;
- mprj_io_dm\[42\] ( padframe mprj_io_dm[42] ) ( gpio_control_in\[14\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3377760 4660150 0 ) ( * 4661630 )
NEW met3 ( 3377520 4788170 ) ( 3377760 * )
NEW met2 ( 3377520 4788170 ) ( * 4790390 0 )
NEW met4 ( 3377760 4661630 ) ( * 4788170 )
NEW met3 ( 3377760 4661630 ) M3M4_PR_M
NEW met3 ( 3377760 4788170 ) M3M4_PR_M
NEW met2 ( 3377520 4788170 ) via2_FR
NEW met3 ( 3377760 4788170 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_dm\[43\] ( padframe mprj_io_dm[43] ) ( gpio_control_in\[14\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3370080 4663110 ) ( 3373920 * 0 )
NEW met3 ( 3370080 4780030 ) ( 3377520 * )
NEW met2 ( 3377520 4780030 ) ( * 4781140 0 )
NEW met4 ( 3370080 4663110 ) ( * 4780030 )
NEW met3 ( 3370080 4663110 ) M3M4_PR_M
NEW met3 ( 3370080 4780030 ) M3M4_PR_M
NEW met2 ( 3377520 4780030 ) via2_FR ;
- mprj_io_dm\[44\] ( padframe mprj_io_dm[44] ) ( gpio_control_in\[14\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 3381600 4665330 0 ) ( * 4666810 )
NEW met2 ( 3378960 4811110 ) ( * 4811995 0 )
NEW met3 ( 3378960 4811110 ) ( 3381600 * )
NEW met4 ( 3381600 4666810 ) ( * 4811110 )
NEW met3 ( 3381600 4666810 ) M3M4_PR_M
NEW met2 ( 3378960 4811110 ) via2_FR
NEW met3 ( 3381600 4811110 ) M3M4_PR_M ;
- mprj_io_dm\[45\] ( padframe mprj_io_dm[45] ) ( gpio_control_in\[15\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 2864880 4974650 ) ( * 5012945 )
NEW met2 ( 3176400 4974650 ) ( * 4977610 )
NEW met2 ( 3176400 4977610 ) ( 3177600 * 0 )
NEW met3 ( 2864880 4974650 ) ( 3176400 * )
NEW met2 ( 2768880 5012945 ) ( * 5013130 )
NEW met3 ( 2768160 5013130 0 ) ( 2768880 * )
NEW met1 ( 2768880 5012945 ) ( 2864880 * )
NEW met1 ( 2864880 5012945 ) M1M2_PR
NEW met2 ( 2864880 4974650 ) via2_FR
NEW met2 ( 3176400 4974650 ) via2_FR
NEW met1 ( 2768880 5012945 ) M1M2_PR
NEW met2 ( 2768880 5013130 ) via2_FR ;
- mprj_io_dm\[46\] ( padframe mprj_io_dm[46] ) ( gpio_control_in\[15\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 2864400 4973910 ) ( * 5014055 )
NEW met2 ( 3186960 4973910 ) ( * 4977610 0 )
NEW met3 ( 2864400 4973910 ) ( 3186960 * )
NEW met2 ( 2771760 5013870 ) ( * 5014055 )
NEW met3 ( 2771040 5013870 0 ) ( 2771760 * )
NEW met1 ( 2771760 5014055 ) ( 2864400 * )
NEW met1 ( 2864400 5014055 ) M1M2_PR
NEW met2 ( 2864400 4973910 ) via2_FR
NEW met2 ( 3186960 4973910 ) via2_FR
NEW met1 ( 2771760 5014055 ) M1M2_PR
NEW met2 ( 2771760 5013870 ) via2_FR ;
- mprj_io_dm\[47\] ( padframe mprj_io_dm[47] ) ( gpio_control_in\[15\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 2865360 4977610 ) ( * 5014795 )
NEW met2 ( 3154800 4977610 ) ( 3156000 * 0 )
NEW met3 ( 2865360 4977610 ) ( 3154800 * )
NEW met2 ( 2774640 5014610 ) ( * 5014795 )
NEW met3 ( 2773440 5014610 0 ) ( 2774640 * )
NEW met1 ( 2774640 5014795 ) ( 2865360 * )
NEW met1 ( 2865360 5014795 ) M1M2_PR
NEW met2 ( 2865360 4977610 ) via2_FR
NEW met2 ( 3154800 4977610 ) via2_FR
NEW met1 ( 2774640 5014795 ) M1M2_PR
NEW met2 ( 2774640 5014610 ) via2_FR ;
- mprj_io_dm\[48\] ( padframe mprj_io_dm[48] ) ( gpio_control_in\[16\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 2593200 4977055 ) ( * 5016275 )
NEW met1 ( 2512080 5015535 ) ( * 5016275 )
NEW met2 ( 2512080 5015350 ) ( * 5015535 )
NEW met3 ( 2510880 5015350 0 ) ( 2512080 * )
NEW met1 ( 2512080 5016275 ) ( 2593200 * )
NEW met2 ( 2667120 4977055 ) ( * 4977610 )
NEW met2 ( 2667120 4977610 ) ( 2668560 * 0 )
NEW met1 ( 2593200 4977055 ) ( 2667120 * )
NEW met1 ( 2593200 5016275 ) M1M2_PR
NEW met1 ( 2593200 4977055 ) M1M2_PR
NEW met1 ( 2512080 5015535 ) M1M2_PR
NEW met2 ( 2512080 5015350 ) via2_FR
NEW met1 ( 2667120 4977055 ) M1M2_PR ;
- mprj_io_dm\[49\] ( padframe mprj_io_dm[49] ) ( gpio_control_in\[16\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 2593680 4976685 ) ( * 5015535 )
NEW met2 ( 2514960 5015350 ) ( * 5015535 )
NEW met3 ( 2513760 5015350 0 ) ( 2514960 * )
NEW met1 ( 2514960 5015535 ) ( 2593680 * )
NEW met2 ( 2677920 4976685 ) ( * 4977610 0 )
NEW met1 ( 2593680 4976685 ) ( 2677920 * )
NEW met1 ( 2593680 5015535 ) M1M2_PR
NEW met1 ( 2593680 4976685 ) M1M2_PR
NEW met1 ( 2514960 5015535 ) M1M2_PR
NEW met2 ( 2514960 5015350 ) via2_FR
NEW met1 ( 2677920 4976685 ) M1M2_PR ;
- mprj_io_dm\[4\] ( padframe mprj_io_dm[4] ) ( gpio_control_bidir\[1\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3370080 751470 ) ( 3377520 * )
NEW met2 ( 3377520 749250 0 ) ( * 751470 )
NEW met3 ( 3370080 855810 ) ( 3373920 * 0 )
NEW met4 ( 3370080 751470 ) ( * 855810 )
NEW met3 ( 3370080 751470 ) M3M4_PR_M
NEW met2 ( 3377520 751470 ) via2_FR
NEW met3 ( 3370080 855810 ) M3M4_PR_M ;
- mprj_io_dm\[50\] ( padframe mprj_io_dm[50] ) ( gpio_control_in\[16\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 2617680 4978350 ) ( * 5004250 )
NEW met3 ( 2617680 4978350 ) ( 2645520 * )
NEW met2 ( 2645520 4978350 ) ( 2646960 * 0 )
NEW met1 ( 2517360 5011835 ) ( 2570160 * )
NEW met2 ( 2517360 5011650 ) ( * 5011835 )
NEW met3 ( 2516640 5011650 0 ) ( 2517360 * )
NEW met2 ( 2570160 5004250 ) ( * 5011835 )
NEW met3 ( 2570160 5004250 ) ( 2617680 * )
NEW met2 ( 2617680 5004250 ) via2_FR
NEW met2 ( 2617680 4978350 ) via2_FR
NEW met2 ( 2645520 4978350 ) via2_FR
NEW met1 ( 2570160 5011835 ) M1M2_PR
NEW met1 ( 2517360 5011835 ) M1M2_PR
NEW met2 ( 2517360 5011650 ) via2_FR
NEW met2 ( 2570160 5004250 ) via2_FR ;
- mprj_io_dm\[51\] ( padframe mprj_io_dm[51] ) ( gpio_control_in\[17\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 2408160 4979090 ) ( * 4979830 )
NEW met3 ( 2408160 4979090 ) ( 2410320 * )
NEW met2 ( 2410320 4979090 ) ( 2411760 * 0 )
NEW met2 ( 2151120 5011465 ) ( * 5015165 )
NEW met1 ( 2151120 5011465 ) ( 2246160 * )
NEW met2 ( 2246160 5011465 ) ( * 5015535 )
NEW met2 ( 2127120 5015165 ) ( * 5015350 )
NEW met3 ( 2125920 5015350 0 ) ( 2127120 * )
NEW met1 ( 2127120 5015165 ) ( 2151120 * )
NEW met2 ( 2339280 4979830 ) ( * 5015535 )
NEW met1 ( 2246160 5015535 ) ( 2339280 * )
NEW met3 ( 2339280 4979830 ) ( 2408160 * )
NEW met2 ( 2410320 4979090 ) via2_FR
NEW met1 ( 2151120 5015165 ) M1M2_PR
NEW met1 ( 2151120 5011465 ) M1M2_PR
NEW met1 ( 2246160 5011465 ) M1M2_PR
NEW met1 ( 2246160 5015535 ) M1M2_PR
NEW met1 ( 2127120 5015165 ) M1M2_PR
NEW met2 ( 2127120 5015350 ) via2_FR
NEW met2 ( 2339280 4979830 ) via2_FR
NEW met1 ( 2339280 5015535 ) M1M2_PR ;
- mprj_io_dm\[52\] ( padframe mprj_io_dm[52] ) ( gpio_control_in\[17\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 2420880 4974650 ) ( * 4977610 0 )
NEW met1 ( 2130480 5015905 ) ( * 5016275 )
NEW met2 ( 2130480 5015905 ) ( * 5016090 )
NEW met3 ( 2128800 5016090 0 ) ( 2130480 * )
NEW met2 ( 2335440 4974650 ) ( * 5016275 )
NEW met1 ( 2130480 5016275 ) ( 2335440 * )
NEW met3 ( 2335440 4974650 ) ( 2420880 * )
NEW met2 ( 2420880 4974650 ) via2_FR
NEW met1 ( 2130480 5015905 ) M1M2_PR
NEW met2 ( 2130480 5016090 ) via2_FR
NEW met2 ( 2335440 4974650 ) via2_FR
NEW met1 ( 2335440 5016275 ) M1M2_PR ;
- mprj_io_dm\[53\] ( padframe mprj_io_dm[53] ) ( gpio_control_in\[17\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 2388720 4978350 ) ( 2389920 * 0 )
NEW met2 ( 2175600 5012575 ) ( * 5025710 )
NEW met3 ( 2175600 5025710 ) ( 2217840 * )
NEW met2 ( 2217840 5018495 ) ( * 5025710 )
NEW met2 ( 2133360 5012390 ) ( * 5012575 )
NEW met3 ( 2131680 5012390 0 ) ( 2133360 * )
NEW met1 ( 2133360 5012575 ) ( 2175600 * )
NEW met2 ( 2319120 4978350 ) ( * 5018495 )
NEW met1 ( 2217840 5018495 ) ( 2319120 * )
NEW met3 ( 2319120 4978350 ) ( 2388720 * )
NEW met2 ( 2388720 4978350 ) via2_FR
NEW met1 ( 2175600 5012575 ) M1M2_PR
NEW met2 ( 2175600 5025710 ) via2_FR
NEW met2 ( 2217840 5025710 ) via2_FR
NEW met1 ( 2217840 5018495 ) M1M2_PR
NEW met1 ( 2133360 5012575 ) M1M2_PR
NEW met2 ( 2133360 5012390 ) via2_FR
NEW met2 ( 2319120 4978350 ) via2_FR
NEW met1 ( 2319120 5018495 ) M1M2_PR ;
- mprj_io_dm\[54\] ( padframe mprj_io_dm[54] ) ( gpio_control_in\[18\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 1790640 4972430 ) ( * 4979090 )
NEW met3 ( 1788960 4979090 0 ) ( 1790640 * )
NEW met2 ( 1965360 4972430 ) ( * 4977610 )
NEW met2 ( 1965360 4977610 ) ( 1966560 * 0 )
NEW met3 ( 1790640 4972430 ) ( 1965360 * )
NEW met2 ( 1790640 4972430 ) via2_FR
NEW met2 ( 1790640 4979090 ) via2_FR
NEW met2 ( 1965360 4972430 ) via2_FR ;
- mprj_io_dm\[55\] ( padframe mprj_io_dm[55] ) ( gpio_control_in\[18\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 1791840 4973170 ) ( * 4979090 0 )
NEW met2 ( 1975920 4973170 ) ( * 4977610 0 )
NEW met3 ( 1791840 4973170 ) ( 1975920 * )
NEW met2 ( 1975920 4973170 ) via2_FR ;
- mprj_io_dm\[56\] ( padframe mprj_io_dm[56] ) ( gpio_control_in\[18\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 1795440 5015905 ) ( * 5016275 )
NEW met2 ( 1795440 5015905 ) ( * 5016090 )
NEW met3 ( 1794720 5016090 0 ) ( 1795440 * )
NEW met2 ( 1944240 4979090 ) ( 1944960 * 0 )
NEW met2 ( 1944240 4978905 ) ( * 4979090 )
NEW met1 ( 1944240 4978905 ) ( * 4979275 )
NEW met2 ( 1888560 5011465 ) ( * 5016275 )
NEW met1 ( 1888560 5011465 ) ( 1917360 * )
NEW met2 ( 1917360 4979275 ) ( * 5011465 )
NEW met1 ( 1795440 5016275 ) ( 1888560 * )
NEW met1 ( 1917360 4979275 ) ( 1944240 * )
NEW met1 ( 1795440 5015905 ) M1M2_PR
NEW met2 ( 1795440 5016090 ) via2_FR
NEW met1 ( 1944240 4978905 ) M1M2_PR
NEW met1 ( 1888560 5016275 ) M1M2_PR
NEW met1 ( 1888560 5011465 ) M1M2_PR
NEW met1 ( 1917360 5011465 ) M1M2_PR
NEW met1 ( 1917360 4979275 ) M1M2_PR ;
- mprj_io_dm\[57\] ( padframe mprj_io_dm[57] ) ( gpio_control_in\[19\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 1457520 4978350 0 ) ( 1458960 * )
NEW met2 ( 1458960 4978350 ) ( * 4978535 )
NEW met1 ( 1458960 4978535 ) ( 1532400 * )
NEW met2 ( 1532400 4978350 ) ( * 4978535 )
NEW met3 ( 1532400 4978350 ) ( 1536960 * )
NEW met3 ( 1536960 4978350 ) ( * 4979090 0 )
NEW met1 ( 1458960 4978535 ) M1M2_PR
NEW met1 ( 1532400 4978535 ) M1M2_PR
NEW met2 ( 1532400 4978350 ) via2_FR ;
- mprj_io_dm\[58\] ( padframe mprj_io_dm[58] ) ( gpio_control_in\[19\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 1466880 4977610 0 ) ( 1468080 * )
NEW met3 ( 1468080 4977610 ) ( 1539840 * )
NEW met3 ( 1539840 4977610 ) ( * 4979090 0 )
NEW met2 ( 1468080 4977610 ) via2_FR ;
- mprj_io_dm\[59\] ( padframe mprj_io_dm[59] ) ( gpio_control_in\[19\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 1437360 4977425 ) ( * 4977610 )
NEW met2 ( 1435920 4977610 0 ) ( 1437360 * )
NEW met2 ( 1541520 5013685 ) ( * 5013870 )
NEW met3 ( 1541520 5013870 ) ( 1542240 * 0 )
NEW met2 ( 1453680 4977425 ) ( * 4978905 )
NEW met1 ( 1453680 4978905 ) ( * 4979275 )
NEW met1 ( 1453680 4979275 ) ( 1496400 * )
NEW met2 ( 1496400 4979275 ) ( * 5013685 )
NEW met1 ( 1437360 4977425 ) ( 1453680 * )
NEW met1 ( 1496400 5013685 ) ( 1541520 * )
NEW met1 ( 1437360 4977425 ) M1M2_PR
NEW met1 ( 1541520 5013685 ) M1M2_PR
NEW met2 ( 1541520 5013870 ) via2_FR
NEW met1 ( 1453680 4977425 ) M1M2_PR
NEW met1 ( 1453680 4978905 ) M1M2_PR
NEW met1 ( 1496400 4979275 ) M1M2_PR
NEW met1 ( 1496400 5013685 ) M1M2_PR ;
- mprj_io_dm\[5\] ( padframe mprj_io_dm[5] ) ( gpio_control_bidir\[1\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 3374640 779960 ) ( 3377040 * 0 )
NEW met3 ( 3374640 857290 ) ( 3374880 * )
NEW met3 ( 3374880 857290 ) ( * 858770 0 )
NEW met2 ( 3374640 779960 ) ( * 857290 )
NEW met2 ( 3374640 857290 ) via2_FR ;
- mprj_io_dm\[60\] ( padframe mprj_io_dm[60] ) ( gpio_control_in\[20\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 1199760 4978350 0 ) ( 1201680 * )
NEW met2 ( 1201680 4978350 ) ( * 4978535 )
NEW met1 ( 1201680 4978535 ) ( 1232880 * )
NEW met2 ( 1232880 4978535 ) ( * 5011095 )
NEW met1 ( 1232400 5011095 ) ( 1232880 * )
NEW met1 ( 1232400 5011095 ) ( * 5011835 )
NEW met2 ( 1277520 5011650 ) ( * 5011835 )
NEW met3 ( 1277520 5011650 ) ( 1279200 * 0 )
NEW met1 ( 1232400 5011835 ) ( 1277520 * )
NEW met1 ( 1201680 4978535 ) M1M2_PR
NEW met1 ( 1232880 4978535 ) M1M2_PR
NEW met1 ( 1232880 5011095 ) M1M2_PR
NEW met1 ( 1277520 5011835 ) M1M2_PR
NEW met2 ( 1277520 5011650 ) via2_FR ;
- mprj_io_dm\[61\] ( padframe mprj_io_dm[61] ) ( gpio_control_in\[20\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 1208880 4978350 0 ) ( 1209360 * )
NEW met3 ( 1209360 4978350 ) ( 1238160 * )
NEW met2 ( 1238160 4978350 ) ( * 4978535 )
NEW met2 ( 1280880 4978535 ) ( * 4979090 )
NEW met3 ( 1280880 4979090 ) ( 1282080 * 0 )
NEW met1 ( 1238160 4978535 ) ( 1280880 * )
NEW met2 ( 1209360 4978350 ) via2_FR
NEW met2 ( 1238160 4978350 ) via2_FR
NEW met1 ( 1238160 4978535 ) M1M2_PR
NEW met1 ( 1280880 4978535 ) M1M2_PR
NEW met2 ( 1280880 4979090 ) via2_FR ;
- mprj_io_dm\[62\] ( padframe mprj_io_dm[62] ) ( gpio_control_in\[20\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 1238160 4970025 ) ( * 4976870 )
NEW met2 ( 1179120 4970025 ) ( * 4977610 )
NEW met2 ( 1177920 4977610 0 ) ( 1179120 * )
NEW met1 ( 1179120 4970025 ) ( 1238160 * )
NEW met3 ( 1284480 4976870 ) ( * 4979090 0 )
NEW met3 ( 1238160 4976870 ) ( 1284480 * )
NEW met1 ( 1238160 4970025 ) M1M2_PR
NEW met2 ( 1238160 4976870 ) via2_FR
NEW met1 ( 1179120 4970025 ) M1M2_PR ;
- mprj_io_dm\[63\] ( padframe mprj_io_dm[63] ) ( gpio_control_in\[21\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 942720 4979090 0 ) ( 943920 * )
NEW met3 ( 943920 4979090 ) ( 946080 * )
NEW met3 ( 946080 4979090 ) ( * 4979830 )
NEW met3 ( 946080 4979830 ) ( 993360 * )
NEW met2 ( 993360 4979830 ) ( * 4980755 )
NEW met1 ( 993360 4980755 ) ( 1020240 * )
NEW met2 ( 1020240 4980570 ) ( * 4980755 )
NEW met3 ( 1020240 4980570 ) ( 1021920 * 0 )
NEW met2 ( 943920 4979090 ) via2_FR
NEW met2 ( 993360 4979830 ) via2_FR
NEW met1 ( 993360 4980755 ) M1M2_PR
NEW met1 ( 1020240 4980755 ) M1M2_PR
NEW met2 ( 1020240 4980570 ) via2_FR ;
- mprj_io_dm\[64\] ( padframe mprj_io_dm[64] ) ( gpio_control_in\[21\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 951840 4977610 0 ) ( 953040 * )
NEW met2 ( 953040 4976870 ) ( * 4977610 )
NEW met3 ( 953040 4976870 ) ( 1024800 * )
NEW met3 ( 1024800 4976870 ) ( * 4979090 0 )
NEW met2 ( 953040 4976870 ) via2_FR ;
- mprj_io_dm\[65\] ( padframe mprj_io_dm[65] ) ( gpio_control_in\[21\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 920400 4977610 ) ( 920880 * 0 )
NEW met2 ( 920400 4977425 ) ( * 4977610 )
NEW met2 ( 978960 4977425 ) ( * 4982605 )
NEW met1 ( 978960 4982605 ) ( 1026480 * )
NEW met2 ( 1026480 4982605 ) ( * 4982790 )
NEW met3 ( 1026480 4982790 ) ( 1027680 * 0 )
NEW met1 ( 920400 4977425 ) ( 978960 * )
NEW met1 ( 920400 4977425 ) M1M2_PR
NEW met1 ( 978960 4977425 ) M1M2_PR
NEW met1 ( 978960 4982605 ) M1M2_PR
NEW met1 ( 1026480 4982605 ) M1M2_PR
NEW met2 ( 1026480 4982790 ) via2_FR ;
- mprj_io_dm\[66\] ( padframe mprj_io_dm[66] ) ( gpio_control_in\[22\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 685680 4979090 0 ) ( 687120 * )
NEW met2 ( 687120 4978905 ) ( * 4979090 )
NEW met1 ( 687120 4978905 ) ( * 4979275 )
NEW met1 ( 687120 4979275 ) ( 720240 * )
NEW met2 ( 720240 4979275 ) ( * 4997035 )
NEW met1 ( 720240 4997035 ) ( 734160 * )
NEW met2 ( 734160 4997035 ) ( * 5011835 )
NEW met2 ( 763920 5011650 ) ( * 5011835 )
NEW met3 ( 763920 5011650 ) ( 765120 * 0 )
NEW met1 ( 734160 5011835 ) ( 763920 * )
NEW met1 ( 734160 5011835 ) M1M2_PR
NEW met1 ( 687120 4978905 ) M1M2_PR
NEW met1 ( 720240 4979275 ) M1M2_PR
NEW met1 ( 720240 4997035 ) M1M2_PR
NEW met1 ( 734160 4997035 ) M1M2_PR
NEW met1 ( 763920 5011835 ) M1M2_PR
NEW met2 ( 763920 5011650 ) via2_FR ;
- mprj_io_dm\[67\] ( padframe mprj_io_dm[67] ) ( gpio_control_in\[22\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 694800 4977610 0 ) ( 696240 * )
NEW met3 ( 767520 4977610 ) ( * 4978350 )
NEW met3 ( 767520 4978350 ) ( 768480 * )
NEW met3 ( 768480 4978350 ) ( * 4979090 )
NEW met3 ( 767520 4979090 0 ) ( 768480 * )
NEW met3 ( 696240 4977610 ) ( 767520 * )
NEW met2 ( 696240 4977610 ) via2_FR ;
- mprj_io_dm\[68\] ( padframe mprj_io_dm[68] ) ( gpio_control_in\[22\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 664080 4971690 ) ( * 4977610 0 )
NEW met2 ( 723600 4971690 ) ( * 4976870 )
NEW met3 ( 664080 4971690 ) ( 723600 * )
NEW met3 ( 770400 4976870 ) ( * 4979090 0 )
NEW met3 ( 723600 4976870 ) ( 770400 * )
NEW met2 ( 664080 4971690 ) via2_FR
NEW met2 ( 723600 4971690 ) via2_FR
NEW met2 ( 723600 4976870 ) via2_FR ;
- mprj_io_dm\[69\] ( padframe mprj_io_dm[69] ) ( gpio_control_in\[23\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 428640 4978350 0 ) ( 429840 * )
NEW met3 ( 469920 4976870 ) ( * 4978350 )
NEW met3 ( 469920 4976870 ) ( 507360 * )
NEW met3 ( 507360 4976870 ) ( * 4979090 )
NEW met3 ( 507360 4979090 ) ( 508320 * 0 )
NEW met3 ( 429840 4978350 ) ( 469920 * )
NEW met2 ( 429840 4978350 ) via2_FR ;
- mprj_io_dm\[6\] ( padframe mprj_io_dm[6] ) ( gpio_control_in\[2\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 3377520 983460 0 ) ( * 985125 )
NEW met1 ( 3372720 985125 ) ( 3377520 * )
NEW met2 ( 3372720 985125 ) ( * 1077070 )
NEW met3 ( 3372720 1077070 ) ( 3373920 * )
NEW met3 ( 3373920 1077070 ) ( * 1077810 0 )
NEW met1 ( 3377520 985125 ) M1M2_PR
NEW met1 ( 3372720 985125 ) M1M2_PR
NEW met2 ( 3372720 1077070 ) via2_FR ;
- mprj_io_dm\[70\] ( padframe mprj_io_dm[70] ) ( gpio_control_in\[23\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 437760 4977610 0 ) ( 438960 * )
NEW met2 ( 438960 4975390 ) ( * 4977610 )
NEW met3 ( 438960 4975390 ) ( 510720 * )
NEW met3 ( 510720 4975390 ) ( * 4979090 0 )
NEW met2 ( 438960 4975390 ) via2_FR ;
- mprj_io_dm\[71\] ( padframe mprj_io_dm[71] ) ( gpio_control_in\[23\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 407040 4977610 0 ) ( 408240 * )
NEW met2 ( 408240 4977425 ) ( * 4977610 )
NEW met2 ( 512400 4977425 ) ( * 4979090 )
NEW met3 ( 512400 4979090 ) ( 513600 * 0 )
NEW met1 ( 408240 4977425 ) ( 512400 * )
NEW met1 ( 408240 4977425 ) M1M2_PR
NEW met1 ( 512400 4977425 ) M1M2_PR
NEW met2 ( 512400 4979090 ) via2_FR ;
- mprj_io_dm\[72\] ( padframe mprj_io_dm[72] ) ( gpio_control_in\[24\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 205920 4816290 ) ( 209040 * )
NEW met2 ( 209040 4816290 ) ( * 4818510 0 )
NEW met3 ( 205920 4678280 0 ) ( * 4679390 )
NEW met4 ( 205920 4679390 ) ( * 4816290 )
NEW met3 ( 205920 4816290 ) M3M4_PR_M
NEW met2 ( 209040 4816290 ) via2_FR
NEW met3 ( 205920 4679390 ) M3M4_PR_M ;
- mprj_io_dm\[73\] ( padframe mprj_io_dm[73] ) ( gpio_control_in\[24\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 210480 4825170 ) ( 211680 * )
NEW met2 ( 210480 4825170 ) ( * 4827760 0 )
NEW met3 ( 211680 4728230 ) ( 214560 * )
NEW met4 ( 214560 4680870 ) ( * 4728230 )
NEW met3 ( 212640 4680870 0 ) ( 214560 * )
NEW met4 ( 211680 4728230 ) ( * 4825170 )
NEW met3 ( 211680 4825170 ) M3M4_PR_M
NEW met2 ( 210480 4825170 ) via2_FR
NEW met3 ( 211680 4728230 ) M3M4_PR_M
NEW met3 ( 214560 4728230 ) M3M4_PR_M
NEW met3 ( 214560 4680870 ) M3M4_PR_M ;
- mprj_io_dm\[74\] ( padframe mprj_io_dm[74] ) ( gpio_control_in\[24\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 208800 4795570 ) ( 209040 * )
NEW met2 ( 209040 4795570 ) ( * 4797050 0 )
NEW met3 ( 208800 4683830 0 ) ( * 4685310 )
NEW met4 ( 208800 4685310 ) ( * 4795570 )
NEW met3 ( 208800 4795570 ) M3M4_PR_M
NEW met2 ( 209040 4795570 ) via2_FR
NEW met3 ( 208800 4685310 ) M3M4_PR_M
NEW met3 ( 208800 4795570 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_dm\[75\] ( padframe mprj_io_dm[75] ) ( gpio_control_in\[25\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 210480 3969730 0 ) ( * 3971395 )
NEW met1 ( 210480 3971395 ) ( 212400 * )
NEW met2 ( 212400 3971395 ) ( * 3987490 )
NEW met3 ( 212400 3987490 ) ( 212640 * )
NEW met4 ( 212640 3987490 ) ( * 3998590 )
NEW met3 ( 211920 3998590 ) ( 212640 * )
NEW met2 ( 211920 3998590 ) ( * 4047430 )
NEW met3 ( 211680 4047430 ) ( 211920 * )
NEW met3 ( 211680 4047430 ) ( * 4048910 0 )
NEW met1 ( 210480 3971395 ) M1M2_PR
NEW met1 ( 212400 3971395 ) M1M2_PR
NEW met2 ( 212400 3987490 ) via2_FR
NEW met3 ( 212640 3987490 ) M3M4_PR_M
NEW met3 ( 212640 3998590 ) M3M4_PR_M
NEW met2 ( 211920 3998590 ) via2_FR
NEW met2 ( 211920 4047430 ) via2_FR
NEW met3 ( 212400 3987490 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_dm\[76\] ( padframe mprj_io_dm[76] ) ( gpio_control_in\[25\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 210960 3978825 0 ) ( * 3981385 )
NEW met1 ( 210960 3981385 ) ( 215280 * )
NEW met2 ( 215280 3981385 ) ( * 4051870 )
NEW met3 ( 212640 4051870 0 ) ( 215280 * )
NEW met1 ( 210960 3981385 ) M1M2_PR
NEW met1 ( 215280 3981385 ) M1M2_PR
NEW met2 ( 215280 4051870 ) via2_FR ;
- mprj_io_dm\[77\] ( padframe mprj_io_dm[77] ) ( gpio_control_in\[25\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 210960 3947900 0 ) ( * 3949565 )
NEW met1 ( 210960 3949565 ) ( 215760 * )
NEW met1 ( 215760 3968435 ) ( 217680 * )
NEW met2 ( 217680 3968435 ) ( * 4001365 )
NEW met1 ( 210960 4001365 ) ( 217680 * )
NEW met2 ( 210960 4001365 ) ( * 4052610 )
NEW met3 ( 210720 4052610 ) ( 210960 * )
NEW met3 ( 210720 4052610 ) ( * 4054830 0 )
NEW met2 ( 215760 3949565 ) ( * 3968435 )
NEW met1 ( 210960 3949565 ) M1M2_PR
NEW met1 ( 215760 3949565 ) M1M2_PR
NEW met1 ( 215760 3968435 ) M1M2_PR
NEW met1 ( 217680 3968435 ) M1M2_PR
NEW met1 ( 217680 4001365 ) M1M2_PR
NEW met1 ( 210960 4001365 ) M1M2_PR
NEW met2 ( 210960 4052610 ) via2_FR ;
- mprj_io_dm\[78\] ( padframe mprj_io_dm[78] ) ( gpio_control_in\[26\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 209520 3785470 ) ( 209760 * )
NEW met2 ( 209520 3785470 ) ( * 3831350 )
NEW met3 ( 209520 3831350 ) ( 209760 * )
NEW met3 ( 209760 3831350 ) ( * 3832830 0 )
NEW met3 ( 209520 3755130 ) ( 209760 * )
NEW met2 ( 209520 3753650 0 ) ( * 3755130 )
NEW met4 ( 209760 3755130 ) ( * 3785470 )
NEW met3 ( 209760 3785470 ) M3M4_PR_M
NEW met2 ( 209520 3785470 ) via2_FR
NEW met2 ( 209520 3831350 ) via2_FR
NEW met3 ( 209760 3755130 ) M3M4_PR_M
NEW met2 ( 209520 3755130 ) via2_FR
NEW met3 ( 209760 3785470 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 3755130 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_dm\[79\] ( padframe mprj_io_dm[79] ) ( gpio_control_in\[26\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 210480 3762900 0 ) ( * 3765305 )
NEW met1 ( 210480 3765305 ) ( 215760 * )
NEW met2 ( 215760 3765305 ) ( * 3835790 )
NEW met3 ( 212640 3835790 0 ) ( 215760 * )
NEW met1 ( 210480 3765305 ) M1M2_PR
NEW met1 ( 215760 3765305 ) M1M2_PR
NEW met2 ( 215760 3835790 ) via2_FR ;
- mprj_io_dm\[7\] ( padframe mprj_io_dm[7] ) ( gpio_control_in\[2\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 3382560 1037110 ) ( 3384720 * )
NEW met2 ( 3378960 974210 0 ) ( * 976430 )
NEW met3 ( 3378960 976430 ) ( 3382560 * )
NEW met4 ( 3382560 976430 ) ( * 1037110 )
NEW met3 ( 3384480 1080030 ) ( 3384720 * )
NEW met3 ( 3384480 1080030 ) ( * 1080770 0 )
NEW met2 ( 3384720 1037110 ) ( * 1080030 )
NEW met3 ( 3382560 1037110 ) M3M4_PR_M
NEW met2 ( 3384720 1037110 ) via2_FR
NEW met2 ( 3378960 976430 ) via2_FR
NEW met3 ( 3382560 976430 ) M3M4_PR_M
NEW met2 ( 3384720 1080030 ) via2_FR ;
- mprj_io_dm\[80\] ( padframe mprj_io_dm[80] ) ( gpio_control_in\[26\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 212640 3838750 0 ) ( 214800 * )
NEW met2 ( 210960 3732005 0 ) ( * 3734595 )
NEW met1 ( 210960 3734595 ) ( 218160 * )
NEW met2 ( 218160 3734595 ) ( * 3787875 )
NEW met1 ( 214800 3787875 ) ( 218160 * )
NEW met2 ( 214800 3787875 ) ( * 3838750 )
NEW met2 ( 214800 3838750 ) via2_FR
NEW met1 ( 210960 3734595 ) M1M2_PR
NEW met1 ( 218160 3734595 ) M1M2_PR
NEW met1 ( 218160 3787875 ) M1M2_PR
NEW met1 ( 214800 3787875 ) M1M2_PR ;
- mprj_io_dm\[81\] ( padframe mprj_io_dm[81] ) ( gpio_control_in\[27\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 207600 3570870 ) ( 208800 * )
NEW met2 ( 207600 3570870 ) ( * 3615270 )
NEW met3 ( 207600 3615270 ) ( 207840 * )
NEW met3 ( 207840 3615270 ) ( * 3617120 0 )
NEW met3 ( 208800 3556070 ) ( 212400 * )
NEW met2 ( 212400 3540345 ) ( * 3556070 )
NEW met1 ( 210960 3540345 ) ( 212400 * )
NEW met2 ( 210960 3537570 0 ) ( * 3540345 )
NEW met4 ( 208800 3556070 ) ( * 3570870 )
NEW met3 ( 208800 3570870 ) M3M4_PR_M
NEW met2 ( 207600 3570870 ) via2_FR
NEW met2 ( 207600 3615270 ) via2_FR
NEW met3 ( 208800 3556070 ) M3M4_PR_M
NEW met2 ( 212400 3556070 ) via2_FR
NEW met1 ( 212400 3540345 ) M1M2_PR
NEW met1 ( 210960 3540345 ) M1M2_PR ;
- mprj_io_dm\[82\] ( padframe mprj_io_dm[82] ) ( gpio_control_in\[27\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 210720 3569390 ) ( 210960 * )
NEW met2 ( 210960 3569390 ) ( * 3618230 )
NEW met3 ( 210720 3618230 ) ( 210960 * )
NEW met3 ( 210720 3618230 ) ( * 3619710 0 )
NEW met3 ( 210720 3549410 ) ( 210960 * )
NEW met2 ( 210960 3546820 0 ) ( * 3549410 )
NEW met4 ( 210720 3549410 ) ( * 3569390 )
NEW met3 ( 210720 3569390 ) M3M4_PR_M
NEW met2 ( 210960 3569390 ) via2_FR
NEW met2 ( 210960 3618230 ) via2_FR
NEW met3 ( 210720 3549410 ) M3M4_PR_M
NEW met2 ( 210960 3549410 ) via2_FR
NEW met3 ( 210720 3569390 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 3549410 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_dm\[83\] ( padframe mprj_io_dm[83] ) ( gpio_control_in\[27\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 203760 3570870 ) ( 204000 * )
NEW met2 ( 203760 3570870 ) ( * 3621190 )
NEW met3 ( 203760 3621190 ) ( 204000 * )
NEW met3 ( 204000 3621190 ) ( * 3622670 0 )
NEW met3 ( 204000 3536090 ) ( 212400 * )
NEW met2 ( 212400 3517775 ) ( * 3536090 )
NEW met1 ( 210480 3517775 ) ( 212400 * )
NEW met2 ( 210480 3516110 0 ) ( * 3517775 )
NEW met4 ( 204000 3536090 ) ( * 3570870 )
NEW met3 ( 204000 3570870 ) M3M4_PR_M
NEW met2 ( 203760 3570870 ) via2_FR
NEW met2 ( 203760 3621190 ) via2_FR
NEW met3 ( 204000 3536090 ) M3M4_PR_M
NEW met2 ( 212400 3536090 ) via2_FR
NEW met1 ( 212400 3517775 ) M1M2_PR
NEW met1 ( 210480 3517775 ) M1M2_PR
NEW met3 ( 204000 3570870 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_dm\[84\] ( padframe mprj_io_dm[84] ) ( gpio_control_in\[28\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 214800 3378470 ) ( 215760 * )
NEW met2 ( 215760 3378470 ) ( * 3399190 )
NEW met3 ( 212640 3399190 ) ( 215760 * )
NEW met3 ( 212640 3399190 ) ( * 3401040 0 )
NEW met2 ( 210960 3321490 0 ) ( * 3323710 )
NEW met3 ( 210960 3323710 ) ( 211680 * )
NEW met4 ( 211680 3323710 ) ( * 3354790 )
NEW met3 ( 211680 3354790 ) ( 214800 * )
NEW met2 ( 214800 3354790 ) ( * 3378470 )
NEW met2 ( 215760 3399190 ) via2_FR
NEW met2 ( 210960 3323710 ) via2_FR
NEW met3 ( 211680 3323710 ) M3M4_PR_M
NEW met3 ( 211680 3354790 ) M3M4_PR_M
NEW met2 ( 214800 3354790 ) via2_FR ;
- mprj_io_dm\[85\] ( padframe mprj_io_dm[85] ) ( gpio_control_in\[28\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met1 ( 210960 3370145 ) ( 215280 * )
NEW met2 ( 210960 3370145 ) ( * 3402150 )
NEW met3 ( 210720 3402150 ) ( 210960 * )
NEW met3 ( 210720 3402150 ) ( * 3403630 0 )
NEW met2 ( 210960 3330740 0 ) ( * 3333515 )
NEW met1 ( 210960 3333515 ) ( 214800 * )
NEW met2 ( 214800 3333515 ) ( * 3342025 )
NEW met1 ( 214800 3342025 ) ( 216240 * )
NEW met2 ( 216240 3342025 ) ( * 3354050 )
NEW met2 ( 215280 3354050 ) ( 216240 * )
NEW met2 ( 215280 3354050 ) ( * 3370145 )
NEW met1 ( 215280 3370145 ) M1M2_PR
NEW met1 ( 210960 3370145 ) M1M2_PR
NEW met2 ( 210960 3402150 ) via2_FR
NEW met1 ( 210960 3333515 ) M1M2_PR
NEW met1 ( 214800 3333515 ) M1M2_PR
NEW met1 ( 214800 3342025 ) M1M2_PR
NEW met1 ( 216240 3342025 ) M1M2_PR ;
- mprj_io_dm\[86\] ( padframe mprj_io_dm[86] ) ( gpio_control_in\[28\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 214800 3326115 ) ( 217200 * )
NEW met2 ( 214800 3302065 ) ( * 3326115 )
NEW met1 ( 210480 3302065 ) ( 214800 * )
NEW met2 ( 210480 3300030 0 ) ( * 3302065 )
NEW met1 ( 217200 3354235 ) ( * 3354605 )
NEW met1 ( 216240 3354605 ) ( 217200 * )
NEW met2 ( 216240 3354605 ) ( * 3406590 )
NEW met3 ( 212640 3406590 0 ) ( 216240 * )
NEW met2 ( 217200 3326115 ) ( * 3354235 )
NEW met1 ( 217200 3326115 ) M1M2_PR
NEW met1 ( 214800 3326115 ) M1M2_PR
NEW met1 ( 214800 3302065 ) M1M2_PR
NEW met1 ( 210480 3302065 ) M1M2_PR
NEW met1 ( 217200 3354235 ) M1M2_PR
NEW met1 ( 216240 3354605 ) M1M2_PR
NEW met2 ( 216240 3406590 ) via2_FR ;
- mprj_io_dm\[87\] ( padframe mprj_io_dm[87] ) ( gpio_control_in\[29\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 210720 3183850 ) ( 210960 * )
NEW met3 ( 210720 3183850 ) ( * 3185330 0 )
NEW met2 ( 210960 3105625 0 ) ( * 3108185 )
NEW met1 ( 210960 3108185 ) ( 213360 * )
NEW met2 ( 213360 3108185 ) ( * 3126130 )
NEW met2 ( 213360 3126130 ) ( 213840 * )
NEW met2 ( 213840 3126130 ) ( * 3140930 )
NEW met2 ( 213360 3140930 ) ( 213840 * )
NEW met3 ( 210960 3140930 ) ( 213360 * )
NEW met2 ( 210960 3140930 ) ( * 3183850 )
NEW met2 ( 210960 3183850 ) via2_FR
NEW met1 ( 210960 3108185 ) M1M2_PR
NEW met1 ( 213360 3108185 ) M1M2_PR
NEW met2 ( 213360 3140930 ) via2_FR
NEW met2 ( 210960 3140930 ) via2_FR ;
- mprj_io_dm\[88\] ( padframe mprj_io_dm[88] ) ( gpio_control_in\[29\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 210960 3114825 0 ) ( * 3117435 )
NEW met1 ( 210960 3117435 ) ( 216240 * )
NEW met3 ( 212640 3186070 ) ( 216240 * )
NEW met3 ( 212640 3186070 ) ( * 3187550 0 )
NEW met2 ( 216240 3117435 ) ( * 3186070 )
NEW met1 ( 210960 3117435 ) M1M2_PR
NEW met1 ( 216240 3117435 ) M1M2_PR
NEW met2 ( 216240 3186070 ) via2_FR ;
- mprj_io_dm\[89\] ( padframe mprj_io_dm[89] ) ( gpio_control_in\[29\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 209760 3189030 ) ( 210000 * )
NEW met3 ( 209760 3189030 ) ( * 3190510 0 )
NEW met3 ( 210000 3137230 ) ( 211680 * )
NEW met4 ( 211680 3110590 ) ( * 3137230 )
NEW met3 ( 211680 3110590 ) ( 212400 * )
NEW met2 ( 212400 3086725 ) ( * 3110590 )
NEW met1 ( 210960 3086725 ) ( 212400 * )
NEW met2 ( 210960 3083950 0 ) ( * 3086725 )
NEW met2 ( 210000 3137230 ) ( * 3189030 )
NEW met2 ( 210000 3189030 ) via2_FR
NEW met2 ( 210000 3137230 ) via2_FR
NEW met3 ( 211680 3137230 ) M3M4_PR_M
NEW met3 ( 211680 3110590 ) M3M4_PR_M
NEW met2 ( 212400 3110590 ) via2_FR
NEW met1 ( 212400 3086725 ) M1M2_PR
NEW met1 ( 210960 3086725 ) M1M2_PR ;
- mprj_io_dm\[8\] ( padframe mprj_io_dm[8] ) ( gpio_control_in\[2\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met1 ( 3370800 1079845 ) ( 3372720 * )
NEW met2 ( 3370800 1006585 ) ( * 1079845 )
NEW met1 ( 3370800 1006585 ) ( 3377040 * )
NEW met2 ( 3377040 1004920 0 ) ( * 1006585 )
NEW met3 ( 3372720 1082250 ) ( 3373920 * )
NEW met3 ( 3373920 1082250 ) ( * 1083730 0 )
NEW met2 ( 3372720 1079845 ) ( * 1082250 )
NEW met1 ( 3372720 1079845 ) M1M2_PR
NEW met1 ( 3370800 1079845 ) M1M2_PR
NEW met1 ( 3370800 1006585 ) M1M2_PR
NEW met1 ( 3377040 1006585 ) M1M2_PR
NEW met2 ( 3372720 1082250 ) via2_FR ;
- mprj_io_dm\[90\] ( padframe mprj_io_dm[90] ) ( gpio_control_in\[30\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 210480 2967770 ) ( 210720 * )
NEW met3 ( 210720 2967770 ) ( * 2969250 0 )
NEW met1 ( 210480 2920965 ) ( 215280 * )
NEW met2 ( 215280 2892105 ) ( * 2920965 )
NEW met1 ( 210480 2892105 ) ( 215280 * )
NEW met2 ( 210480 2889700 0 ) ( * 2892105 )
NEW met2 ( 210480 2920965 ) ( * 2967770 )
NEW met2 ( 210480 2967770 ) via2_FR
NEW met1 ( 210480 2920965 ) M1M2_PR
NEW met1 ( 215280 2920965 ) M1M2_PR
NEW met1 ( 215280 2892105 ) M1M2_PR
NEW met1 ( 210480 2892105 ) M1M2_PR ;
- mprj_io_dm\[91\] ( padframe mprj_io_dm[91] ) ( gpio_control_in\[30\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 212640 2971840 0 ) ( 214800 * )
NEW met2 ( 210480 2898950 0 ) ( * 2901355 )
NEW met1 ( 210480 2901355 ) ( 217200 * )
NEW met2 ( 217200 2901355 ) ( * 2922075 )
NEW met1 ( 214800 2922075 ) ( 217200 * )
NEW met2 ( 214800 2922075 ) ( * 2971840 )
NEW met2 ( 214800 2971840 ) via2_FR
NEW met1 ( 210480 2901355 ) M1M2_PR
NEW met1 ( 217200 2901355 ) M1M2_PR
NEW met1 ( 217200 2922075 ) M1M2_PR
NEW met1 ( 214800 2922075 ) M1M2_PR ;
- mprj_io_dm\[92\] ( padframe mprj_io_dm[92] ) ( gpio_control_in\[30\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 208560 2972950 ) ( 208800 * )
NEW met3 ( 208800 2972950 ) ( * 2974430 0 )
NEW met1 ( 208560 2922445 ) ( 215760 * )
NEW met2 ( 215760 2869905 ) ( * 2922445 )
NEW met1 ( 210960 2869905 ) ( 215760 * )
NEW met2 ( 210960 2867870 0 ) ( * 2869905 )
NEW met2 ( 208560 2922445 ) ( * 2972950 )
NEW met2 ( 208560 2972950 ) via2_FR
NEW met1 ( 208560 2922445 ) M1M2_PR
NEW met1 ( 215760 2922445 ) M1M2_PR
NEW met1 ( 215760 2869905 ) M1M2_PR
NEW met1 ( 210960 2869905 ) M1M2_PR ;
- mprj_io_dm\[93\] ( padframe mprj_io_dm[93] ) ( gpio_control_in\[31\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 210720 2751690 ) ( 210960 * )
NEW met3 ( 210720 2751690 ) ( * 2753170 0 )
NEW met2 ( 210960 2673620 0 ) ( * 2675285 )
NEW met1 ( 210960 2675285 ) ( 215760 * )
NEW met2 ( 215760 2675285 ) ( * 2711915 )
NEW met1 ( 210960 2711915 ) ( 215760 * )
NEW met2 ( 210960 2711915 ) ( * 2751690 )
NEW met2 ( 210960 2751690 ) via2_FR
NEW met1 ( 210960 2675285 ) M1M2_PR
NEW met1 ( 215760 2675285 ) M1M2_PR
NEW met1 ( 215760 2711915 ) M1M2_PR
NEW met1 ( 210960 2711915 ) M1M2_PR ;
- mprj_io_dm\[94\] ( padframe mprj_io_dm[94] ) ( gpio_control_in\[31\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met3 ( 212640 2755760 0 ) ( 215280 * )
NEW met2 ( 210480 2682870 0 ) ( * 2685275 )
NEW met1 ( 210480 2685275 ) ( 212400 * )
NEW met2 ( 212400 2685275 ) ( * 2693785 )
NEW met1 ( 212400 2693785 ) ( 216240 * )
NEW met2 ( 216240 2693785 ) ( * 2712470 )
NEW met2 ( 215760 2712470 ) ( 216240 * )
NEW met2 ( 215760 2712470 ) ( * 2745770 )
NEW met2 ( 215280 2745770 ) ( 215760 * )
NEW met2 ( 215280 2745770 ) ( * 2755760 )
NEW met2 ( 215280 2755760 ) via2_FR
NEW met1 ( 210480 2685275 ) M1M2_PR
NEW met1 ( 212400 2685275 ) M1M2_PR
NEW met1 ( 212400 2693785 ) M1M2_PR
NEW met1 ( 216240 2693785 ) M1M2_PR ;
- mprj_io_dm\[95\] ( padframe mprj_io_dm[95] ) ( gpio_control_in\[31\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met3 ( 209520 2756870 ) ( 209760 * )
NEW met3 ( 209760 2756870 ) ( * 2758350 0 )
NEW met3 ( 209520 2705070 ) ( 209760 * )
NEW met4 ( 209760 2684350 ) ( * 2705070 )
NEW met3 ( 209760 2684350 ) ( 212400 * )
NEW met2 ( 212400 2654565 ) ( * 2684350 )
NEW met1 ( 210960 2654565 ) ( 212400 * )
NEW met2 ( 210960 2652005 0 ) ( * 2654565 )
NEW met2 ( 209520 2705070 ) ( * 2756870 )
NEW met2 ( 209520 2756870 ) via2_FR
NEW met2 ( 209520 2705070 ) via2_FR
NEW met3 ( 209760 2705070 ) M3M4_PR_M
NEW met3 ( 209760 2684350 ) M3M4_PR_M
NEW met2 ( 212400 2684350 ) via2_FR
NEW met1 ( 212400 2654565 ) M1M2_PR
NEW met1 ( 210960 2654565 ) M1M2_PR
NEW met3 ( 209520 2705070 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_dm\[96\] ( padframe mprj_io_dm[96] ) ( gpio_control_in\[32\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met1 ( 210480 2037405 ) ( 215760 * )
NEW met2 ( 210480 2035740 0 ) ( * 2037405 )
NEW met1 ( 215760 2044805 ) ( 217200 * )
NEW met2 ( 217200 2044805 ) ( * 2073665 )
NEW met1 ( 199920 2073665 ) ( 217200 * )
NEW met2 ( 199920 2073665 ) ( * 2113810 )
NEW met3 ( 199920 2113810 ) ( 200160 * )
NEW met3 ( 200160 2113810 ) ( * 2115290 0 )
NEW met2 ( 215760 2037405 ) ( * 2044805 )
NEW met1 ( 215760 2037405 ) M1M2_PR
NEW met1 ( 210480 2037405 ) M1M2_PR
NEW met1 ( 215760 2044805 ) M1M2_PR
NEW met1 ( 217200 2044805 ) M1M2_PR
NEW met1 ( 217200 2073665 ) M1M2_PR
NEW met1 ( 199920 2073665 ) M1M2_PR
NEW met2 ( 199920 2113810 ) via2_FR ;
- mprj_io_dm\[97\] ( padframe mprj_io_dm[97] ) ( gpio_control_in\[32\] pad_gpio_dm[1] ) + USE SIGNAL
+ ROUTED met2 ( 210960 2044825 0 ) ( * 2047395 )
NEW met1 ( 210960 2047395 ) ( 213840 * )
NEW met2 ( 213840 2047395 ) ( * 2081435 )
NEW met1 ( 201360 2081435 ) ( 213840 * )
NEW met2 ( 201360 2081435 ) ( * 2116770 )
NEW met3 ( 201120 2116770 ) ( 201360 * )
NEW met3 ( 201120 2116770 ) ( * 2117880 0 )
NEW met1 ( 210960 2047395 ) M1M2_PR
NEW met1 ( 213840 2047395 ) M1M2_PR
NEW met1 ( 213840 2081435 ) M1M2_PR
NEW met1 ( 201360 2081435 ) M1M2_PR
NEW met2 ( 201360 2116770 ) via2_FR ;
- mprj_io_dm\[98\] ( padframe mprj_io_dm[98] ) ( gpio_control_in\[32\] pad_gpio_dm[2] ) + USE SIGNAL
+ ROUTED met2 ( 210960 2013910 0 ) ( * 2015390 )
NEW met3 ( 210960 2015390 ) ( 211680 * )
NEW met3 ( 211680 2119730 ) ( * 2120470 0 )
NEW met4 ( 211680 2015390 ) ( * 2119730 )
NEW met2 ( 210960 2015390 ) via2_FR
NEW met3 ( 211680 2015390 ) M3M4_PR_M
NEW met3 ( 211680 2119730 ) M3M4_PR_M ;
- mprj_io_dm\[99\] ( padframe mprj_io_dm[99] ) ( gpio_control_in\[33\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met2 ( 210480 1819660 0 ) ( * 1822065 )
NEW met1 ( 210480 1822065 ) ( 213360 * )
NEW met2 ( 212880 1861470 ) ( 213360 * )
NEW met2 ( 212880 1861470 ) ( * 1897730 )
NEW met3 ( 212640 1897730 ) ( 212880 * )
NEW met3 ( 212640 1897730 ) ( * 1899210 0 )
NEW met2 ( 213360 1822065 ) ( * 1861470 )
NEW met1 ( 210480 1822065 ) M1M2_PR
NEW met1 ( 213360 1822065 ) M1M2_PR
NEW met2 ( 212880 1897730 ) via2_FR ;
- mprj_io_dm\[9\] ( padframe mprj_io_dm[9] ) ( gpio_control_in\[3\] pad_gpio_dm[0] ) + USE SIGNAL
+ ROUTED met3 ( 3370080 1210270 ) ( 3377040 * )
NEW met2 ( 3377040 1209375 0 ) ( * 1210270 )
NEW met3 ( 3370080 1304250 ) ( 3373920 * 0 )
NEW met4 ( 3370080 1210270 ) ( * 1304250 )
NEW met3 ( 3370080 1210270 ) M3M4_PR_M
NEW met2 ( 3377040 1210270 ) via2_FR
NEW met3 ( 3370080 1304250 ) M3M4_PR_M ;
- mprj_io_enh\[0\] ( por porb_h ) ( padframe porb_h ) ( padframe mprj_io_enh[9] ) ( padframe mprj_io_enh[8] ) ( padframe mprj_io_enh[7] ) ( padframe mprj_io_enh[6] ) ( padframe mprj_io_enh[5] )
( padframe mprj_io_enh[4] ) ( padframe mprj_io_enh[3] ) ( padframe mprj_io_enh[37] ) ( padframe mprj_io_enh[36] ) ( padframe mprj_io_enh[35] ) ( padframe mprj_io_enh[34] ) ( padframe mprj_io_enh[33] ) ( padframe mprj_io_enh[32] )
( padframe mprj_io_enh[31] ) ( padframe mprj_io_enh[30] ) ( padframe mprj_io_enh[2] ) ( padframe mprj_io_enh[29] ) ( padframe mprj_io_enh[28] ) ( padframe mprj_io_enh[27] ) ( padframe mprj_io_enh[26] ) ( padframe mprj_io_enh[25] )
( padframe mprj_io_enh[24] ) ( padframe mprj_io_enh[23] ) ( padframe mprj_io_enh[22] ) ( padframe mprj_io_enh[21] ) ( padframe mprj_io_enh[20] ) ( padframe mprj_io_enh[1] ) ( padframe mprj_io_enh[19] ) ( padframe mprj_io_enh[18] )
( padframe mprj_io_enh[17] ) ( padframe mprj_io_enh[16] ) ( padframe mprj_io_enh[15] ) ( padframe mprj_io_enh[14] ) ( padframe mprj_io_enh[13] ) ( padframe mprj_io_enh[12] ) ( padframe mprj_io_enh[11] ) ( padframe mprj_io_enh[10] )
( padframe mprj_io_enh[0] ) + USE SIGNAL
+ ROUTED met2 ( 210000 1591205 0 ) ( * 1593590 )
NEW met3 ( 209760 1593590 ) ( 210000 * )
NEW met4 ( 208800 1593405 ) ( 209760 * )
NEW met4 ( 209760 1593405 ) ( * 1593590 )
NEW met2 ( 209520 2023160 0 ) ( * 2025750 )
NEW met3 ( 209520 2025750 ) ( 209760 * )
NEW met2 ( 210480 3956410 ) ( * 3957150 0 )
NEW met3 ( 210480 3956410 ) ( 210720 * )
NEW met2 ( 416160 4977610 0 ) ( 417360 * )
NEW met2 ( 417360 4967435 ) ( * 4977610 )
NEW met1 ( 417360 4967435 ) ( 462960 * )
NEW met2 ( 671760 4979090 ) ( 673200 * 0 )
NEW met3 ( 633360 4979090 ) ( 671760 * )
NEW met2 ( 633360 4979090 ) ( * 4997035 )
NEW met2 ( 675600 4970210 ) ( 676080 * )
NEW met2 ( 675600 4970210 ) ( * 4979090 )
NEW met2 ( 673200 4979090 0 ) ( 675600 * )
NEW met2 ( 1187280 4977610 0 ) ( 1187760 * )
NEW met2 ( 1187760 4970950 ) ( * 4977610 )
NEW met2 ( 2399280 4973170 ) ( * 4977610 0 )
NEW met2 ( 2390640 4973170 ) ( * 4976130 )
NEW met3 ( 2390640 4973170 ) ( 2399280 * )
NEW met2 ( 2620560 4973170 ) ( * 4973355 )
NEW met2 ( 3377520 995670 0 ) ( * 997890 )
NEW met3 ( 3377520 997890 ) ( 3377760 * )
NEW met2 ( 3376080 1221740 ) ( 3377040 * 0 )
NEW met2 ( 3376080 1194730 ) ( * 1221740 )
NEW met3 ( 3376080 1194730 ) ( 3377760 * )
NEW met3 ( 3372960 1229510 ) ( 3376080 * )
NEW met2 ( 3376080 1221740 ) ( * 1229510 )
NEW met2 ( 3377040 1446700 0 ) ( * 1447070 )
NEW met2 ( 3376560 1447070 ) ( 3377040 * )
NEW met2 ( 3376560 1446700 ) ( * 1447070 )
NEW met2 ( 3376080 1446700 ) ( 3376560 * )
NEW met2 ( 3376080 1424870 ) ( * 1446700 )
NEW met3 ( 3372960 1424870 ) ( 3376080 * )
NEW met3 ( 3377520 1447810 ) ( 3377760 * )
NEW met2 ( 3377520 1446700 0 ) ( * 1447810 )
NEW met2 ( 3377040 1446700 0 ) ( 3377520 * 0 )
NEW met2 ( 3377520 1669070 ) ( * 1671660 0 )
NEW met3 ( 3377520 1669070 ) ( 3377760 * )
NEW met1 ( 3375120 4003215 ) ( 3376080 * )
NEW met1 ( 3375120 4305505 ) ( 3376080 * )
NEW met3 ( 3371760 4837750 ) ( 3375120 * )
NEW met2 ( 310320 4816290 ) ( * 4967435 )
NEW met1 ( 310320 4967435 ) ( 417360 * )
NEW met2 ( 929040 4977610 ) ( 930240 * 0 )
NEW met2 ( 929040 4971690 ) ( * 4977610 )
NEW met3 ( 929760 4970950 ) ( * 4971690 )
NEW met3 ( 929040 4971690 ) ( 929760 * )
NEW met3 ( 2399280 4973170 ) ( 2620560 * )
NEW met2 ( 3165120 4977610 0 ) ( 3165360 * )
NEW met2 ( 3165360 4918225 ) ( * 4977610 )
NEW met2 ( 3375600 544795 ) ( 3377040 * 0 )
NEW met2 ( 3375600 532615 ) ( * 544795 )
NEW met3 ( 3377520 546490 ) ( 3377760 * )
NEW met2 ( 3377520 544795 0 ) ( * 546490 )
NEW met2 ( 3377040 544795 0 ) ( 3377520 * 0 )
NEW met4 ( 3377760 997890 ) ( * 1194730 )
NEW met3 ( 3367920 1381950 ) ( 3372960 * )
NEW met2 ( 3367920 1381950 ) ( 3368350 * 0 )
NEW met4 ( 3372960 1229510 ) ( * 1381950 )
NEW met4 ( 3372960 1381950 ) ( * 1424870 )
NEW met4 ( 3377760 1447810 ) ( * 1669070 )
NEW met2 ( 3377520 3910900 0 ) ( * 3912565 )
NEW met1 ( 3375120 3912565 ) ( 3377520 * )
NEW met3 ( 3378960 3909790 ) ( 3383520 * )
NEW met2 ( 3378960 3909790 ) ( * 3910900 0 )
NEW met2 ( 3377520 3910900 0 ) ( 3378960 * 0 )
NEW met2 ( 3375120 3912565 ) ( * 4003215 )
NEW met2 ( 3377040 4356750 0 ) ( * 4357305 )
NEW met1 ( 3375600 4357305 ) ( 3377040 * )
NEW met2 ( 3375600 4357305 ) ( * 4378210 )
NEW met3 ( 3375600 4378210 ) ( 3379680 * )
NEW met2 ( 3374160 4322710 ) ( 3375120 * )
NEW met2 ( 3374160 4322710 ) ( * 4357490 )
NEW met2 ( 3374160 4357490 ) ( 3375600 * )
NEW met2 ( 3375120 4305505 ) ( * 4322710 )
NEW met2 ( 3378960 4800750 ) ( * 4802795 0 )
NEW met3 ( 3378960 4800750 ) ( 3379680 * )
NEW met2 ( 3375120 4802795 ) ( 3378960 * 0 )
NEW met2 ( 3375120 4802795 ) ( * 4837750 )
NEW met4 ( 3379680 4378210 ) ( * 4800750 )
NEW met1 ( 3165360 4918225 ) ( 3371760 * )
NEW met2 ( 3371760 4837750 ) ( * 4918225 )
NEW met2 ( 209040 1158470 ) ( * 1159210 0 )
NEW met3 ( 207840 1158470 ) ( 209040 * )
NEW met4 ( 207840 1150515 ) ( * 1158470 )
NEW met4 ( 207840 1150515 ) ( 208800 * )
NEW met3 ( 207840 1225070 ) ( 214560 * )
NEW met4 ( 207840 1158470 ) ( * 1225070 )
NEW met2 ( 210000 1375290 0 ) ( * 1377510 )
NEW met3 ( 209760 1377510 ) ( 210000 * )
NEW met4 ( 209760 1377510 ) ( * 1426905 )
NEW met4 ( 208800 1426905 ) ( 209760 * )
NEW met3 ( 209760 1353090 ) ( 214560 * )
NEW met4 ( 209760 1353090 ) ( * 1377510 )
NEW met4 ( 214560 1225070 ) ( * 1353090 )
NEW met2 ( 210480 1804490 ) ( * 1807080 0 )
NEW met3 ( 210480 1804490 ) ( 212640 * )
NEW met3 ( 209760 1804490 ) ( 210480 * )
NEW met3 ( 210720 3988230 ) ( 212400 * )
NEW met4 ( 210720 3956410 ) ( * 3988230 )
NEW met2 ( 210960 4804635 ) ( * 4806300 0 )
NEW met1 ( 210960 4804635 ) ( 214320 * )
NEW met2 ( 214320 4804635 ) ( * 4816290 )
NEW met3 ( 214320 4816290 ) ( 310320 * )
NEW met2 ( 734640 4970210 ) ( * 4971690 )
NEW met3 ( 676080 4970210 ) ( 734640 * )
NEW met3 ( 734640 4971690 ) ( 929040 * )
NEW met2 ( 1954320 4977610 0 ) ( 1955760 * )
NEW met2 ( 1955760 4976870 ) ( * 4977610 )
NEW met2 ( 1954320 4971690 ) ( * 4977610 0 )
NEW met3 ( 2196000 4976870 ) ( * 4979090 )
NEW met2 ( 3377520 770710 0 ) ( * 772190 )
NEW met3 ( 3377520 772190 ) ( 3377760 * )
NEW met4 ( 3377760 546490 ) ( * 772190 )
NEW met1 ( 3362160 2821435 ) ( 3375600 * )
NEW met2 ( 3375600 2785545 ) ( * 2821435 )
NEW met1 ( 3375600 2785545 ) ( 3377520 * )
NEW met2 ( 3377520 2783880 0 ) ( * 2785545 )
NEW met2 ( 3377040 3009795 0 ) ( * 3010875 )
NEW met1 ( 3362160 3010875 ) ( 3377040 * )
NEW met2 ( 3377040 3232135 ) ( * 3234910 0 )
NEW met1 ( 3371280 3232135 ) ( 3377040 * )
NEW met2 ( 3376080 4003215 ) ( * 4305505 )
NEW met4 ( 208800 1426905 ) ( * 1593405 )
NEW met4 ( 209760 1804490 ) ( * 2025750 )
NEW met2 ( 210000 2877120 0 ) ( * 2879710 )
NEW met3 ( 209760 2879710 ) ( 210000 * )
NEW met2 ( 210960 3522770 ) ( * 3525205 0 )
NEW met3 ( 210960 3522770 ) ( 212640 * )
NEW met3 ( 212400 4752650 ) ( 214320 * )
NEW met2 ( 212400 3988230 ) ( * 4752650 )
NEW met2 ( 214320 4752650 ) ( * 4804635 )
NEW met4 ( 3377760 772190 ) ( * 997890 )
NEW met3 ( 3376080 1870350 ) ( 3377760 * )
NEW met2 ( 3376080 1870350 ) ( * 1897730 )
NEW met2 ( 3376080 1897730 ) ( 3377040 * 0 )
NEW met4 ( 3377760 1669070 ) ( * 1870350 )
NEW met2 ( 3362160 2821435 ) ( * 3010875 )
NEW met1 ( 3371280 3067115 ) ( 3376080 * )
NEW met2 ( 3371280 3067115 ) ( * 3232135 )
NEW met2 ( 3376080 3010875 ) ( * 3067115 )
NEW met3 ( 3375600 3268210 ) ( 3382560 * )
NEW met2 ( 3375600 3232135 ) ( * 3268210 )
NEW met2 ( 3377040 3460795 0 ) ( * 3463385 )
NEW met1 ( 3376080 3463385 ) ( 3377040 * )
NEW met3 ( 3378960 3459870 ) ( 3382560 * )
NEW met2 ( 3378960 3459870 ) ( * 3460795 0 )
NEW met2 ( 3377040 3460795 0 ) ( 3378960 * 0 )
NEW met4 ( 3382560 3268210 ) ( * 3459870 )
NEW met2 ( 3377040 3685795 0 ) ( * 3688345 )
NEW met1 ( 3376080 3688345 ) ( 3377040 * )
NEW met2 ( 3376080 3688345 ) ( * 3701850 )
NEW met3 ( 3376080 3701850 ) ( 3383520 * )
NEW met2 ( 3375120 3687790 ) ( 3376080 * )
NEW met2 ( 3376080 3687790 ) ( * 3688345 )
NEW met4 ( 3383520 3701850 ) ( * 3909790 )
NEW met1 ( 462960 4997405 ) ( 532080 * )
NEW met1 ( 532080 4997035 ) ( * 4997405 )
NEW met2 ( 462960 4967435 ) ( * 4997405 )
NEW met1 ( 532080 4997035 ) ( 633360 * )
NEW met2 ( 1440240 4970950 ) ( * 4973170 )
NEW met3 ( 1440240 4973170 ) ( 1540560 * )
NEW met2 ( 1540560 4971690 ) ( * 4973170 )
NEW met2 ( 1445040 4978350 ) ( 1445280 * 0 )
NEW met2 ( 1445040 4973170 ) ( * 4978350 )
NEW met3 ( 929760 4970950 ) ( 1440240 * )
NEW met3 ( 1540560 4971690 ) ( 1954320 * )
NEW met2 ( 2049360 1230065 ) ( * 1233950 )
NEW met3 ( 1955760 4976870 ) ( 2196000 * )
NEW met3 ( 2295840 4976130 ) ( * 4979090 )
NEW met3 ( 2196000 4979090 ) ( 2295840 * )
NEW met3 ( 2295840 4976130 ) ( 2390640 * )
NEW met3 ( 2049360 1233950 ) ( 3372960 * )
NEW met2 ( 2656080 4968175 ) ( * 4977610 0 )
NEW met1 ( 2620560 4973355 ) ( 2656080 * )
NEW met1 ( 2656080 4968175 ) ( 3165360 * )
NEW met2 ( 3341040 532430 ) ( * 532615 )
NEW met3 ( 3326880 532430 ) ( 3341040 * )
NEW met3 ( 3326880 530410 0 ) ( * 532430 )
NEW met1 ( 3341040 532615 ) ( 3375600 * )
NEW met3 ( 209760 1612830 ) ( 214560 * )
NEW met4 ( 214560 1612830 ) ( * 1694970 )
NEW met3 ( 212640 1694970 ) ( 214560 * )
NEW met4 ( 209760 1593590 ) ( * 1612830 )
NEW met4 ( 212640 1694970 ) ( * 1804490 )
NEW met2 ( 210960 2661205 0 ) ( * 2663630 )
NEW met3 ( 210960 2663630 ) ( 212640 * )
NEW met3 ( 209760 2659190 ) ( 210960 * )
NEW met2 ( 210960 2659190 ) ( * 2661205 0 )
NEW met4 ( 209760 2025750 ) ( * 2659190 )
NEW met2 ( 210960 3091350 ) ( * 3093200 0 )
NEW met3 ( 210960 3091350 ) ( 211680 * )
NEW met4 ( 211680 3025490 ) ( * 3091350 )
NEW met3 ( 211680 3024750 ) ( * 3025490 )
NEW met3 ( 208800 3091350 ) ( 210960 * )
NEW met2 ( 1236240 1230065 ) ( * 1231545 )
NEW met1 ( 1236240 1230065 ) ( 1262640 * )
NEW met2 ( 1262640 1230065 ) ( * 1233950 )
NEW met3 ( 1262640 1233950 ) ( 1262880 * )
NEW met3 ( 1262880 1233950 ) ( * 1234690 )
NEW met1 ( 1863600 1230435 ) ( * 1231175 )
NEW met1 ( 1863600 1230435 ) ( 1866000 * )
NEW met1 ( 1866000 1230435 ) ( * 1230805 )
NEW met3 ( 208800 945350 ) ( 209040 * )
NEW met2 ( 209040 943130 0 ) ( * 945350 )
NEW met4 ( 208800 945350 ) ( * 1150515 )
NEW met3 ( 209760 3579010 ) ( 216480 * )
NEW met4 ( 216480 3534610 ) ( * 3579010 )
NEW met3 ( 213600 3534610 ) ( 216480 * )
NEW met4 ( 213600 3528135 ) ( * 3534610 )
NEW met4 ( 212640 3528135 ) ( 213600 * )
NEW met4 ( 212640 3522770 ) ( * 3528135 )
NEW met1 ( 3375120 3628775 ) ( 3377040 * )
NEW met2 ( 3377040 3528135 ) ( * 3628775 )
NEW met1 ( 3376080 3528135 ) ( 3377040 * )
NEW met2 ( 3375120 3628775 ) ( * 3687790 )
NEW met2 ( 3376080 3463385 ) ( * 3528135 )
NEW met1 ( 1611120 1230805 ) ( * 1231175 )
NEW met1 ( 1611120 1230805 ) ( 1648560 * )
NEW met2 ( 1648560 1230805 ) ( * 1231545 )
NEW met1 ( 1648560 1231545 ) ( 1675440 * )
NEW met1 ( 1675440 1231175 ) ( * 1231545 )
NEW met1 ( 1675440 1231175 ) ( 1863600 * )
NEW met3 ( 209760 2807930 ) ( 212640 * )
NEW met4 ( 209760 2807930 ) ( * 2879710 )
NEW met4 ( 212640 2663630 ) ( * 2807930 )
NEW met2 ( 210000 3741070 0 ) ( * 3743290 )
NEW met3 ( 209760 3743290 ) ( 210000 * )
NEW met4 ( 209760 3743290 ) ( * 3752910 )
NEW met4 ( 209760 3752910 ) ( 210720 * )
NEW met4 ( 209760 3579010 ) ( * 3743290 )
NEW met4 ( 210720 3752910 ) ( * 3956410 )
NEW met2 ( 1050000 1231545 ) ( * 1233950 )
NEW met3 ( 214560 1233950 ) ( 1050000 * )
NEW met1 ( 1050000 1231545 ) ( 1236240 * )
NEW met3 ( 209040 2894510 ) ( 209760 * )
NEW met3 ( 209040 2894510 ) ( * 2895250 )
NEW met3 ( 207840 2895250 ) ( 209040 * )
NEW met4 ( 207840 2895250 ) ( * 2968695 )
NEW met4 ( 207840 2968695 ) ( 211680 * )
NEW met4 ( 209760 2879710 ) ( * 2894510 )
NEW met4 ( 211680 2968695 ) ( * 3024750 )
NEW met2 ( 210480 3309280 0 ) ( * 3311130 )
NEW met3 ( 210480 3311130 ) ( 213600 * )
NEW met4 ( 213600 3311130 ) ( * 3354790 )
NEW met4 ( 212640 3354790 ) ( 213600 * )
NEW met3 ( 208800 3311130 ) ( 210480 * )
NEW met4 ( 208800 3091350 ) ( * 3311130 )
NEW met4 ( 212640 3354790 ) ( * 3522770 )
NEW met2 ( 1946640 1230065 ) ( * 1230805 )
NEW met1 ( 1866000 1230805 ) ( 1946640 * )
NEW met1 ( 1946640 1230065 ) ( 2049360 * )
NEW met2 ( 1506960 1222665 ) ( * 1231175 )
NEW met1 ( 1459920 1222665 ) ( 1506960 * )
NEW met2 ( 1459920 1222665 ) ( * 1233950 )
NEW met3 ( 1459920 1233950 ) ( * 1234690 )
NEW met3 ( 1262880 1234690 ) ( 1459920 * )
NEW met1 ( 1506960 1231175 ) ( 1611120 * )
NEW met2 ( 210000 1593590 ) via2_FR
NEW met3 ( 209760 1593590 ) M3M4_PR_M
NEW met2 ( 209520 2025750 ) via2_FR
NEW met3 ( 209760 2025750 ) M3M4_PR_M
NEW met2 ( 210480 3956410 ) via2_FR
NEW met3 ( 210720 3956410 ) M3M4_PR_M
NEW met1 ( 417360 4967435 ) M1M2_PR
NEW met1 ( 462960 4967435 ) M1M2_PR
NEW met2 ( 671760 4979090 ) via2_FR
NEW met2 ( 633360 4979090 ) via2_FR
NEW met1 ( 633360 4997035 ) M1M2_PR
NEW met2 ( 676080 4970210 ) via2_FR
NEW met2 ( 1187760 4970950 ) via2_FR
NEW met2 ( 2399280 4973170 ) via2_FR
NEW met2 ( 2390640 4976130 ) via2_FR
NEW met2 ( 2390640 4973170 ) via2_FR
NEW met1 ( 2620560 4973355 ) M1M2_PR
NEW met2 ( 2620560 4973170 ) via2_FR
NEW met2 ( 310320 4816290 ) via2_FR
NEW met2 ( 3377520 997890 ) via2_FR
NEW met3 ( 3377760 997890 ) M3M4_PR_M
NEW met2 ( 3376080 1194730 ) via2_FR
NEW met3 ( 3377760 1194730 ) M3M4_PR_M
NEW met3 ( 3372960 1229510 ) M3M4_PR_M
NEW met2 ( 3376080 1229510 ) via2_FR
NEW met3 ( 3372960 1233950 ) M3M4_PR_M
NEW met2 ( 3376080 1424870 ) via2_FR
NEW met3 ( 3372960 1424870 ) M3M4_PR_M
NEW met3 ( 3377760 1447810 ) M3M4_PR_M
NEW met2 ( 3377520 1447810 ) via2_FR
NEW met2 ( 3377520 1669070 ) via2_FR
NEW met3 ( 3377760 1669070 ) M3M4_PR_M
NEW met1 ( 3375120 4003215 ) M1M2_PR
NEW met1 ( 3376080 4003215 ) M1M2_PR
NEW met1 ( 3376080 4305505 ) M1M2_PR
NEW met1 ( 3375120 4305505 ) M1M2_PR
NEW met2 ( 3371760 4837750 ) via2_FR
NEW met2 ( 3375120 4837750 ) via2_FR
NEW met1 ( 310320 4967435 ) M1M2_PR
NEW met2 ( 929040 4971690 ) via2_FR
NEW met1 ( 3165360 4918225 ) M1M2_PR
NEW met1 ( 3165360 4968175 ) M1M2_PR
NEW met1 ( 3375600 532615 ) M1M2_PR
NEW met3 ( 3377760 546490 ) M3M4_PR_M
NEW met2 ( 3377520 546490 ) via2_FR
NEW met3 ( 3372960 1381950 ) M3M4_PR_M
NEW met2 ( 3367920 1381950 ) via2_FR
NEW met1 ( 3377520 3912565 ) M1M2_PR
NEW met1 ( 3375120 3912565 ) M1M2_PR
NEW met3 ( 3383520 3909790 ) M3M4_PR_M
NEW met2 ( 3378960 3909790 ) via2_FR
NEW met1 ( 3377040 4357305 ) M1M2_PR
NEW met1 ( 3375600 4357305 ) M1M2_PR
NEW met2 ( 3375600 4378210 ) via2_FR
NEW met3 ( 3379680 4378210 ) M3M4_PR_M
NEW met2 ( 3378960 4800750 ) via2_FR
NEW met3 ( 3379680 4800750 ) M3M4_PR_M
NEW met1 ( 3371760 4918225 ) M1M2_PR
NEW met2 ( 209040 1158470 ) via2_FR
NEW met3 ( 207840 1158470 ) M3M4_PR_M
NEW met3 ( 214560 1225070 ) M3M4_PR_M
NEW met3 ( 207840 1225070 ) M3M4_PR_M
NEW met3 ( 214560 1233950 ) M3M4_PR_M
NEW met2 ( 210000 1377510 ) via2_FR
NEW met3 ( 209760 1377510 ) M3M4_PR_M
NEW met3 ( 214560 1353090 ) M3M4_PR_M
NEW met3 ( 209760 1353090 ) M3M4_PR_M
NEW met2 ( 210480 1804490 ) via2_FR
NEW met3 ( 212640 1804490 ) M3M4_PR_M
NEW met3 ( 209760 1804490 ) M3M4_PR_M
NEW met3 ( 210720 3988230 ) M3M4_PR_M
NEW met2 ( 212400 3988230 ) via2_FR
NEW met1 ( 210960 4804635 ) M1M2_PR
NEW met1 ( 214320 4804635 ) M1M2_PR
NEW met2 ( 214320 4816290 ) via2_FR
NEW met2 ( 734640 4970210 ) via2_FR
NEW met2 ( 734640 4971690 ) via2_FR
NEW met2 ( 1955760 4976870 ) via2_FR
NEW met2 ( 1954320 4971690 ) via2_FR
NEW met2 ( 3377520 772190 ) via2_FR
NEW met3 ( 3377760 772190 ) M3M4_PR_M
NEW met1 ( 3362160 2821435 ) M1M2_PR
NEW met1 ( 3375600 2821435 ) M1M2_PR
NEW met1 ( 3375600 2785545 ) M1M2_PR
NEW met1 ( 3377520 2785545 ) M1M2_PR
NEW met1 ( 3377040 3010875 ) M1M2_PR
NEW met1 ( 3362160 3010875 ) M1M2_PR
NEW met1 ( 3376080 3010875 ) M1M2_PR
NEW met1 ( 3377040 3232135 ) M1M2_PR
NEW met1 ( 3371280 3232135 ) M1M2_PR
NEW met1 ( 3375600 3232135 ) M1M2_PR
NEW met2 ( 210000 2879710 ) via2_FR
NEW met3 ( 209760 2879710 ) M3M4_PR_M
NEW met2 ( 210960 3522770 ) via2_FR
NEW met3 ( 212640 3522770 ) M3M4_PR_M
NEW met2 ( 212400 4752650 ) via2_FR
NEW met2 ( 214320 4752650 ) via2_FR
NEW met3 ( 3377760 1870350 ) M3M4_PR_M
NEW met2 ( 3376080 1870350 ) via2_FR
NEW met1 ( 3371280 3067115 ) M1M2_PR
NEW met1 ( 3376080 3067115 ) M1M2_PR
NEW met2 ( 3375600 3268210 ) via2_FR
NEW met3 ( 3382560 3268210 ) M3M4_PR_M
NEW met1 ( 3377040 3463385 ) M1M2_PR
NEW met1 ( 3376080 3463385 ) M1M2_PR
NEW met3 ( 3382560 3459870 ) M3M4_PR_M
NEW met2 ( 3378960 3459870 ) via2_FR
NEW met1 ( 3377040 3688345 ) M1M2_PR
NEW met1 ( 3376080 3688345 ) M1M2_PR
NEW met2 ( 3376080 3701850 ) via2_FR
NEW met3 ( 3383520 3701850 ) M3M4_PR_M
NEW met1 ( 462960 4997405 ) M1M2_PR
NEW met2 ( 1440240 4970950 ) via2_FR
NEW met2 ( 1440240 4973170 ) via2_FR
NEW met2 ( 1540560 4973170 ) via2_FR
NEW met2 ( 1540560 4971690 ) via2_FR
NEW met2 ( 1445040 4973170 ) via2_FR
NEW met1 ( 2049360 1230065 ) M1M2_PR
NEW met2 ( 2049360 1233950 ) via2_FR
NEW met1 ( 2656080 4968175 ) M1M2_PR
NEW met1 ( 2656080 4973355 ) M1M2_PR
NEW met1 ( 3341040 532615 ) M1M2_PR
NEW met2 ( 3341040 532430 ) via2_FR
NEW met3 ( 209760 1612830 ) M3M4_PR_M
NEW met3 ( 214560 1612830 ) M3M4_PR_M
NEW met3 ( 214560 1694970 ) M3M4_PR_M
NEW met3 ( 212640 1694970 ) M3M4_PR_M
NEW met2 ( 210960 2663630 ) via2_FR
NEW met3 ( 212640 2663630 ) M3M4_PR_M
NEW met3 ( 209760 2659190 ) M3M4_PR_M
NEW met2 ( 210960 2659190 ) via2_FR
NEW met2 ( 210960 3091350 ) via2_FR
NEW met3 ( 211680 3091350 ) M3M4_PR_M
NEW met3 ( 211680 3025490 ) M3M4_PR_M
NEW met3 ( 211680 3024750 ) M3M4_PR_M
NEW met3 ( 208800 3091350 ) M3M4_PR_M
NEW met1 ( 1236240 1231545 ) M1M2_PR
NEW met1 ( 1236240 1230065 ) M1M2_PR
NEW met1 ( 1262640 1230065 ) M1M2_PR
NEW met2 ( 1262640 1233950 ) via2_FR
NEW met3 ( 208800 945350 ) M3M4_PR_M
NEW met2 ( 209040 945350 ) via2_FR
NEW met3 ( 209760 3579010 ) M3M4_PR_M
NEW met3 ( 216480 3579010 ) M3M4_PR_M
NEW met3 ( 216480 3534610 ) M3M4_PR_M
NEW met3 ( 213600 3534610 ) M3M4_PR_M
NEW met1 ( 3375120 3628775 ) M1M2_PR
NEW met1 ( 3377040 3628775 ) M1M2_PR
NEW met1 ( 3377040 3528135 ) M1M2_PR
NEW met1 ( 3376080 3528135 ) M1M2_PR
NEW met1 ( 1648560 1230805 ) M1M2_PR
NEW met1 ( 1648560 1231545 ) M1M2_PR
NEW met3 ( 209760 2807930 ) M3M4_PR_M
NEW met3 ( 212640 2807930 ) M3M4_PR_M
NEW met2 ( 210000 3743290 ) via2_FR
NEW met3 ( 209760 3743290 ) M3M4_PR_M
NEW met1 ( 1050000 1231545 ) M1M2_PR
NEW met2 ( 1050000 1233950 ) via2_FR
NEW met3 ( 209760 2894510 ) M3M4_PR_M
NEW met3 ( 207840 2895250 ) M3M4_PR_M
NEW met2 ( 210480 3311130 ) via2_FR
NEW met3 ( 213600 3311130 ) M3M4_PR_M
NEW met3 ( 208800 3311130 ) M3M4_PR_M
NEW met1 ( 1946640 1230805 ) M1M2_PR
NEW met1 ( 1946640 1230065 ) M1M2_PR
NEW met1 ( 1506960 1231175 ) M1M2_PR
NEW met1 ( 1506960 1222665 ) M1M2_PR
NEW met1 ( 1459920 1222665 ) M1M2_PR
NEW met2 ( 1459920 1233950 ) via2_FR
NEW met3 ( 210000 1593590 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209520 2025750 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210480 3956410 ) RECT ( -380 -150 0 150 )
NEW met3 ( 1187760 4970950 ) RECT ( 0 -150 800 150 )
NEW met3 ( 3377520 997890 ) RECT ( -380 -150 0 150 )
NEW met4 ( 3372960 1233950 ) RECT ( -150 -800 150 0 )
NEW met3 ( 3377760 1447810 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3377520 1669070 ) RECT ( -380 -150 0 150 )
NEW met2 ( 3165360 4968175 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3377760 546490 ) RECT ( 0 -150 380 150 )
NEW met4 ( 214560 1233950 ) RECT ( -150 -800 150 0 )
NEW met3 ( 210000 1377510 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3377520 772190 ) RECT ( -380 -150 0 150 )
NEW met1 ( 3376080 3010875 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3375600 3232135 ) RECT ( -595 -70 0 70 )
NEW met3 ( 210000 2879710 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1445040 4973170 ) RECT ( -800 -150 0 150 )
NEW met2 ( 2656080 4973355 ) RECT ( -70 -485 70 0 )
NEW met3 ( 208800 945350 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210000 3743290 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_holdover\[0\] ( padframe mprj_io_holdover[0] ) ( gpio_control_bidir\[0\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3371280 635290 ) ( 3373920 * 0 )
NEW met1 ( 3371280 559255 ) ( 3375600 * )
NEW met2 ( 3375600 557220 ) ( * 559255 )
NEW met2 ( 3375600 557220 ) ( 3377040 * 0 )
NEW met2 ( 3371280 559255 ) ( * 635290 )
NEW met2 ( 3371280 635290 ) via2_FR
NEW met1 ( 3371280 559255 ) M1M2_PR
NEW met1 ( 3375600 559255 ) M1M2_PR ;
- mprj_io_holdover\[10\] ( padframe mprj_io_holdover[10] ) ( gpio_control_in\[10\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3372960 3101710 ) ( 3373920 * )
NEW met3 ( 3373920 3100230 0 ) ( * 3101710 )
NEW met3 ( 3372960 3471710 ) ( 3377520 * )
NEW met2 ( 3377520 3471710 ) ( * 3473190 0 )
NEW met4 ( 3372960 3101710 ) ( * 3471710 )
NEW met3 ( 3372960 3101710 ) M3M4_PR_M
NEW met3 ( 3372960 3471710 ) M3M4_PR_M
NEW met2 ( 3377520 3471710 ) via2_FR ;
- mprj_io_holdover\[11\] ( padframe mprj_io_holdover[11] ) ( gpio_control_in\[11\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3374880 3325190 0 ) ( * 3326670 )
NEW met3 ( 3374880 3695930 ) ( 3377520 * )
NEW met2 ( 3377520 3695930 ) ( * 3698150 0 )
NEW met4 ( 3374880 3326670 ) ( * 3695930 )
NEW met3 ( 3374880 3326670 ) M3M4_PR_M
NEW met3 ( 3374880 3695930 ) M3M4_PR_M
NEW met2 ( 3377520 3695930 ) via2_FR ;
- mprj_io_holdover\[12\] ( padframe mprj_io_holdover[12] ) ( gpio_control_in\[12\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3378480 3920890 ) ( 3378720 * )
NEW met2 ( 3378480 3920890 ) ( * 3923110 0 )
NEW met3 ( 3378720 3551260 0 ) ( * 3553110 )
NEW met4 ( 3378720 3553110 ) ( * 3920890 )
NEW met3 ( 3378720 3920890 ) M3M4_PR_M
NEW met2 ( 3378480 3920890 ) via2_FR
NEW met3 ( 3378720 3553110 ) M3M4_PR_M
NEW met3 ( 3378720 3920890 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_holdover\[13\] ( padframe mprj_io_holdover[13] ) ( gpio_control_in\[13\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3374880 3776220 0 ) ( * 3778070 )
NEW met3 ( 3374880 4367110 ) ( 3377040 * )
NEW met2 ( 3377040 4367110 ) ( * 4369330 0 )
NEW met4 ( 3374880 3778070 ) ( * 4367110 )
NEW met3 ( 3374880 3778070 ) M3M4_PR_M
NEW met3 ( 3374880 4367110 ) M3M4_PR_M
NEW met2 ( 3377040 4367110 ) via2_FR ;
- mprj_io_holdover\[14\] ( padframe mprj_io_holdover[14] ) ( gpio_control_in\[14\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3372960 4669770 ) ( 3373920 * )
NEW met3 ( 3373920 4668290 0 ) ( * 4669770 )
NEW met3 ( 3372960 4812590 ) ( 3376080 * )
NEW met2 ( 3376080 4812590 ) ( * 4815180 )
NEW met2 ( 3376080 4815180 ) ( 3377040 * 0 )
NEW met4 ( 3372960 4669770 ) ( * 4812590 )
NEW met3 ( 3372960 4669770 ) M3M4_PR_M
NEW met3 ( 3372960 4812590 ) M3M4_PR_M
NEW met2 ( 3376080 4812590 ) via2_FR ;
- mprj_io_holdover\[15\] ( padframe mprj_io_holdover[15] ) ( gpio_control_in\[15\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 2981520 4987785 ) ( * 4997775 )
NEW met2 ( 3150960 4978350 ) ( 3152880 * 0 )
NEW met2 ( 3150960 4978165 ) ( * 4978350 )
NEW met1 ( 3127920 4978165 ) ( 3150960 * )
NEW met2 ( 3127920 4978165 ) ( * 4997775 )
NEW met1 ( 2981520 4997775 ) ( 3127920 * )
NEW met2 ( 2837040 4987785 ) ( * 5011465 )
NEW met1 ( 2777040 5011465 ) ( 2837040 * )
NEW met2 ( 2777040 5011465 ) ( * 5011650 )
NEW met3 ( 2776320 5011650 0 ) ( 2777040 * )
NEW met1 ( 2837040 4987785 ) ( 2981520 * )
NEW met1 ( 2981520 4987785 ) M1M2_PR
NEW met1 ( 2981520 4997775 ) M1M2_PR
NEW met1 ( 3150960 4978165 ) M1M2_PR
NEW met1 ( 3127920 4978165 ) M1M2_PR
NEW met1 ( 3127920 4997775 ) M1M2_PR
NEW met1 ( 2837040 4987785 ) M1M2_PR
NEW met1 ( 2837040 5011465 ) M1M2_PR
NEW met1 ( 2777040 5011465 ) M1M2_PR
NEW met2 ( 2777040 5011650 ) via2_FR ;
- mprj_io_holdover\[16\] ( padframe mprj_io_holdover[16] ) ( gpio_control_in\[16\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 2642160 4979090 ) ( 2643840 * 0 )
NEW met2 ( 2642160 4978905 ) ( * 4979090 )
NEW met1 ( 2642160 4978905 ) ( * 4979275 )
NEW met1 ( 2619600 4979275 ) ( 2642160 * )
NEW met2 ( 2619600 4979275 ) ( * 4999070 )
NEW met3 ( 2592240 4999070 ) ( 2619600 * )
NEW met2 ( 2592240 4999070 ) ( * 5015165 )
NEW met2 ( 2520240 5015165 ) ( * 5015350 )
NEW met3 ( 2519520 5015350 0 ) ( 2520240 * )
NEW met1 ( 2520240 5015165 ) ( 2592240 * )
NEW met1 ( 2592240 5015165 ) M1M2_PR
NEW met1 ( 2642160 4978905 ) M1M2_PR
NEW met1 ( 2619600 4979275 ) M1M2_PR
NEW met2 ( 2619600 4999070 ) via2_FR
NEW met2 ( 2592240 4999070 ) via2_FR
NEW met1 ( 2520240 5015165 ) M1M2_PR
NEW met2 ( 2520240 5015350 ) via2_FR ;
- mprj_io_holdover\[17\] ( padframe mprj_io_holdover[17] ) ( gpio_control_in\[17\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 2384880 4979090 ) ( 2386800 * 0 )
NEW met2 ( 2384880 4978905 ) ( * 4979090 )
NEW met1 ( 2384880 4978905 ) ( * 4979275 )
NEW met1 ( 2362320 4979275 ) ( 2384880 * )
NEW met2 ( 2362320 4979275 ) ( * 5004805 )
NEW met2 ( 2218320 5004805 ) ( * 5012205 )
NEW met2 ( 2135760 5012205 ) ( * 5012390 )
NEW met3 ( 2134560 5012390 0 ) ( 2135760 * )
NEW met1 ( 2135760 5012205 ) ( 2218320 * )
NEW met1 ( 2218320 5004805 ) ( 2362320 * )
NEW met1 ( 2384880 4978905 ) M1M2_PR
NEW met1 ( 2362320 4979275 ) M1M2_PR
NEW met1 ( 2362320 5004805 ) M1M2_PR
NEW met1 ( 2218320 5012205 ) M1M2_PR
NEW met1 ( 2218320 5004805 ) M1M2_PR
NEW met1 ( 2135760 5012205 ) M1M2_PR
NEW met2 ( 2135760 5012390 ) via2_FR ;
- mprj_io_holdover\[18\] ( padframe mprj_io_holdover[18] ) ( gpio_control_in\[18\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 1797120 4974650 ) ( * 4979090 0 )
NEW met2 ( 1941840 4974650 ) ( * 4977610 0 )
NEW met3 ( 1797120 4974650 ) ( 1941840 * )
NEW met2 ( 1941840 4974650 ) via2_FR ;
- mprj_io_holdover\[19\] ( padframe mprj_io_holdover[19] ) ( gpio_control_in\[19\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 1434000 4977610 ) ( * 4977795 )
NEW met2 ( 1432800 4977610 0 ) ( 1434000 * )
NEW met2 ( 1544400 5014425 ) ( * 5014610 )
NEW met3 ( 1544400 5014610 ) ( 1545120 * 0 )
NEW met1 ( 1476720 4977425 ) ( * 4977795 )
NEW met1 ( 1476720 4977425 ) ( 1496880 * )
NEW met2 ( 1496880 4977425 ) ( * 5014425 )
NEW met1 ( 1434000 4977795 ) ( 1476720 * )
NEW met1 ( 1496880 5014425 ) ( 1544400 * )
NEW met1 ( 1434000 4977795 ) M1M2_PR
NEW met1 ( 1544400 5014425 ) M1M2_PR
NEW met2 ( 1544400 5014610 ) via2_FR
NEW met1 ( 1496880 4977425 ) M1M2_PR
NEW met1 ( 1496880 5014425 ) M1M2_PR ;
- mprj_io_holdover\[1\] ( padframe mprj_io_holdover[1] ) ( gpio_control_bidir\[1\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 3375120 783290 ) ( 3376560 * )
NEW met2 ( 3376560 782550 ) ( * 783290 )
NEW met2 ( 3376560 782550 ) ( 3377040 * )
NEW met2 ( 3377040 782550 ) ( * 783290 0 )
NEW met3 ( 3374880 860250 ) ( 3375120 * )
NEW met3 ( 3374880 860250 ) ( * 860990 0 )
NEW met2 ( 3375120 783290 ) ( * 860250 )
NEW met2 ( 3375120 860250 ) via2_FR ;
- mprj_io_holdover\[20\] ( padframe mprj_io_holdover[20] ) ( gpio_control_in\[20\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 1174800 4969655 ) ( * 4977610 0 )
NEW met2 ( 1252560 4969655 ) ( * 4976130 )
NEW met3 ( 1252560 4976130 ) ( 1287360 * )
NEW met3 ( 1287360 4976130 ) ( * 4979090 0 )
NEW met1 ( 1174800 4969655 ) ( 1252560 * )
NEW met1 ( 1174800 4969655 ) M1M2_PR
NEW met1 ( 1252560 4969655 ) M1M2_PR
NEW met2 ( 1252560 4976130 ) via2_FR ;
- mprj_io_holdover\[21\] ( padframe mprj_io_holdover[21] ) ( gpio_control_in\[21\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 917760 4979090 0 ) ( 918960 * )
NEW met2 ( 918960 4978905 ) ( * 4979090 )
NEW met1 ( 918960 4978905 ) ( * 4979275 )
NEW met1 ( 978960 4978905 ) ( * 4979275 )
NEW met1 ( 978960 4978905 ) ( 1028400 * )
NEW met2 ( 1028400 4978905 ) ( * 4979090 )
NEW met3 ( 1028400 4979090 ) ( 1030560 * 0 )
NEW met1 ( 918960 4979275 ) ( 978960 * )
NEW met1 ( 918960 4978905 ) M1M2_PR
NEW met1 ( 1028400 4978905 ) M1M2_PR
NEW met2 ( 1028400 4979090 ) via2_FR ;
- mprj_io_holdover\[22\] ( padframe mprj_io_holdover[22] ) ( gpio_control_in\[22\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 660720 4969285 ) ( * 4977610 0 )
NEW met2 ( 748560 4969285 ) ( * 4976130 )
NEW met3 ( 748560 4976130 ) ( 773280 * )
NEW met3 ( 773280 4976130 ) ( * 4979090 0 )
NEW met1 ( 660720 4969285 ) ( 748560 * )
NEW met1 ( 660720 4969285 ) M1M2_PR
NEW met1 ( 748560 4969285 ) M1M2_PR
NEW met2 ( 748560 4976130 ) via2_FR ;
- mprj_io_holdover\[23\] ( padframe mprj_io_holdover[23] ) ( gpio_control_in\[23\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 403920 4979090 0 ) ( 405360 * )
NEW met2 ( 474960 4979090 ) ( * 4979645 )
NEW met1 ( 474960 4979645 ) ( 514800 * )
NEW met2 ( 514800 4979645 ) ( * 4979830 )
NEW met3 ( 514800 4979830 ) ( 516000 * 0 )
NEW met3 ( 405360 4979090 ) ( 474960 * )
NEW met2 ( 405360 4979090 ) via2_FR
NEW met2 ( 474960 4979090 ) via2_FR
NEW met1 ( 474960 4979645 ) M1M2_PR
NEW met1 ( 514800 4979645 ) M1M2_PR
NEW met2 ( 514800 4979830 ) via2_FR ;
- mprj_io_holdover\[24\] ( padframe mprj_io_holdover[24] ) ( gpio_control_in\[24\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 203040 4792610 ) ( 209040 * )
NEW met2 ( 209040 4792610 ) ( * 4793720 0 )
NEW met3 ( 203040 4686420 0 ) ( * 4688270 )
NEW met4 ( 203040 4688270 ) ( * 4792610 )
NEW met3 ( 203040 4792610 ) M3M4_PR_M
NEW met2 ( 209040 4792610 ) via2_FR
NEW met3 ( 203040 4688270 ) M3M4_PR_M ;
- mprj_io_holdover\[25\] ( padframe mprj_io_holdover[25] ) ( gpio_control_in\[25\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 210960 3944785 0 ) ( * 3947345 )
NEW met1 ( 210960 3947345 ) ( 212880 * )
NEW met2 ( 212880 3947345 ) ( * 3947530 )
NEW met2 ( 212880 3947530 ) ( 213360 * )
NEW met2 ( 212880 3963070 ) ( 213360 * )
NEW met3 ( 209760 3963070 ) ( 212880 * )
NEW met4 ( 209760 3963070 ) ( * 4002290 )
NEW met3 ( 209760 4002290 ) ( 210480 * )
NEW met2 ( 210480 4002290 ) ( * 4055570 )
NEW met3 ( 210480 4055570 ) ( 210720 * )
NEW met3 ( 210720 4055570 ) ( * 4057050 0 )
NEW met2 ( 213360 3947530 ) ( * 3963070 )
NEW met1 ( 210960 3947345 ) M1M2_PR
NEW met1 ( 212880 3947345 ) M1M2_PR
NEW met2 ( 212880 3963070 ) via2_FR
NEW met3 ( 209760 3963070 ) M3M4_PR_M
NEW met3 ( 209760 4002290 ) M3M4_PR_M
NEW met2 ( 210480 4002290 ) via2_FR
NEW met2 ( 210480 4055570 ) via2_FR ;
- mprj_io_holdover\[26\] ( padframe mprj_io_holdover[26] ) ( gpio_control_in\[26\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 210960 3785470 ) ( 213600 * )
NEW met2 ( 210960 3785470 ) ( * 3839490 )
NEW met3 ( 210720 3839490 ) ( 210960 * )
NEW met3 ( 210720 3839490 ) ( * 3840970 0 )
NEW met2 ( 210480 3728860 0 ) ( * 3731265 )
NEW met1 ( 210480 3731265 ) ( 213840 * )
NEW met2 ( 213840 3731265 ) ( * 3758090 )
NEW met3 ( 213600 3758090 ) ( 213840 * )
NEW met4 ( 213600 3758090 ) ( * 3785470 )
NEW met3 ( 213600 3785470 ) M3M4_PR_M
NEW met2 ( 210960 3785470 ) via2_FR
NEW met2 ( 210960 3839490 ) via2_FR
NEW met1 ( 210480 3731265 ) M1M2_PR
NEW met1 ( 213840 3731265 ) M1M2_PR
NEW met2 ( 213840 3758090 ) via2_FR
NEW met3 ( 213600 3758090 ) M3M4_PR_M
NEW met3 ( 213840 3758090 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_holdover\[27\] ( padframe mprj_io_holdover[27] ) ( gpio_control_in\[27\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 210960 3512780 0 ) ( * 3514445 )
NEW met1 ( 210960 3514445 ) ( 215760 * )
NEW met3 ( 214560 3532390 ) ( 215760 * )
NEW met4 ( 214560 3532390 ) ( * 3625260 )
NEW met3 ( 212640 3625260 0 ) ( 214560 * )
NEW met2 ( 215760 3514445 ) ( * 3532390 )
NEW met1 ( 210960 3514445 ) M1M2_PR
NEW met1 ( 215760 3514445 ) M1M2_PR
NEW met2 ( 215760 3532390 ) via2_FR
NEW met3 ( 214560 3532390 ) M3M4_PR_M
NEW met3 ( 214560 3625260 ) M3M4_PR_M ;
- mprj_io_holdover\[28\] ( padframe mprj_io_holdover[28] ) ( gpio_control_in\[28\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 204960 3407330 ) ( 205200 * )
NEW met3 ( 204960 3407330 ) ( * 3409550 0 )
NEW met3 ( 205200 3354790 ) ( 206880 * )
NEW met4 ( 206880 3320010 ) ( * 3354790 )
NEW met3 ( 206880 3320010 ) ( 213360 * )
NEW met2 ( 213360 3298365 ) ( * 3320010 )
NEW met1 ( 210960 3298365 ) ( 213360 * )
NEW met2 ( 210960 3296700 0 ) ( * 3298365 )
NEW met2 ( 205200 3354790 ) ( * 3407330 )
NEW met2 ( 205200 3407330 ) via2_FR
NEW met2 ( 205200 3354790 ) via2_FR
NEW met3 ( 206880 3354790 ) M3M4_PR_M
NEW met3 ( 206880 3320010 ) M3M4_PR_M
NEW met2 ( 213360 3320010 ) via2_FR
NEW met1 ( 213360 3298365 ) M1M2_PR
NEW met1 ( 210960 3298365 ) M1M2_PR ;
- mprj_io_holdover\[29\] ( padframe mprj_io_holdover[29] ) ( gpio_control_in\[29\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 207600 3191990 ) ( 207840 * )
NEW met3 ( 207840 3191990 ) ( * 3193470 0 )
NEW met3 ( 207600 3138710 ) ( 207840 * )
NEW met4 ( 207840 3098010 ) ( * 3138710 )
NEW met3 ( 207840 3098010 ) ( 211920 * )
NEW met2 ( 211920 3083395 ) ( * 3098010 )
NEW met1 ( 210960 3083395 ) ( 211920 * )
NEW met2 ( 210960 3080785 0 ) ( * 3083395 )
NEW met2 ( 207600 3138710 ) ( * 3191990 )
NEW met2 ( 207600 3191990 ) via2_FR
NEW met2 ( 207600 3138710 ) via2_FR
NEW met3 ( 207840 3138710 ) M3M4_PR_M
NEW met3 ( 207840 3098010 ) M3M4_PR_M
NEW met2 ( 211920 3098010 ) via2_FR
NEW met1 ( 211920 3083395 ) M1M2_PR
NEW met1 ( 210960 3083395 ) M1M2_PR
NEW met3 ( 207600 3138710 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_holdover\[2\] ( padframe mprj_io_holdover[2] ) ( gpio_control_in\[2\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 3374640 1008250 ) ( 3377040 * 0 )
NEW met3 ( 3374640 1085210 ) ( 3374880 * )
NEW met3 ( 3374880 1085210 ) ( * 1086320 0 )
NEW met2 ( 3374640 1008250 ) ( * 1085210 )
NEW met2 ( 3374640 1085210 ) via2_FR ;
- mprj_io_holdover\[30\] ( padframe mprj_io_holdover[30] ) ( gpio_control_in\[30\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 209760 2975910 ) ( 210000 * )
NEW met3 ( 209760 2975910 ) ( * 2977390 0 )
NEW met3 ( 210000 2921150 ) ( 211680 * )
NEW met4 ( 211680 2906350 ) ( * 2921150 )
NEW met3 ( 211680 2906350 ) ( 212400 * )
NEW met2 ( 212400 2866205 ) ( * 2906350 )
NEW met1 ( 210480 2866205 ) ( 212400 * )
NEW met2 ( 210480 2864910 0 ) ( * 2866205 )
NEW met2 ( 210000 2921150 ) ( * 2975910 )
NEW met2 ( 210000 2975910 ) via2_FR
NEW met2 ( 210000 2921150 ) via2_FR
NEW met3 ( 211680 2921150 ) M3M4_PR_M
NEW met3 ( 211680 2906350 ) M3M4_PR_M
NEW met2 ( 212400 2906350 ) via2_FR
NEW met1 ( 212400 2866205 ) M1M2_PR
NEW met1 ( 210480 2866205 ) M1M2_PR ;
- mprj_io_holdover\[31\] ( padframe mprj_io_holdover[31] ) ( gpio_control_in\[31\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 210480 2759830 ) ( 210720 * )
NEW met3 ( 210720 2759830 ) ( * 2761310 0 )
NEW met1 ( 210480 2651235 ) ( 214320 * )
NEW met2 ( 214320 2651235 ) ( * 2704885 )
NEW met1 ( 210480 2704885 ) ( 214320 * )
NEW met2 ( 210480 2648830 0 ) ( * 2651235 )
NEW met2 ( 210480 2704885 ) ( * 2759830 )
NEW met2 ( 210480 2759830 ) via2_FR
NEW met1 ( 210480 2651235 ) M1M2_PR
NEW met1 ( 214320 2651235 ) M1M2_PR
NEW met1 ( 214320 2704885 ) M1M2_PR
NEW met1 ( 210480 2704885 ) M1M2_PR ;
- mprj_io_holdover\[32\] ( padframe mprj_io_holdover[32] ) ( gpio_control_in\[32\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 210720 2013170 ) ( 210960 * )
NEW met2 ( 210960 2010785 0 ) ( * 2013170 )
NEW met3 ( 210720 2121950 ) ( * 2123430 0 )
NEW met4 ( 210720 2013170 ) ( * 2121950 )
NEW met3 ( 210720 2013170 ) M3M4_PR_M
NEW met2 ( 210960 2013170 ) via2_FR
NEW met3 ( 210720 2121950 ) M3M4_PR_M
NEW met3 ( 210720 2013170 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_holdover\[33\] ( padframe mprj_io_holdover[33] ) ( gpio_control_in\[33\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 210480 1797090 ) ( 210720 * )
NEW met2 ( 210480 1794870 0 ) ( * 1797090 )
NEW met3 ( 200400 1875530 ) ( 210720 * )
NEW met2 ( 200400 1875530 ) ( * 1905870 )
NEW met3 ( 200160 1905870 ) ( 200400 * )
NEW met3 ( 200160 1905870 ) ( * 1907350 0 )
NEW met4 ( 210720 1797090 ) ( * 1875530 )
NEW met3 ( 210720 1797090 ) M3M4_PR_M
NEW met2 ( 210480 1797090 ) via2_FR
NEW met3 ( 210720 1875530 ) M3M4_PR_M
NEW met2 ( 200400 1875530 ) via2_FR
NEW met2 ( 200400 1905870 ) via2_FR
NEW met3 ( 210720 1797090 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_holdover\[34\] ( padframe mprj_io_holdover[34] ) ( gpio_control_in\[34\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 210720 1585450 ) ( 215520 * )
NEW met3 ( 210480 1580270 ) ( 210720 * )
NEW met2 ( 210480 1578790 0 ) ( * 1580270 )
NEW met4 ( 210720 1580270 ) ( * 1585450 )
NEW met3 ( 212640 1691270 0 ) ( 215520 * )
NEW met4 ( 215520 1585450 ) ( * 1691270 )
NEW met3 ( 215520 1585450 ) M3M4_PR_M
NEW met3 ( 210720 1585450 ) M3M4_PR_M
NEW met3 ( 210720 1580270 ) M3M4_PR_M
NEW met2 ( 210480 1580270 ) via2_FR
NEW met3 ( 215520 1691270 ) M3M4_PR_M
NEW met3 ( 210720 1580270 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_holdover\[35\] ( padframe mprj_io_holdover[35] ) ( gpio_control_in\[35\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 210480 1364930 ) ( 210720 * )
NEW met2 ( 210480 1362710 0 ) ( * 1364930 )
NEW met3 ( 210720 1474450 ) ( * 1475190 0 )
NEW met4 ( 210720 1364930 ) ( * 1474450 )
NEW met3 ( 210720 1364930 ) M3M4_PR_M
NEW met2 ( 210480 1364930 ) via2_FR
NEW met3 ( 210720 1474450 ) M3M4_PR_M
NEW met3 ( 210720 1364930 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_holdover\[36\] ( padframe mprj_io_holdover[36] ) ( gpio_control_in\[36\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 206880 1258370 ) ( * 1259110 0 )
NEW met3 ( 206880 1148850 ) ( 209040 * )
NEW met2 ( 209040 1146785 0 ) ( * 1148850 )
NEW met4 ( 206880 1148850 ) ( * 1258370 )
NEW met3 ( 206880 1258370 ) M3M4_PR_M
NEW met3 ( 206880 1148850 ) M3M4_PR_M
NEW met2 ( 209040 1148850 ) via2_FR ;
- mprj_io_holdover\[37\] ( padframe mprj_io_holdover[37] ) ( gpio_control_in\[37\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 205920 932030 ) ( 209040 * )
NEW met2 ( 209040 930920 0 ) ( * 932030 )
NEW met3 ( 205920 1041550 ) ( * 1043030 0 )
NEW met4 ( 205920 932030 ) ( * 1041550 )
NEW met3 ( 205920 932030 ) M3M4_PR_M
NEW met2 ( 209040 932030 ) via2_FR
NEW met3 ( 205920 1041550 ) M3M4_PR_M ;
- mprj_io_holdover\[3\] ( padframe mprj_io_holdover[3] ) ( gpio_control_in\[3\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met1 ( 3371760 1235245 ) ( 3377520 * )
NEW met2 ( 3377520 1234320 0 ) ( * 1235245 )
NEW met3 ( 3371760 1312390 ) ( 3373920 * 0 )
NEW met2 ( 3371760 1235245 ) ( * 1312390 )
NEW met1 ( 3371760 1235245 ) M1M2_PR
NEW met1 ( 3377520 1235245 ) M1M2_PR
NEW met2 ( 3371760 1312390 ) via2_FR ;
- mprj_io_holdover\[4\] ( padframe mprj_io_holdover[4] ) ( gpio_control_in\[4\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met2 ( 3375600 1459280 ) ( 3377040 * 0 )
NEW met3 ( 3375600 1535870 ) ( 3375840 * )
NEW met3 ( 3375840 1535870 ) ( * 1537350 0 )
NEW met2 ( 3375600 1459280 ) ( * 1535870 )
NEW met2 ( 3375600 1535870 ) via2_FR ;
- mprj_io_holdover\[5\] ( padframe mprj_io_holdover[5] ) ( gpio_control_in\[5\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met1 ( 3372720 1686275 ) ( 3375600 * )
NEW met3 ( 3372720 1760830 ) ( 3373920 * )
NEW met3 ( 3373920 1760830 ) ( * 1762310 0 )
NEW met2 ( 3372720 1686275 ) ( * 1760830 )
NEW met2 ( 3375600 1684240 ) ( 3377040 * 0 )
NEW met2 ( 3375600 1684240 ) ( * 1686275 )
NEW met1 ( 3372720 1686275 ) M1M2_PR
NEW met1 ( 3375600 1686275 ) M1M2_PR
NEW met2 ( 3372720 1760830 ) via2_FR ;
- mprj_io_holdover\[6\] ( padframe mprj_io_holdover[6] ) ( gpio_control_in\[6\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3372240 1988010 ) ( 3373920 * 0 )
NEW met1 ( 3372240 1911605 ) ( 3377520 * )
NEW met2 ( 3377520 1910310 0 ) ( * 1911605 )
NEW met2 ( 3372240 1911605 ) ( * 1988010 )
NEW met2 ( 3372240 1988010 ) via2_FR
NEW met1 ( 3372240 1911605 ) M1M2_PR
NEW met1 ( 3377520 1911605 ) M1M2_PR ;
- mprj_io_holdover\[7\] ( padframe mprj_io_holdover[7] ) ( gpio_control_in\[7\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3373920 2429050 0 ) ( * 2430530 )
NEW met3 ( 3373920 2794610 ) ( 3377520 * )
NEW met2 ( 3377520 2794610 ) ( * 2796090 0 )
NEW met4 ( 3373920 2430530 ) ( * 2794610 )
NEW met3 ( 3373920 2430530 ) M3M4_PR_M
NEW met3 ( 3373920 2794610 ) M3M4_PR_M
NEW met2 ( 3377520 2794610 ) via2_FR ;
- mprj_io_holdover\[8\] ( padframe mprj_io_holdover[8] ) ( gpio_control_in\[8\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met1 ( 3360720 2649385 ) ( 3368880 * )
NEW met2 ( 3368880 2649385 ) ( * 2649570 )
NEW met3 ( 3368880 2649570 ) ( 3373920 * )
NEW met3 ( 3373920 2649200 0 ) ( * 2649570 )
NEW met1 ( 3360720 3020495 ) ( 3377520 * )
NEW met2 ( 3377520 3020495 ) ( * 3022160 0 )
NEW met2 ( 3360720 2649385 ) ( * 3020495 )
NEW met1 ( 3360720 2649385 ) M1M2_PR
NEW met1 ( 3368880 2649385 ) M1M2_PR
NEW met2 ( 3368880 2649570 ) via2_FR
NEW met1 ( 3360720 3020495 ) M1M2_PR
NEW met1 ( 3377520 3020495 ) M1M2_PR ;
- mprj_io_holdover\[9\] ( padframe mprj_io_holdover[9] ) ( gpio_control_in\[9\] pad_gpio_holdover ) + USE SIGNAL
+ ROUTED met3 ( 3372960 2952970 ) ( 3374640 * )
NEW met3 ( 3372000 3244530 ) ( 3377520 * )
NEW met2 ( 3377520 3244530 ) ( * 3247120 0 )
NEW met3 ( 3373920 2950750 ) ( 3374640 * )
NEW met4 ( 3373920 2876010 ) ( * 2950750 )
NEW met3 ( 3373920 2874530 0 ) ( * 2876010 )
NEW met2 ( 3374640 2950750 ) ( * 2952970 )
NEW met3 ( 3370800 3153510 ) ( 3372000 * )
NEW met2 ( 3370800 3099490 ) ( * 3153510 )
NEW met3 ( 3370800 3099490 ) ( 3372960 * )
NEW met4 ( 3372000 3153510 ) ( * 3244530 )
NEW met4 ( 3372960 2952970 ) ( * 3099490 )
NEW met3 ( 3372960 2952970 ) M3M4_PR_M
NEW met2 ( 3374640 2952970 ) via2_FR
NEW met3 ( 3372000 3244530 ) M3M4_PR_M
NEW met2 ( 3377520 3244530 ) via2_FR
NEW met2 ( 3374640 2950750 ) via2_FR
NEW met3 ( 3373920 2950750 ) M3M4_PR_M
NEW met3 ( 3373920 2876010 ) M3M4_PR_M
NEW met3 ( 3372000 3153510 ) M3M4_PR_M
NEW met2 ( 3370800 3153510 ) via2_FR
NEW met2 ( 3370800 3099490 ) via2_FR
NEW met3 ( 3372960 3099490 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[0\] ( padframe mprj_io_ib_mode_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3375600 636770 ) ( 3375840 * )
NEW met3 ( 3375840 636770 ) ( * 638250 0 )
NEW met2 ( 3375600 572390 ) ( 3377040 * 0 )
NEW met2 ( 3375600 572390 ) ( * 636770 )
NEW met2 ( 3375600 636770 ) via2_FR ;
- mprj_io_ib_mode_sel\[10\] ( padframe mprj_io_ib_mode_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3383520 3103190 0 ) ( * 3104670 )
NEW met3 ( 3378960 3486510 ) ( 3383520 * )
NEW met2 ( 3378960 3486510 ) ( * 3488360 0 )
NEW met4 ( 3383520 3104670 ) ( * 3486510 )
NEW met3 ( 3383520 3104670 ) M3M4_PR_M
NEW met3 ( 3383520 3486510 ) M3M4_PR_M
NEW met2 ( 3378960 3486510 ) via2_FR ;
- mprj_io_ib_mode_sel\[11\] ( padframe mprj_io_ib_mode_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3370080 3328150 ) ( 3373920 * 0 )
NEW met3 ( 3370080 3712210 ) ( 3377520 * )
NEW met2 ( 3377520 3712210 ) ( * 3713320 0 )
NEW met4 ( 3370080 3328150 ) ( * 3712210 )
NEW met3 ( 3370080 3328150 ) M3M4_PR_M
NEW met3 ( 3370080 3712210 ) M3M4_PR_M
NEW met2 ( 3377520 3712210 ) via2_FR ;
- mprj_io_ib_mode_sel\[12\] ( padframe mprj_io_ib_mode_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3377520 3935690 ) ( 3377760 * )
NEW met2 ( 3377520 3935690 ) ( * 3938280 0 )
NEW met3 ( 3377760 3553850 0 ) ( * 3555330 )
NEW met4 ( 3377760 3555330 ) ( * 3935690 )
NEW met3 ( 3377760 3935690 ) M3M4_PR_M
NEW met2 ( 3377520 3935690 ) via2_FR
NEW met3 ( 3377760 3555330 ) M3M4_PR_M
NEW met3 ( 3377760 3935690 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_ib_mode_sel\[13\] ( padframe mprj_io_ib_mode_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3370080 3778810 ) ( 3373920 * 0 )
NEW met3 ( 3370080 4382650 ) ( 3377040 * )
NEW met2 ( 3377040 4382650 ) ( * 4384500 0 )
NEW met4 ( 3370080 3778810 ) ( * 4382650 )
NEW met3 ( 3370080 3778810 ) M3M4_PR_M
NEW met3 ( 3370080 4382650 ) M3M4_PR_M
NEW met2 ( 3377040 4382650 ) via2_FR ;
- mprj_io_ib_mode_sel\[14\] ( padframe mprj_io_ib_mode_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3380640 4671250 0 ) ( * 4672730 )
NEW met3 ( 3378960 4828130 ) ( 3380640 * )
NEW met2 ( 3378960 4828130 ) ( * 4830350 0 )
NEW met4 ( 3380640 4672730 ) ( * 4828130 )
NEW met3 ( 3380640 4672730 ) M3M4_PR_M
NEW met3 ( 3380640 4828130 ) M3M4_PR_M
NEW met2 ( 3378960 4828130 ) via2_FR ;
- mprj_io_ib_mode_sel\[15\] ( padframe mprj_io_ib_mode_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 2966640 4988525 ) ( * 4997405 )
NEW met2 ( 3135600 4979090 ) ( 3137520 * 0 )
NEW met2 ( 3135600 4978905 ) ( * 4979090 )
NEW met1 ( 3129360 4978905 ) ( 3135600 * )
NEW met2 ( 3129360 4978905 ) ( * 4997405 )
NEW met1 ( 2966640 4997405 ) ( 3129360 * )
NEW met2 ( 2779920 4988525 ) ( * 4988710 )
NEW met3 ( 2778720 4988710 0 ) ( 2779920 * )
NEW met1 ( 2779920 4988525 ) ( 2966640 * )
NEW met1 ( 2966640 4988525 ) M1M2_PR
NEW met1 ( 2966640 4997405 ) M1M2_PR
NEW met1 ( 3135600 4978905 ) M1M2_PR
NEW met1 ( 3129360 4978905 ) M1M2_PR
NEW met1 ( 3129360 4997405 ) M1M2_PR
NEW met1 ( 2779920 4988525 ) M1M2_PR
NEW met2 ( 2779920 4988710 ) via2_FR ;
- mprj_io_ib_mode_sel\[16\] ( padframe mprj_io_ib_mode_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 2620560 4979090 ) ( * 4997590 )
NEW met2 ( 2620560 4979090 ) ( 2621040 * )
NEW met2 ( 2621040 4978905 ) ( * 4979090 )
NEW met1 ( 2621040 4978905 ) ( 2626800 * )
NEW met2 ( 2626800 4978905 ) ( * 4979090 )
NEW met2 ( 2626800 4979090 ) ( 2628720 * 0 )
NEW met2 ( 2560560 4997590 ) ( * 4997775 )
NEW met1 ( 2523600 4997775 ) ( 2560560 * )
NEW met2 ( 2523600 4997590 ) ( * 4997775 )
NEW met3 ( 2521920 4997590 0 ) ( 2523600 * )
NEW met3 ( 2560560 4997590 ) ( 2620560 * )
NEW met2 ( 2620560 4997590 ) via2_FR
NEW met1 ( 2621040 4978905 ) M1M2_PR
NEW met1 ( 2626800 4978905 ) M1M2_PR
NEW met2 ( 2560560 4997590 ) via2_FR
NEW met1 ( 2560560 4997775 ) M1M2_PR
NEW met1 ( 2523600 4997775 ) M1M2_PR
NEW met2 ( 2523600 4997590 ) via2_FR ;
- mprj_io_ib_mode_sel\[17\] ( padframe mprj_io_ib_mode_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 2370480 4978350 ) ( 2371680 * 0 )
NEW met2 ( 2370480 4978165 ) ( * 4978350 )
NEW met1 ( 2363760 4978165 ) ( 2370480 * )
NEW met2 ( 2363760 4978165 ) ( * 5004990 )
NEW met2 ( 2363280 5004990 ) ( 2363760 * )
NEW met2 ( 2363280 5004990 ) ( * 5005175 )
NEW met2 ( 2176080 5012945 ) ( * 5029410 )
NEW met2 ( 2138640 5012945 ) ( * 5013130 )
NEW met3 ( 2136960 5013130 0 ) ( 2138640 * )
NEW met1 ( 2138640 5012945 ) ( 2176080 * )
NEW met2 ( 2328720 5005175 ) ( * 5029410 )
NEW met3 ( 2176080 5029410 ) ( 2328720 * )
NEW met1 ( 2328720 5005175 ) ( 2363280 * )
NEW met1 ( 2370480 4978165 ) M1M2_PR
NEW met1 ( 2363760 4978165 ) M1M2_PR
NEW met1 ( 2363280 5005175 ) M1M2_PR
NEW met1 ( 2176080 5012945 ) M1M2_PR
NEW met2 ( 2176080 5029410 ) via2_FR
NEW met1 ( 2138640 5012945 ) M1M2_PR
NEW met2 ( 2138640 5013130 ) via2_FR
NEW met1 ( 2328720 5005175 ) M1M2_PR
NEW met2 ( 2328720 5029410 ) via2_FR ;
- mprj_io_ib_mode_sel\[18\] ( padframe mprj_io_ib_mode_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 1801200 5004065 ) ( * 5004250 )
NEW met3 ( 1800000 5004250 0 ) ( 1801200 * )
NEW met2 ( 1925040 4979090 ) ( 1926480 * 0 )
NEW met2 ( 1925040 4978905 ) ( * 4979090 )
NEW met1 ( 1918320 4978905 ) ( 1925040 * )
NEW met2 ( 1918320 4978905 ) ( * 5004065 )
NEW met1 ( 1801200 5004065 ) ( 1918320 * )
NEW met1 ( 1801200 5004065 ) M1M2_PR
NEW met2 ( 1801200 5004250 ) via2_FR
NEW met1 ( 1925040 4978905 ) M1M2_PR
NEW met1 ( 1918320 4978905 ) M1M2_PR
NEW met1 ( 1918320 5004065 ) M1M2_PR ;
- mprj_io_ib_mode_sel\[19\] ( padframe mprj_io_ib_mode_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 1417680 4979090 0 ) ( 1419120 * )
NEW met2 ( 1419120 4978905 ) ( * 4979090 )
NEW met1 ( 1419120 4978905 ) ( * 4980015 )
NEW met2 ( 1546800 5013870 ) ( * 5014055 )
NEW met3 ( 1546800 5013870 ) ( 1548000 * 0 )
NEW met2 ( 1497360 4980015 ) ( * 5014055 )
NEW met1 ( 1419120 4980015 ) ( 1497360 * )
NEW met1 ( 1497360 5014055 ) ( 1546800 * )
NEW met1 ( 1419120 4978905 ) M1M2_PR
NEW met1 ( 1546800 5014055 ) M1M2_PR
NEW met2 ( 1546800 5013870 ) via2_FR
NEW met1 ( 1497360 4980015 ) M1M2_PR
NEW met1 ( 1497360 5014055 ) M1M2_PR ;
- mprj_io_ib_mode_sel\[1\] ( padframe mprj_io_ib_mode_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 3376560 798460 ) ( 3377040 * 0 )
NEW met3 ( 3376560 863210 ) ( 3376800 * )
NEW met3 ( 3376800 863210 ) ( * 863950 0 )
NEW met2 ( 3376560 798460 ) ( * 863210 )
NEW met2 ( 3376560 863210 ) via2_FR ;
- mprj_io_ib_mode_sel\[20\] ( padframe mprj_io_ib_mode_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 1234800 4969285 ) ( * 4978905 )
NEW met2 ( 1160880 4969285 ) ( * 4977610 )
NEW met2 ( 1159680 4977610 0 ) ( 1160880 * )
NEW met1 ( 1160880 4969285 ) ( 1234800 * )
NEW met2 ( 1288560 4978905 ) ( * 4979830 )
NEW met3 ( 1288560 4979830 ) ( 1289760 * 0 )
NEW met1 ( 1234800 4978905 ) ( 1288560 * )
NEW met1 ( 1234800 4969285 ) M1M2_PR
NEW met1 ( 1234800 4978905 ) M1M2_PR
NEW met1 ( 1160880 4969285 ) M1M2_PR
NEW met1 ( 1288560 4978905 ) M1M2_PR
NEW met2 ( 1288560 4979830 ) via2_FR ;
- mprj_io_ib_mode_sel\[21\] ( padframe mprj_io_ib_mode_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 902640 4969655 ) ( * 4977610 0 )
NEW met2 ( 993360 4969655 ) ( * 4976130 )
NEW met3 ( 993360 4976130 ) ( 1032960 * )
NEW met3 ( 1032960 4976130 ) ( * 4979090 0 )
NEW met1 ( 902640 4969655 ) ( 993360 * )
NEW met1 ( 902640 4969655 ) M1M2_PR
NEW met1 ( 993360 4969655 ) M1M2_PR
NEW met2 ( 993360 4976130 ) via2_FR ;
- mprj_io_ib_mode_sel\[22\] ( padframe mprj_io_ib_mode_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 646800 4968915 ) ( * 4977610 )
NEW met2 ( 645600 4977610 0 ) ( 646800 * )
NEW met2 ( 748080 4968915 ) ( * 4978905 )
NEW met1 ( 748080 4978905 ) ( 774480 * )
NEW met2 ( 774480 4978905 ) ( * 4979090 )
NEW met3 ( 774480 4979090 ) ( 776160 * 0 )
NEW met1 ( 646800 4968915 ) ( 748080 * )
NEW met1 ( 646800 4968915 ) M1M2_PR
NEW met1 ( 748080 4968915 ) M1M2_PR
NEW met1 ( 748080 4978905 ) M1M2_PR
NEW met1 ( 774480 4978905 ) M1M2_PR
NEW met2 ( 774480 4979090 ) via2_FR ;
- mprj_io_ib_mode_sel\[23\] ( padframe mprj_io_ib_mode_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 388560 4969285 ) ( * 4977610 0 )
NEW met2 ( 489360 4969285 ) ( * 4974650 )
NEW met3 ( 489360 4974650 ) ( 518880 * )
NEW met3 ( 518880 4974650 ) ( * 4979090 0 )
NEW met1 ( 388560 4969285 ) ( 489360 * )
NEW met1 ( 388560 4969285 ) M1M2_PR
NEW met1 ( 489360 4969285 ) M1M2_PR
NEW met2 ( 489360 4974650 ) via2_FR ;
- mprj_io_ib_mode_sel\[24\] ( padframe mprj_io_ib_mode_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 4778550 0 ) ( 212400 * )
NEW met1 ( 210960 4766155 ) ( 212400 * )
NEW met2 ( 210960 4690490 ) ( * 4766155 )
NEW met3 ( 210720 4690490 ) ( 210960 * )
NEW met3 ( 210720 4689010 0 ) ( * 4690490 )
NEW met2 ( 212400 4766155 ) ( * 4778550 )
NEW met1 ( 212400 4766155 ) M1M2_PR
NEW met1 ( 210960 4766155 ) M1M2_PR
NEW met2 ( 210960 4690490 ) via2_FR ;
- mprj_io_ib_mode_sel\[25\] ( padframe mprj_io_ib_mode_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 204000 3959370 ) ( 211920 * )
NEW met2 ( 211920 3932175 ) ( * 3959370 )
NEW met1 ( 210960 3932175 ) ( 211920 * )
NEW met2 ( 210960 3929605 0 ) ( * 3932175 )
NEW met3 ( 204000 4058530 ) ( * 4060010 0 )
NEW met4 ( 204000 3959370 ) ( * 4058530 )
NEW met3 ( 204000 3959370 ) M3M4_PR_M
NEW met2 ( 211920 3959370 ) via2_FR
NEW met1 ( 211920 3932175 ) M1M2_PR
NEW met1 ( 210960 3932175 ) M1M2_PR
NEW met3 ( 204000 4058530 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[26\] ( padframe mprj_io_ib_mode_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 206880 3843190 ) ( * 3843930 0 )
NEW met3 ( 206880 3730710 ) ( 213840 * )
NEW met2 ( 213840 3716095 ) ( * 3730710 )
NEW met1 ( 210480 3716095 ) ( 213840 * )
NEW met2 ( 210480 3713690 0 ) ( * 3716095 )
NEW met4 ( 206880 3730710 ) ( * 3843190 )
NEW met3 ( 206880 3843190 ) M3M4_PR_M
NEW met3 ( 206880 3730710 ) M3M4_PR_M
NEW met2 ( 213840 3730710 ) via2_FR
NEW met1 ( 213840 3716095 ) M1M2_PR
NEW met1 ( 210480 3716095 ) M1M2_PR ;
- mprj_io_ib_mode_sel\[27\] ( padframe mprj_io_ib_mode_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 204960 3626370 ) ( * 3627850 0 )
NEW met3 ( 204960 3516850 ) ( 212400 * )
NEW met2 ( 212400 3500385 ) ( * 3516850 )
NEW met1 ( 210960 3500385 ) ( 212400 * )
NEW met2 ( 210960 3497610 0 ) ( * 3500385 )
NEW met4 ( 204960 3516850 ) ( * 3626370 )
NEW met3 ( 204960 3626370 ) M3M4_PR_M
NEW met3 ( 204960 3516850 ) M3M4_PR_M
NEW met2 ( 212400 3516850 ) via2_FR
NEW met1 ( 212400 3500385 ) M1M2_PR
NEW met1 ( 210960 3500385 ) M1M2_PR ;
- mprj_io_ib_mode_sel\[28\] ( padframe mprj_io_ib_mode_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 204000 3410290 ) ( * 3411770 0 )
NEW met3 ( 204000 3311870 ) ( 215280 * )
NEW met2 ( 215280 3283195 ) ( * 3311870 )
NEW met1 ( 210960 3283195 ) ( 215280 * )
NEW met2 ( 210960 3281530 0 ) ( * 3283195 )
NEW met4 ( 204000 3311870 ) ( * 3410290 )
NEW met3 ( 204000 3410290 ) M3M4_PR_M
NEW met3 ( 204000 3311870 ) M3M4_PR_M
NEW met2 ( 215280 3311870 ) via2_FR
NEW met1 ( 215280 3283195 ) M1M2_PR
NEW met1 ( 210960 3283195 ) M1M2_PR ;
- mprj_io_ib_mode_sel\[29\] ( padframe mprj_io_ib_mode_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 203040 3194210 ) ( * 3195690 0 )
NEW met3 ( 203040 3082470 ) ( 211920 * )
NEW met2 ( 211920 3068225 ) ( * 3082470 )
NEW met1 ( 210960 3068225 ) ( 211920 * )
NEW met2 ( 210960 3065605 0 ) ( * 3068225 )
NEW met4 ( 203040 3082470 ) ( * 3194210 )
NEW met3 ( 203040 3194210 ) M3M4_PR_M
NEW met3 ( 203040 3082470 ) M3M4_PR_M
NEW met2 ( 211920 3082470 ) via2_FR
NEW met1 ( 211920 3068225 ) M1M2_PR
NEW met1 ( 210960 3068225 ) M1M2_PR ;
- mprj_io_ib_mode_sel\[2\] ( padframe mprj_io_ib_mode_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 3376080 1054130 ) ( 3376560 * )
NEW met2 ( 3376080 1023420 ) ( * 1054130 )
NEW met2 ( 3376080 1023420 ) ( 3377040 * 0 )
NEW met3 ( 3376560 1088170 ) ( 3376800 * )
NEW met3 ( 3376800 1088170 ) ( * 1088910 0 )
NEW met2 ( 3376560 1054130 ) ( * 1088170 )
NEW met2 ( 3376560 1088170 ) via2_FR ;
- mprj_io_ib_mode_sel\[30\] ( padframe mprj_io_ib_mode_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 203040 2978130 ) ( * 2979980 0 )
NEW met3 ( 203040 2865650 ) ( 212400 * )
NEW met2 ( 212400 2852145 ) ( * 2865650 )
NEW met1 ( 210960 2852145 ) ( 212400 * )
NEW met2 ( 210960 2851590 ) ( * 2852145 )
NEW met2 ( 210480 2851590 ) ( 210960 * )
NEW met4 ( 203040 2865650 ) ( * 2978130 )
NEW met2 ( 210480 2849740 0 ) ( * 2851590 )
NEW met3 ( 203040 2978130 ) M3M4_PR_M
NEW met3 ( 203040 2865650 ) M3M4_PR_M
NEW met2 ( 212400 2865650 ) via2_FR
NEW met1 ( 212400 2852145 ) M1M2_PR
NEW met1 ( 210960 2852145 ) M1M2_PR ;
- mprj_io_ib_mode_sel\[31\] ( padframe mprj_io_ib_mode_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met1 ( 210480 2636065 ) ( 211920 * )
NEW met2 ( 210480 2633660 0 ) ( * 2636065 )
NEW met3 ( 203040 2762050 ) ( * 2764270 0 )
NEW met3 ( 203040 2656230 ) ( 211920 * )
NEW met4 ( 203040 2656230 ) ( * 2762050 )
NEW met2 ( 211920 2636065 ) ( * 2656230 )
NEW met1 ( 211920 2636065 ) M1M2_PR
NEW met1 ( 210480 2636065 ) M1M2_PR
NEW met3 ( 203040 2762050 ) M3M4_PR_M
NEW met3 ( 203040 2656230 ) M3M4_PR_M
NEW met2 ( 211920 2656230 ) via2_FR ;
- mprj_io_ib_mode_sel\[32\] ( padframe mprj_io_ib_mode_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 205920 1997630 ) ( 209040 * )
NEW met2 ( 209040 1995605 0 ) ( * 1997630 )
NEW met3 ( 205920 2124170 ) ( * 2126020 0 )
NEW met4 ( 205920 1997630 ) ( * 2124170 )
NEW met3 ( 205920 1997630 ) M3M4_PR_M
NEW met2 ( 209040 1997630 ) via2_FR
NEW met3 ( 205920 2124170 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[33\] ( padframe mprj_io_ib_mode_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 205920 1782290 ) ( 209040 * )
NEW met2 ( 209040 1779700 0 ) ( * 1782290 )
NEW met3 ( 205920 1908090 ) ( * 1909940 0 )
NEW met4 ( 205920 1782290 ) ( * 1908090 )
NEW met3 ( 205920 1782290 ) M3M4_PR_M
NEW met2 ( 209040 1782290 ) via2_FR
NEW met3 ( 205920 1908090 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[34\] ( padframe mprj_io_ib_mode_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 205920 1566210 ) ( 209040 * )
NEW met2 ( 209040 1563620 0 ) ( * 1566210 )
NEW met3 ( 205920 1692750 ) ( * 1694230 0 )
NEW met4 ( 205920 1566210 ) ( * 1692750 )
NEW met3 ( 205920 1566210 ) M3M4_PR_M
NEW met2 ( 209040 1566210 ) via2_FR
NEW met3 ( 205920 1692750 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[35\] ( padframe mprj_io_ib_mode_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 205920 1350130 ) ( 209040 * )
NEW met2 ( 209040 1347540 0 ) ( * 1350130 )
NEW met3 ( 205920 1476670 ) ( * 1478150 0 )
NEW met4 ( 205920 1350130 ) ( * 1476670 )
NEW met3 ( 205920 1350130 ) M3M4_PR_M
NEW met2 ( 209040 1350130 ) via2_FR
NEW met3 ( 205920 1476670 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[36\] ( padframe mprj_io_ib_mode_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 204960 1260590 ) ( * 1262070 0 )
NEW met3 ( 204960 1133310 ) ( 209040 * )
NEW met2 ( 209040 1131605 0 ) ( * 1133310 )
NEW met4 ( 204960 1133310 ) ( * 1260590 )
NEW met3 ( 204960 1260590 ) M3M4_PR_M
NEW met3 ( 204960 1133310 ) M3M4_PR_M
NEW met2 ( 209040 1133310 ) via2_FR ;
- mprj_io_ib_mode_sel\[37\] ( padframe mprj_io_ib_mode_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 204000 917970 ) ( 209040 * )
NEW met2 ( 209040 915605 0 ) ( * 917970 )
NEW met3 ( 204000 1044510 ) ( * 1045990 0 )
NEW met4 ( 204000 917970 ) ( * 1044510 )
NEW met3 ( 204000 917970 ) M3M4_PR_M
NEW met2 ( 209040 917970 ) via2_FR
NEW met3 ( 204000 1044510 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[3\] ( padframe mprj_io_ib_mode_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 3376080 1249490 ) ( 3376560 * )
NEW met2 ( 3376560 1248750 ) ( * 1249490 )
NEW met2 ( 3376560 1248750 ) ( 3377040 * )
NEW met2 ( 3377040 1248750 ) ( * 1249490 0 )
NEW met3 ( 3375840 1313870 ) ( 3376080 * )
NEW met3 ( 3375840 1313870 ) ( * 1314980 0 )
NEW met2 ( 3376080 1249490 ) ( * 1313870 )
NEW met2 ( 3376080 1313870 ) via2_FR ;
- mprj_io_ib_mode_sel\[4\] ( padframe mprj_io_ib_mode_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met1 ( 3374640 1476855 ) ( 3377520 * )
NEW met2 ( 3377520 1474450 0 ) ( * 1476855 )
NEW met3 ( 3374640 1538830 ) ( 3374880 * )
NEW met3 ( 3374880 1538830 ) ( * 1539940 0 )
NEW met2 ( 3374640 1476855 ) ( * 1538830 )
NEW met1 ( 3374640 1476855 ) M1M2_PR
NEW met1 ( 3377520 1476855 ) M1M2_PR
NEW met2 ( 3374640 1538830 ) via2_FR ;
- mprj_io_ib_mode_sel\[5\] ( padframe mprj_io_ib_mode_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met1 ( 3374640 1706625 ) ( 3375600 * )
NEW met2 ( 3375600 1699410 ) ( * 1706625 )
NEW met2 ( 3375600 1699410 ) ( 3377040 * 0 )
NEW met3 ( 3374640 1763790 ) ( 3374880 * )
NEW met3 ( 3374880 1763790 ) ( * 1765270 0 )
NEW met2 ( 3374640 1706625 ) ( * 1763790 )
NEW met1 ( 3374640 1706625 ) M1M2_PR
NEW met1 ( 3375600 1706625 ) M1M2_PR
NEW met2 ( 3374640 1763790 ) via2_FR ;
- mprj_io_ib_mode_sel\[6\] ( padframe mprj_io_ib_mode_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met2 ( 3377520 1925480 0 ) ( * 1927145 )
NEW met1 ( 3377520 1927145 ) ( * 1927515 )
NEW met1 ( 3376080 1927515 ) ( 3377520 * )
NEW met2 ( 3376080 1927515 ) ( * 1989490 )
NEW met3 ( 3375840 1989490 ) ( 3376080 * )
NEW met3 ( 3375840 1989490 ) ( * 1990970 0 )
NEW met1 ( 3377520 1927145 ) M1M2_PR
NEW met1 ( 3376080 1927515 ) M1M2_PR
NEW met2 ( 3376080 1989490 ) via2_FR ;
- mprj_io_ib_mode_sel\[7\] ( padframe mprj_io_ib_mode_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3371040 2432010 ) ( 3373920 * 0 )
NEW met3 ( 3371040 2810150 ) ( 3377520 * )
NEW met2 ( 3377520 2810150 ) ( * 2811260 0 )
NEW met4 ( 3371040 2432010 ) ( * 2810150 )
NEW met3 ( 3371040 2432010 ) M3M4_PR_M
NEW met3 ( 3371040 2810150 ) M3M4_PR_M
NEW met2 ( 3377520 2810150 ) via2_FR ;
- mprj_io_ib_mode_sel\[8\] ( padframe mprj_io_ib_mode_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3375840 3035850 ) ( 3377520 * )
NEW met2 ( 3377520 3035850 ) ( * 3037330 0 )
NEW met3 ( 3372960 2653270 ) ( 3373920 * )
NEW met3 ( 3373920 2651790 0 ) ( * 2653270 )
NEW met3 ( 3372960 2951490 ) ( 3375840 * )
NEW met4 ( 3372960 2653270 ) ( * 2951490 )
NEW met4 ( 3375840 2951490 ) ( * 3035850 )
NEW met3 ( 3375840 3035850 ) M3M4_PR_M
NEW met2 ( 3377520 3035850 ) via2_FR
NEW met3 ( 3372960 2653270 ) M3M4_PR_M
NEW met3 ( 3372960 2951490 ) M3M4_PR_M
NEW met3 ( 3375840 2951490 ) M3M4_PR_M ;
- mprj_io_ib_mode_sel\[9\] ( padframe mprj_io_ib_mode_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ib_mode_sel ) + USE SIGNAL
+ ROUTED met3 ( 3371040 2876750 ) ( 3373920 * 0 )
NEW met3 ( 3371040 3260810 ) ( 3377520 * )
NEW met2 ( 3377520 3260810 ) ( * 3262290 0 )
NEW met4 ( 3371040 2876750 ) ( * 3260810 )
NEW met3 ( 3371040 2876750 ) M3M4_PR_M
NEW met3 ( 3371040 3260810 ) M3M4_PR_M
NEW met2 ( 3377520 3260810 ) via2_FR ;
- mprj_io_in\[0\] ( padframe mprj_io_in[0] ) ( gpio_control_bidir\[0\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3382560 590150 ) ( 3385200 * )
NEW met2 ( 3385200 590150 ) ( * 638990 )
NEW met3 ( 3385200 638990 ) ( 3385440 * )
NEW met3 ( 3385440 638990 ) ( * 640470 0 )
NEW met2 ( 3378960 504680 0 ) ( * 507270 )
NEW met3 ( 3378960 507270 ) ( 3382560 * )
NEW met4 ( 3382560 507270 ) ( * 590150 )
NEW met3 ( 3382560 590150 ) M3M4_PR_M
NEW met2 ( 3385200 590150 ) via2_FR
NEW met2 ( 3385200 638990 ) via2_FR
NEW met2 ( 3378960 507270 ) via2_FR
NEW met3 ( 3382560 507270 ) M3M4_PR_M ;
- mprj_io_in\[10\] ( padframe mprj_io_in[10] ) ( gpio_control_in\[10\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 3375600 3418245 ) ( 3377520 * )
NEW met2 ( 3377520 3418245 ) ( * 3420650 0 )
NEW met3 ( 3372240 3105410 ) ( 3373920 * 0 )
NEW met1 ( 3372240 3305025 ) ( 3375600 * )
NEW met2 ( 3372240 3105410 ) ( * 3305025 )
NEW met2 ( 3375600 3305025 ) ( * 3418245 )
NEW met1 ( 3375600 3418245 ) M1M2_PR
NEW met1 ( 3377520 3418245 ) M1M2_PR
NEW met2 ( 3372240 3105410 ) via2_FR
NEW met1 ( 3372240 3305025 ) M1M2_PR
NEW met1 ( 3375600 3305025 ) M1M2_PR ;
- mprj_io_in\[11\] ( padframe mprj_io_in[11] ) ( gpio_control_in\[11\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3371760 3567910 ) ( 3372720 * )
NEW met2 ( 3371760 3567910 ) ( * 3643205 )
NEW met1 ( 3371760 3643205 ) ( 3377520 * )
NEW met2 ( 3377520 3643205 ) ( * 3645775 0 )
NEW met3 ( 3372720 3331850 ) ( 3373920 * )
NEW met3 ( 3373920 3330740 0 ) ( * 3331850 )
NEW met2 ( 3372720 3331850 ) ( * 3567910 )
NEW met1 ( 3371760 3643205 ) M1M2_PR
NEW met1 ( 3377520 3643205 ) M1M2_PR
NEW met2 ( 3372720 3331850 ) via2_FR ;
- mprj_io_in\[12\] ( padframe mprj_io_in[12] ) ( gpio_control_in\[12\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 3370800 3868165 ) ( 3377520 * )
NEW met2 ( 3377520 3868165 ) ( * 3870775 0 )
NEW met3 ( 3386400 3556810 0 ) ( * 3558290 )
NEW met1 ( 3370800 3727195 ) ( 3372720 * )
NEW met2 ( 3372720 3640430 ) ( * 3727195 )
NEW met3 ( 3372720 3640430 ) ( 3386400 * )
NEW met2 ( 3370800 3727195 ) ( * 3868165 )
NEW met4 ( 3386400 3558290 ) ( * 3640430 )
NEW met1 ( 3370800 3868165 ) M1M2_PR
NEW met1 ( 3377520 3868165 ) M1M2_PR
NEW met3 ( 3386400 3558290 ) M3M4_PR_M
NEW met1 ( 3370800 3727195 ) M1M2_PR
NEW met1 ( 3372720 3727195 ) M1M2_PR
NEW met2 ( 3372720 3640430 ) via2_FR
NEW met3 ( 3386400 3640430 ) M3M4_PR_M ;
- mprj_io_in\[13\] ( padframe mprj_io_in[13] ) ( gpio_control_in\[13\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3374160 3874270 ) ( 3374640 * )
NEW met3 ( 3374640 3874270 ) ( 3384480 * )
NEW met3 ( 3384480 3781770 0 ) ( * 3783250 )
NEW met4 ( 3384480 3783250 ) ( * 3874270 )
NEW met2 ( 3374160 4310870 ) ( 3374640 * )
NEW met3 ( 3374640 4310870 ) ( 3376080 * )
NEW met2 ( 3376080 4310870 ) ( * 4316790 )
NEW met2 ( 3376080 4316790 ) ( 3377040 * 0 )
NEW met2 ( 3374160 3874270 ) ( * 4310870 )
NEW met2 ( 3374640 3874270 ) via2_FR
NEW met3 ( 3384480 3874270 ) M3M4_PR_M
NEW met3 ( 3384480 3783250 ) M3M4_PR_M
NEW met2 ( 3374640 4310870 ) via2_FR
NEW met2 ( 3376080 4310870 ) via2_FR ;
- mprj_io_in\[14\] ( padframe mprj_io_in[14] ) ( gpio_control_in\[14\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3370320 4673470 ) ( 3373920 * 0 )
NEW met1 ( 3370320 4760235 ) ( 3377520 * )
NEW met2 ( 3377520 4760235 ) ( * 4762640 0 )
NEW met2 ( 3370320 4673470 ) ( * 4760235 )
NEW met2 ( 3370320 4673470 ) via2_FR
NEW met1 ( 3370320 4760235 ) M1M2_PR
NEW met1 ( 3377520 4760235 ) M1M2_PR ;
- mprj_io_in\[15\] ( padframe mprj_io_in[15] ) ( gpio_control_in\[15\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3205200 4969285 ) ( * 4977610 0 )
NEW met2 ( 2808240 4969285 ) ( * 4976870 )
NEW met3 ( 2781600 4976870 ) ( 2808240 * )
NEW met3 ( 2781600 4976870 ) ( * 4979090 0 )
NEW met1 ( 2808240 4969285 ) ( 3205200 * )
NEW met1 ( 3205200 4969285 ) M1M2_PR
NEW met1 ( 2808240 4969285 ) M1M2_PR
NEW met2 ( 2808240 4976870 ) via2_FR ;
- mprj_io_in\[16\] ( padframe mprj_io_in[16] ) ( gpio_control_in\[16\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 2606640 4968915 ) ( * 4977610 )
NEW met3 ( 2524800 4977610 ) ( * 4979090 0 )
NEW met3 ( 2524800 4977610 ) ( 2606640 * )
NEW met2 ( 2694960 4968915 ) ( * 4977610 )
NEW met2 ( 2694960 4977610 ) ( 2696160 * 0 )
NEW met1 ( 2606640 4968915 ) ( 2694960 * )
NEW met1 ( 2606640 4968915 ) M1M2_PR
NEW met2 ( 2606640 4977610 ) via2_FR
NEW met1 ( 2694960 4968915 ) M1M2_PR ;
- mprj_io_in\[17\] ( padframe mprj_io_in[17] ) ( gpio_control_in\[17\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 2439120 4968175 ) ( * 4977610 0 )
NEW met2 ( 2174160 5014055 ) ( * 5025895 )
NEW met1 ( 2174160 5025895 ) ( 2218800 * )
NEW met2 ( 2218800 4968175 ) ( * 5025895 )
NEW met2 ( 2141520 5013870 ) ( * 5014055 )
NEW met3 ( 2139840 5013870 0 ) ( 2141520 * )
NEW met1 ( 2141520 5014055 ) ( 2174160 * )
NEW met1 ( 2218800 4968175 ) ( 2439120 * )
NEW met1 ( 2439120 4968175 ) M1M2_PR
NEW met1 ( 2174160 5014055 ) M1M2_PR
NEW met1 ( 2174160 5025895 ) M1M2_PR
NEW met1 ( 2218800 5025895 ) M1M2_PR
NEW met1 ( 2218800 4968175 ) M1M2_PR
NEW met1 ( 2141520 5014055 ) M1M2_PR
NEW met2 ( 2141520 5013870 ) via2_FR ;
- mprj_io_in\[18\] ( padframe mprj_io_in[18] ) ( gpio_control_in\[18\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 1829040 4968175 ) ( * 4977610 )
NEW met3 ( 1803360 4977610 ) ( 1829040 * )
NEW met3 ( 1803360 4977610 ) ( * 4979090 )
NEW met3 ( 1802400 4979090 0 ) ( 1803360 * )
NEW met2 ( 1994160 4968175 ) ( * 4977610 0 )
NEW met1 ( 1829040 4968175 ) ( 1994160 * )
NEW met1 ( 1829040 4968175 ) M1M2_PR
NEW met2 ( 1829040 4977610 ) via2_FR
NEW met1 ( 1994160 4968175 ) M1M2_PR ;
- mprj_io_in\[19\] ( padframe mprj_io_in[19] ) ( gpio_control_in\[19\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 1549680 4996850 ) ( * 4997035 )
NEW met3 ( 1549680 4996850 ) ( 1550880 * 0 )
NEW met2 ( 1485360 4978350 0 ) ( 1486800 * )
NEW met2 ( 1486800 4978165 ) ( * 4978350 )
NEW met1 ( 1486800 4978165 ) ( 1490160 * )
NEW met2 ( 1490160 4978165 ) ( * 4986490 )
NEW met2 ( 1490160 4986490 ) ( 1490640 * )
NEW met2 ( 1490640 4986490 ) ( * 4997035 )
NEW met1 ( 1490640 4997035 ) ( 1549680 * )
NEW met1 ( 1549680 4997035 ) M1M2_PR
NEW met2 ( 1549680 4996850 ) via2_FR
NEW met1 ( 1486800 4978165 ) M1M2_PR
NEW met1 ( 1490160 4978165 ) M1M2_PR
NEW met1 ( 1490640 4997035 ) M1M2_PR ;
- mprj_io_in\[1\] ( padframe mprj_io_in[1] ) ( gpio_control_bidir\[1\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3378960 730750 0 ) ( * 732970 )
NEW met3 ( 3378960 732970 ) ( 3383520 * )
NEW met3 ( 3383520 806970 ) ( 3384240 * )
NEW met4 ( 3383520 732970 ) ( * 806970 )
NEW met3 ( 3384240 865430 ) ( 3384480 * )
NEW met3 ( 3384480 865430 ) ( * 866910 0 )
NEW met2 ( 3384240 806970 ) ( * 865430 )
NEW met2 ( 3378960 732970 ) via2_FR
NEW met3 ( 3383520 732970 ) M3M4_PR_M
NEW met3 ( 3383520 806970 ) M3M4_PR_M
NEW met2 ( 3384240 806970 ) via2_FR
NEW met2 ( 3384240 865430 ) via2_FR ;
- mprj_io_in\[20\] ( padframe mprj_io_in[20] ) ( gpio_control_in\[20\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 1227120 4977610 0 ) ( 1228560 * )
NEW met2 ( 1228560 4977425 ) ( * 4977610 )
NEW met1 ( 1228560 4977425 ) ( 1233840 * )
NEW met2 ( 1233840 4977425 ) ( * 4997775 )
NEW met2 ( 1291920 4997590 ) ( * 4997775 )
NEW met3 ( 1291920 4997590 ) ( 1292640 * 0 )
NEW met1 ( 1233840 4997775 ) ( 1291920 * )
NEW met1 ( 1228560 4977425 ) M1M2_PR
NEW met1 ( 1233840 4977425 ) M1M2_PR
NEW met1 ( 1233840 4997775 ) M1M2_PR
NEW met1 ( 1291920 4997775 ) M1M2_PR
NEW met2 ( 1291920 4997590 ) via2_FR ;
- mprj_io_in\[21\] ( padframe mprj_io_in[21] ) ( gpio_control_in\[21\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 970320 4978350 0 ) ( 972240 * )
NEW met2 ( 972240 4978350 ) ( * 4978535 )
NEW met1 ( 972240 4978535 ) ( 975600 * )
NEW met2 ( 975600 4978535 ) ( * 4997035 )
NEW met1 ( 975600 4997035 ) ( 1034640 * )
NEW met2 ( 1034640 4996850 ) ( * 4997035 )
NEW met3 ( 1034640 4996850 ) ( 1035840 * 0 )
NEW met1 ( 972240 4978535 ) M1M2_PR
NEW met1 ( 975600 4978535 ) M1M2_PR
NEW met1 ( 975600 4997035 ) M1M2_PR
NEW met1 ( 1034640 4997035 ) M1M2_PR
NEW met2 ( 1034640 4996850 ) via2_FR ;
- mprj_io_in\[22\] ( padframe mprj_io_in[22] ) ( gpio_control_in\[22\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 713280 4978350 0 ) ( 714960 * )
NEW met2 ( 714960 4978165 ) ( * 4978350 )
NEW met1 ( 714960 4978165 ) ( 718800 * )
NEW met2 ( 718800 4978165 ) ( * 4997405 )
NEW met2 ( 777840 4997405 ) ( * 4997590 )
NEW met3 ( 777840 4997590 ) ( 778560 * 0 )
NEW met1 ( 718800 4997405 ) ( 777840 * )
NEW met1 ( 714960 4978165 ) M1M2_PR
NEW met1 ( 718800 4978165 ) M1M2_PR
NEW met1 ( 718800 4997405 ) M1M2_PR
NEW met1 ( 777840 4997405 ) M1M2_PR
NEW met2 ( 777840 4997590 ) via2_FR ;
- mprj_io_in\[23\] ( padframe mprj_io_in[23] ) ( gpio_control_in\[23\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 521760 5078990 0 ) ( * 5083430 )
NEW met3 ( 461520 5083430 ) ( 521760 * )
NEW met2 ( 456240 4979090 0 ) ( 457680 * )
NEW met2 ( 457680 4978905 ) ( * 4979090 )
NEW met1 ( 457680 4978905 ) ( 461520 * )
NEW met2 ( 461520 4978905 ) ( * 5083430 )
NEW met2 ( 461520 5083430 ) via2_FR
NEW met1 ( 457680 4978905 ) M1M2_PR
NEW met1 ( 461520 4978905 ) M1M2_PR ;
- mprj_io_in\[24\] ( padframe mprj_io_in[24] ) ( gpio_control_in\[24\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 210480 4843670 ) ( 210720 * )
NEW met2 ( 210480 4843670 ) ( * 4846260 0 )
NEW met3 ( 210720 4691970 0 ) ( * 4693450 )
NEW met4 ( 210720 4693450 ) ( * 4843670 )
NEW met3 ( 210720 4843670 ) M3M4_PR_M
NEW met2 ( 210480 4843670 ) via2_FR
NEW met3 ( 210720 4693450 ) M3M4_PR_M
NEW met3 ( 210720 4843670 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_in\[25\] ( padframe mprj_io_in[25] ) ( gpio_control_in\[25\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 209520 4061490 ) ( 209760 * )
NEW met3 ( 209760 4061490 ) ( * 4062970 0 )
NEW met1 ( 208560 4001365 ) ( 209520 * )
NEW met1 ( 208560 3999885 ) ( * 4001365 )
NEW met1 ( 208560 3999885 ) ( 209520 * )
NEW met2 ( 209520 3997110 0 ) ( * 3999885 )
NEW met2 ( 209520 4001365 ) ( * 4061490 )
NEW met2 ( 209520 4061490 ) via2_FR
NEW met1 ( 209520 4001365 ) M1M2_PR
NEW met1 ( 209520 3999885 ) M1M2_PR ;
- mprj_io_in\[26\] ( padframe mprj_io_in[26] ) ( gpio_control_in\[26\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 211680 3845410 ) ( 211920 * )
NEW met3 ( 211680 3845410 ) ( * 3846890 0 )
NEW met2 ( 210960 3781225 0 ) ( * 3783805 )
NEW met1 ( 210960 3783805 ) ( 211920 * )
NEW met2 ( 211920 3783805 ) ( * 3845410 )
NEW met2 ( 211920 3845410 ) via2_FR
NEW met1 ( 210960 3783805 ) M1M2_PR
NEW met1 ( 211920 3783805 ) M1M2_PR ;
- mprj_io_in\[27\] ( padframe mprj_io_in[27] ) ( gpio_control_in\[27\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 210480 3565320 0 ) ( * 3567725 )
NEW met1 ( 210480 3567725 ) ( 211920 * )
NEW met2 ( 211920 3567725 ) ( * 3629330 )
NEW met3 ( 211680 3629330 ) ( 211920 * )
NEW met3 ( 211680 3629330 ) ( * 3630810 0 )
NEW met1 ( 210480 3567725 ) M1M2_PR
NEW met1 ( 211920 3567725 ) M1M2_PR
NEW met2 ( 211920 3629330 ) via2_FR ;
- mprj_io_in\[28\] ( padframe mprj_io_in[28] ) ( gpio_control_in\[28\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 211680 3413250 ) ( 211920 * )
NEW met3 ( 211680 3413250 ) ( * 3414730 0 )
NEW met2 ( 210960 3349240 0 ) ( * 3351645 )
NEW met1 ( 210960 3351645 ) ( 211920 * )
NEW met2 ( 211920 3351645 ) ( * 3413250 )
NEW met2 ( 211920 3413250 ) via2_FR
NEW met1 ( 210960 3351645 ) M1M2_PR
NEW met1 ( 211920 3351645 ) M1M2_PR ;
- mprj_io_in\[29\] ( padframe mprj_io_in[29] ) ( gpio_control_in\[29\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 209520 3197170 ) ( 209760 * )
NEW met3 ( 209760 3197170 ) ( * 3198650 0 )
NEW met1 ( 209520 3135935 ) ( * 3137045 )
NEW met2 ( 209520 3133160 0 ) ( * 3135935 )
NEW met2 ( 209520 3137045 ) ( * 3197170 )
NEW met2 ( 209520 3197170 ) via2_FR
NEW met1 ( 209520 3137045 ) M1M2_PR
NEW met1 ( 209520 3135935 ) M1M2_PR ;
- mprj_io_in\[2\] ( padframe mprj_io_in[2] ) ( gpio_control_in\[2\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3383520 1037850 ) ( 3386160 * )
NEW met2 ( 3378960 955710 0 ) ( * 957930 )
NEW met3 ( 3378960 957930 ) ( 3383520 * )
NEW met4 ( 3383520 957930 ) ( * 1037850 )
NEW met3 ( 3386160 1090390 ) ( 3386400 * )
NEW met3 ( 3386400 1090390 ) ( * 1091870 0 )
NEW met2 ( 3386160 1037850 ) ( * 1090390 )
NEW met3 ( 3383520 1037850 ) M3M4_PR_M
NEW met2 ( 3386160 1037850 ) via2_FR
NEW met2 ( 3378960 957930 ) via2_FR
NEW met3 ( 3383520 957930 ) M3M4_PR_M
NEW met2 ( 3386160 1090390 ) via2_FR ;
- mprj_io_in\[30\] ( padframe mprj_io_in[30] ) ( gpio_control_in\[30\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 209520 2981090 ) ( 209760 * )
NEW met3 ( 209760 2981090 ) ( * 2982570 0 )
NEW met1 ( 209520 2919855 ) ( * 2920965 )
NEW met2 ( 209520 2917225 0 ) ( * 2919855 )
NEW met2 ( 209520 2920965 ) ( * 2981090 )
NEW met2 ( 209520 2981090 ) via2_FR
NEW met1 ( 209520 2920965 ) M1M2_PR
NEW met1 ( 209520 2919855 ) M1M2_PR ;
- mprj_io_in\[31\] ( padframe mprj_io_in[31] ) ( gpio_control_in\[31\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212400 2765010 ) ( 212640 * )
NEW met3 ( 212640 2765010 ) ( * 2766490 0 )
NEW met2 ( 210960 2701225 0 ) ( * 2703590 )
NEW met3 ( 210960 2703590 ) ( 212400 * )
NEW met2 ( 212400 2703590 ) ( * 2765010 )
NEW met2 ( 212400 2765010 ) via2_FR
NEW met2 ( 210960 2703590 ) via2_FR
NEW met2 ( 212400 2703590 ) via2_FR ;
- mprj_io_in\[32\] ( padframe mprj_io_in[32] ) ( gpio_control_in\[32\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 209520 2063120 0 ) ( * 2065895 )
NEW met1 ( 209520 2065895 ) ( * 2067005 )
NEW met2 ( 209520 2067005 ) ( * 2127130 )
NEW met3 ( 209520 2127130 ) ( 209760 * )
NEW met3 ( 209760 2127130 ) ( * 2128610 0 )
NEW met1 ( 209520 2065895 ) M1M2_PR
NEW met1 ( 209520 2067005 ) M1M2_PR
NEW met2 ( 209520 2127130 ) via2_FR ;
- mprj_io_in\[33\] ( padframe mprj_io_in[33] ) ( gpio_control_in\[33\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 210960 1847225 0 ) ( * 1849815 )
NEW met1 ( 210960 1849815 ) ( 211920 * )
NEW met2 ( 211920 1849815 ) ( * 1911050 )
NEW met3 ( 211680 1911050 ) ( 211920 * )
NEW met3 ( 211680 1911050 ) ( * 1912530 0 )
NEW met1 ( 210960 1849815 ) M1M2_PR
NEW met1 ( 211920 1849815 ) M1M2_PR
NEW met2 ( 211920 1911050 ) via2_FR ;
- mprj_io_in\[34\] ( padframe mprj_io_in[34] ) ( gpio_control_in\[34\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 209520 1633735 ) ( * 1635215 )
NEW met2 ( 209520 1631330 0 ) ( * 1633735 )
NEW met3 ( 209520 1694970 ) ( 209760 * )
NEW met3 ( 209760 1694970 ) ( * 1696450 0 )
NEW met2 ( 209520 1635215 ) ( * 1694970 )
NEW met1 ( 209520 1635215 ) M1M2_PR
NEW met1 ( 209520 1633735 ) M1M2_PR
NEW met2 ( 209520 1694970 ) via2_FR ;
- mprj_io_in\[35\] ( padframe mprj_io_in[35] ) ( gpio_control_in\[35\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 210000 1417655 ) ( * 1419135 )
NEW met2 ( 210000 1415250 0 ) ( * 1417655 )
NEW met3 ( 209760 1478890 ) ( 210000 * )
NEW met3 ( 209760 1478890 ) ( * 1480740 0 )
NEW met2 ( 210000 1419135 ) ( * 1478890 )
NEW met1 ( 210000 1419135 ) M1M2_PR
NEW met1 ( 210000 1417655 ) M1M2_PR
NEW met2 ( 210000 1478890 ) via2_FR ;
- mprj_io_in\[36\] ( padframe mprj_io_in[36] ) ( gpio_control_in\[36\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 209520 1262810 ) ( 209760 * )
NEW met3 ( 209760 1262810 ) ( * 1264660 0 )
NEW met1 ( 209520 1201945 ) ( * 1203055 )
NEW met2 ( 209520 1199170 0 ) ( * 1201945 )
NEW met2 ( 209520 1203055 ) ( * 1262810 )
NEW met2 ( 209520 1262810 ) via2_FR
NEW met1 ( 209520 1203055 ) M1M2_PR
NEW met1 ( 209520 1201945 ) M1M2_PR ;
- mprj_io_in\[37\] ( padframe mprj_io_in[37] ) ( gpio_control_in\[37\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 210480 1047470 ) ( 210720 * )
NEW met3 ( 210720 1047470 ) ( * 1048950 0 )
NEW met1 ( 210480 985865 ) ( * 986975 )
NEW met2 ( 210480 983090 0 ) ( * 985865 )
NEW met2 ( 210480 986975 ) ( * 1047470 )
NEW met2 ( 210480 1047470 ) via2_FR
NEW met1 ( 210480 986975 ) M1M2_PR
NEW met1 ( 210480 985865 ) M1M2_PR ;
- mprj_io_in\[3\] ( padframe mprj_io_in[3] ) ( gpio_control_in\[3\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3378960 1181780 0 ) ( * 1184370 )
NEW met3 ( 3378960 1184370 ) ( 3379680 * )
NEW met4 ( 3379680 1184370 ) ( * 1258370 )
NEW met3 ( 3379680 1258370 ) ( 3388080 * )
NEW met3 ( 3388080 1316090 ) ( 3388320 * )
NEW met3 ( 3388320 1316090 ) ( * 1317570 0 )
NEW met2 ( 3388080 1258370 ) ( * 1316090 )
NEW met2 ( 3378960 1184370 ) via2_FR
NEW met3 ( 3379680 1184370 ) M3M4_PR_M
NEW met3 ( 3379680 1258370 ) M3M4_PR_M
NEW met2 ( 3388080 1258370 ) via2_FR
NEW met2 ( 3388080 1316090 ) via2_FR ;
- mprj_io_in\[4\] ( padframe mprj_io_in[4] ) ( gpio_control_in\[4\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3378960 1406740 0 ) ( * 1409330 )
NEW met3 ( 3378960 1409330 ) ( 3383520 * )
NEW met3 ( 3383520 1496650 ) ( 3385200 * )
NEW met2 ( 3385200 1496650 ) ( * 1541050 )
NEW met3 ( 3385200 1541050 ) ( 3385440 * )
NEW met3 ( 3385440 1541050 ) ( * 1542530 0 )
NEW met4 ( 3383520 1409330 ) ( * 1496650 )
NEW met2 ( 3378960 1409330 ) via2_FR
NEW met3 ( 3383520 1409330 ) M3M4_PR_M
NEW met3 ( 3383520 1496650 ) M3M4_PR_M
NEW met2 ( 3385200 1496650 ) via2_FR
NEW met2 ( 3385200 1541050 ) via2_FR ;
- mprj_io_in\[5\] ( padframe mprj_io_in[5] ) ( gpio_control_in\[5\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3383520 1726790 ) ( 3385200 * )
NEW met2 ( 3385200 1726790 ) ( * 1766010 )
NEW met3 ( 3385200 1766010 ) ( 3385440 * )
NEW met3 ( 3385440 1766010 ) ( * 1767490 0 )
NEW met2 ( 3378960 1631700 0 ) ( * 1634290 )
NEW met3 ( 3378960 1634290 ) ( 3383520 * )
NEW met4 ( 3383520 1634290 ) ( * 1726790 )
NEW met3 ( 3383520 1726790 ) M3M4_PR_M
NEW met2 ( 3385200 1726790 ) via2_FR
NEW met2 ( 3385200 1766010 ) via2_FR
NEW met2 ( 3378960 1634290 ) via2_FR
NEW met3 ( 3383520 1634290 ) M3M4_PR_M ;
- mprj_io_in\[6\] ( padframe mprj_io_in[6] ) ( gpio_control_in\[6\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3371040 1993930 ) ( 3373920 * 0 )
NEW met3 ( 3371040 1859990 ) ( 3377040 * )
NEW met2 ( 3377040 1857770 0 ) ( * 1859990 )
NEW met4 ( 3371040 1859990 ) ( * 1993930 )
NEW met3 ( 3371040 1993930 ) M3M4_PR_M
NEW met3 ( 3371040 1859990 ) M3M4_PR_M
NEW met2 ( 3377040 1859990 ) via2_FR ;
- mprj_io_in\[7\] ( padframe mprj_io_in[7] ) ( gpio_control_in\[7\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3374880 2435710 ) ( 3375120 * )
NEW met3 ( 3374880 2434970 0 ) ( * 2435710 )
NEW met1 ( 3373200 2498425 ) ( 3375120 * )
NEW met2 ( 3375120 2435710 ) ( * 2498425 )
NEW met2 ( 3372720 2682130 ) ( 3373200 * )
NEW met2 ( 3372720 2682130 ) ( * 2741145 )
NEW met1 ( 3372720 2741145 ) ( 3377520 * )
NEW met2 ( 3377520 2741145 ) ( * 2743775 0 )
NEW met2 ( 3373200 2498425 ) ( * 2682130 )
NEW met2 ( 3375120 2435710 ) via2_FR
NEW met1 ( 3373200 2498425 ) M1M2_PR
NEW met1 ( 3375120 2498425 ) M1M2_PR
NEW met1 ( 3372720 2741145 ) M1M2_PR
NEW met1 ( 3377520 2741145 ) M1M2_PR ;
- mprj_io_in\[8\] ( padframe mprj_io_in[8] ) ( gpio_control_in\[8\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3378960 2967030 ) ( * 2969775 0 )
NEW met3 ( 3378960 2967030 ) ( 3379680 * )
NEW met3 ( 3379680 2654750 0 ) ( * 2656230 )
NEW met4 ( 3379680 2656230 ) ( * 2967030 )
NEW met2 ( 3378960 2967030 ) via2_FR
NEW met3 ( 3379680 2967030 ) M3M4_PR_M
NEW met3 ( 3379680 2656230 ) M3M4_PR_M ;
- mprj_io_in\[9\] ( padframe mprj_io_in[9] ) ( gpio_control_in\[9\] pad_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3378960 3192730 ) ( * 3194775 0 )
NEW met3 ( 3378960 3192730 ) ( 3382560 * )
NEW met3 ( 3375840 2950010 ) ( 3382560 * )
NEW met4 ( 3375840 2881190 ) ( * 2950010 )
NEW met3 ( 3375840 2879710 0 ) ( * 2881190 )
NEW met4 ( 3382560 2950010 ) ( * 3192730 )
NEW met2 ( 3378960 3192730 ) via2_FR
NEW met3 ( 3382560 3192730 ) M3M4_PR_M
NEW met3 ( 3382560 2950010 ) M3M4_PR_M
NEW met3 ( 3375840 2950010 ) M3M4_PR_M
NEW met3 ( 3375840 2881190 ) M3M4_PR_M ;
- mprj_io_inp_dis\[0\] ( padframe mprj_io_inp_dis[0] ) ( gpio_control_bidir\[0\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3370080 643430 ) ( 3373920 * 0 )
NEW met3 ( 3370080 540570 ) ( 3377040 * )
NEW met2 ( 3377040 538350 0 ) ( * 540570 )
NEW met4 ( 3370080 540570 ) ( * 643430 )
NEW met3 ( 3370080 643430 ) M3M4_PR_M
NEW met3 ( 3370080 540570 ) M3M4_PR_M
NEW met2 ( 3377040 540570 ) via2_FR ;
- mprj_io_inp_dis\[10\] ( padframe mprj_io_inp_dis[10] ) ( gpio_control_in\[10\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 3375120 3404925 ) ( 3376080 * )
NEW met2 ( 3375120 3404925 ) ( * 3419355 )
NEW met1 ( 3375120 3419355 ) ( * 3420465 )
NEW met2 ( 3375120 3420465 ) ( * 3453395 )
NEW met1 ( 3375120 3453395 ) ( 3377520 * )
NEW met2 ( 3377520 3453395 ) ( * 3454320 0 )
NEW met3 ( 3374640 3109850 ) ( 3374880 * )
NEW met3 ( 3374880 3108370 0 ) ( * 3109850 )
NEW met1 ( 3373200 3226215 ) ( 3374640 * )
NEW met2 ( 3373200 3226215 ) ( * 3276165 )
NEW met1 ( 3373200 3276165 ) ( 3376080 * )
NEW met2 ( 3374640 3109850 ) ( * 3226215 )
NEW met2 ( 3376080 3276165 ) ( * 3404925 )
NEW met1 ( 3376080 3404925 ) M1M2_PR
NEW met1 ( 3375120 3404925 ) M1M2_PR
NEW met1 ( 3375120 3419355 ) M1M2_PR
NEW met1 ( 3375120 3420465 ) M1M2_PR
NEW met1 ( 3375120 3453395 ) M1M2_PR
NEW met1 ( 3377520 3453395 ) M1M2_PR
NEW met2 ( 3374640 3109850 ) via2_FR
NEW met1 ( 3374640 3226215 ) M1M2_PR
NEW met1 ( 3373200 3226215 ) M1M2_PR
NEW met1 ( 3373200 3276165 ) M1M2_PR
NEW met1 ( 3376080 3276165 ) M1M2_PR ;
- mprj_io_inp_dis\[11\] ( padframe mprj_io_inp_dis[11] ) ( gpio_control_in\[11\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 3371280 3643575 ) ( * 3644685 )
NEW met3 ( 3371280 3333330 ) ( 3373920 * 0 )
NEW met2 ( 3371280 3333330 ) ( * 3643575 )
NEW met1 ( 3371280 3677245 ) ( 3377520 * )
NEW met2 ( 3377520 3677245 ) ( * 3679280 0 )
NEW met2 ( 3371280 3644685 ) ( * 3677245 )
NEW met1 ( 3371280 3643575 ) M1M2_PR
NEW met1 ( 3371280 3644685 ) M1M2_PR
NEW met2 ( 3371280 3333330 ) via2_FR
NEW met1 ( 3371280 3677245 ) M1M2_PR
NEW met1 ( 3377520 3677245 ) M1M2_PR ;
- mprj_io_inp_dis\[12\] ( padframe mprj_io_inp_dis[12] ) ( gpio_control_in\[12\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3378960 3903130 ) ( 3382560 * )
NEW met2 ( 3378960 3903130 ) ( * 3904240 0 )
NEW met3 ( 3382560 3559400 0 ) ( * 3561250 )
NEW met4 ( 3382560 3561250 ) ( * 3903130 )
NEW met3 ( 3382560 3903130 ) M3M4_PR_M
NEW met2 ( 3378960 3903130 ) via2_FR
NEW met3 ( 3382560 3561250 ) M3M4_PR_M ;
- mprj_io_inp_dis\[13\] ( padframe mprj_io_inp_dis[13] ) ( gpio_control_in\[13\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3375840 3784360 0 ) ( * 3786210 )
NEW met3 ( 3375840 4349350 ) ( 3377040 * )
NEW met2 ( 3377040 4349350 ) ( * 4350460 0 )
NEW met4 ( 3375840 3786210 ) ( * 4349350 )
NEW met3 ( 3375840 3786210 ) M3M4_PR_M
NEW met3 ( 3375840 4349350 ) M3M4_PR_M
NEW met2 ( 3377040 4349350 ) via2_FR ;
- mprj_io_inp_dis\[14\] ( padframe mprj_io_inp_dis[14] ) ( gpio_control_in\[14\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3376800 4676430 0 ) ( * 4677910 )
NEW met3 ( 3376800 4795570 ) ( 3377520 * )
NEW met2 ( 3377520 4795570 ) ( * 4796310 0 )
NEW met4 ( 3376800 4677910 ) ( * 4795570 )
NEW met3 ( 3376800 4677910 ) M3M4_PR_M
NEW met3 ( 3376800 4795570 ) M3M4_PR_M
NEW met2 ( 3377520 4795570 ) via2_FR ;
- mprj_io_inp_dis\[15\] ( padframe mprj_io_inp_dis[15] ) ( gpio_control_in\[15\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 2869200 4978350 ) ( * 4995555 )
NEW met2 ( 3170160 4978350 ) ( 3171600 * 0 )
NEW met3 ( 2869200 4978350 ) ( 3170160 * )
NEW met2 ( 2786160 4995370 ) ( * 4995555 )
NEW met3 ( 2784480 4995370 0 ) ( 2786160 * )
NEW met1 ( 2786160 4995555 ) ( 2869200 * )
NEW met1 ( 2869200 4995555 ) M1M2_PR
NEW met2 ( 2869200 4978350 ) via2_FR
NEW met2 ( 3170160 4978350 ) via2_FR
NEW met1 ( 2786160 4995555 ) M1M2_PR
NEW met2 ( 2786160 4995370 ) via2_FR ;
- mprj_io_inp_dis\[16\] ( padframe mprj_io_inp_dis[16] ) ( gpio_control_in\[16\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 2606160 4979090 ) ( * 4982605 )
NEW met2 ( 2528400 4982605 ) ( * 4982790 )
NEW met3 ( 2527200 4982790 0 ) ( 2528400 * )
NEW met1 ( 2528400 4982605 ) ( 2606160 * )
NEW met2 ( 2661360 4979090 ) ( 2662560 * 0 )
NEW met3 ( 2606160 4979090 ) ( 2661360 * )
NEW met2 ( 2606160 4979090 ) via2_FR
NEW met1 ( 2606160 4982605 ) M1M2_PR
NEW met1 ( 2528400 4982605 ) M1M2_PR
NEW met2 ( 2528400 4982790 ) via2_FR
NEW met2 ( 2661360 4979090 ) via2_FR ;
- mprj_io_inp_dis\[17\] ( padframe mprj_io_inp_dis[17] ) ( gpio_control_in\[17\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 2405520 4975390 ) ( * 4977610 0 )
NEW met2 ( 2360880 4975390 ) ( * 5027745 )
NEW met3 ( 2360880 4975390 ) ( 2405520 * )
NEW met2 ( 2173680 5014425 ) ( * 5027745 )
NEW met2 ( 2143920 5014425 ) ( * 5014610 )
NEW met3 ( 2142240 5014610 0 ) ( 2143920 * )
NEW met1 ( 2143920 5014425 ) ( 2173680 * )
NEW met1 ( 2173680 5027745 ) ( 2360880 * )
NEW met2 ( 2405520 4975390 ) via2_FR
NEW met1 ( 2360880 5027745 ) M1M2_PR
NEW met2 ( 2360880 4975390 ) via2_FR
NEW met1 ( 2173680 5014425 ) M1M2_PR
NEW met1 ( 2173680 5027745 ) M1M2_PR
NEW met1 ( 2143920 5014425 ) M1M2_PR
NEW met2 ( 2143920 5014610 ) via2_FR ;
- mprj_io_inp_dis\[18\] ( padframe mprj_io_inp_dis[18] ) ( gpio_control_in\[18\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 1806480 5012945 ) ( * 5013130 )
NEW met3 ( 1805280 5013130 0 ) ( 1806480 * )
NEW met2 ( 1960560 4968545 ) ( * 4977610 0 )
NEW met2 ( 1844400 4993335 ) ( * 5012945 )
NEW met1 ( 1844400 4993335 ) ( 1872720 * )
NEW met2 ( 1872720 4968545 ) ( * 4993335 )
NEW met1 ( 1806480 5012945 ) ( 1844400 * )
NEW met1 ( 1872720 4968545 ) ( 1960560 * )
NEW met1 ( 1806480 5012945 ) M1M2_PR
NEW met2 ( 1806480 5013130 ) via2_FR
NEW met1 ( 1960560 4968545 ) M1M2_PR
NEW met1 ( 1844400 5012945 ) M1M2_PR
NEW met1 ( 1844400 4993335 ) M1M2_PR
NEW met1 ( 1872720 4993335 ) M1M2_PR
NEW met1 ( 1872720 4968545 ) M1M2_PR ;
- mprj_io_inp_dis\[19\] ( padframe mprj_io_inp_dis[19] ) ( gpio_control_in\[19\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 1552560 4997405 ) ( * 4997590 )
NEW met3 ( 1552560 4997590 ) ( 1553280 * 0 )
NEW met2 ( 1451760 4979090 0 ) ( 1452720 * )
NEW met3 ( 1452720 4979090 ) ( 1492080 * )
NEW met2 ( 1492080 4979090 ) ( * 4997405 )
NEW met1 ( 1492080 4997405 ) ( 1552560 * )
NEW met1 ( 1552560 4997405 ) M1M2_PR
NEW met2 ( 1552560 4997590 ) via2_FR
NEW met2 ( 1452720 4979090 ) via2_FR
NEW met2 ( 1492080 4979090 ) via2_FR
NEW met1 ( 1492080 4997405 ) M1M2_PR ;
- mprj_io_inp_dis\[1\] ( padframe mprj_io_inp_dis[1] ) ( gpio_control_bidir\[1\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 3370320 766825 ) ( 3377520 * )
NEW met2 ( 3377520 764420 0 ) ( * 766825 )
NEW met3 ( 3370320 869130 ) ( 3373920 * 0 )
NEW met2 ( 3370320 766825 ) ( * 869130 )
NEW met1 ( 3370320 766825 ) M1M2_PR
NEW met1 ( 3377520 766825 ) M1M2_PR
NEW met2 ( 3370320 869130 ) via2_FR ;
- mprj_io_inp_dis\[20\] ( padframe mprj_io_inp_dis[20] ) ( gpio_control_in\[20\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 1193520 4977610 0 ) ( 1194960 * )
NEW met2 ( 1194960 4976870 ) ( * 4977610 )
NEW met3 ( 1194960 4976870 ) ( 1235280 * )
NEW met2 ( 1235280 4976870 ) ( * 4998145 )
NEW met2 ( 1294320 4998145 ) ( * 4998330 )
NEW met3 ( 1294320 4998330 ) ( 1295520 * 0 )
NEW met1 ( 1235280 4998145 ) ( 1294320 * )
NEW met2 ( 1194960 4976870 ) via2_FR
NEW met2 ( 1235280 4976870 ) via2_FR
NEW met1 ( 1235280 4998145 ) M1M2_PR
NEW met1 ( 1294320 4998145 ) M1M2_PR
NEW met2 ( 1294320 4998330 ) via2_FR ;
- mprj_io_inp_dis\[21\] ( padframe mprj_io_inp_dis[21] ) ( gpio_control_in\[21\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 1037040 4971690 ) ( * 4979830 )
NEW met3 ( 1037040 4979830 ) ( 1038240 * 0 )
NEW met2 ( 936720 4971690 ) ( * 4977610 0 )
NEW met3 ( 936720 4971690 ) ( 1037040 * )
NEW met2 ( 1037040 4971690 ) via2_FR
NEW met2 ( 1037040 4979830 ) via2_FR
NEW met2 ( 936720 4971690 ) via2_FR ;
- mprj_io_inp_dis\[22\] ( padframe mprj_io_inp_dis[22] ) ( gpio_control_in\[22\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 679680 4979090 0 ) ( 680880 * )
NEW met3 ( 680880 4979090 ) ( 720720 * )
NEW met2 ( 720720 4979090 ) ( * 4982605 )
NEW met2 ( 780240 4982605 ) ( * 4982790 )
NEW met3 ( 780240 4982790 ) ( 781440 * 0 )
NEW met1 ( 720720 4982605 ) ( 780240 * )
NEW met2 ( 680880 4979090 ) via2_FR
NEW met2 ( 720720 4979090 ) via2_FR
NEW met1 ( 720720 4982605 ) M1M2_PR
NEW met1 ( 780240 4982605 ) M1M2_PR
NEW met2 ( 780240 4982790 ) via2_FR ;
- mprj_io_inp_dis\[23\] ( padframe mprj_io_inp_dis[23] ) ( gpio_control_in\[23\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 422640 4968730 ) ( * 4977610 0 )
NEW met2 ( 522480 4968730 ) ( * 4979090 )
NEW met3 ( 522480 4979090 ) ( 524640 * 0 )
NEW met3 ( 422640 4968730 ) ( 522480 * )
NEW met2 ( 422640 4968730 ) via2_FR
NEW met2 ( 522480 4968730 ) via2_FR
NEW met2 ( 522480 4979090 ) via2_FR ;
- mprj_io_inp_dis\[24\] ( padframe mprj_io_inp_dis[24] ) ( gpio_control_in\[24\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 202080 4810370 ) ( 209520 * )
NEW met2 ( 209520 4810370 ) ( * 4812590 0 )
NEW met3 ( 202080 4694560 0 ) ( * 4695670 )
NEW met4 ( 202080 4695670 ) ( * 4810370 )
NEW met3 ( 202080 4810370 ) M3M4_PR_M
NEW met2 ( 209520 4810370 ) via2_FR
NEW met3 ( 202080 4695670 ) M3M4_PR_M ;
- mprj_io_inp_dis\[25\] ( padframe mprj_io_inp_dis[25] ) ( gpio_control_in\[25\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 210000 4012095 ) ( 214800 * )
NEW met2 ( 214800 3965845 ) ( * 4012095 )
NEW met1 ( 210960 3965845 ) ( 214800 * )
NEW met2 ( 210960 3963645 0 ) ( * 3965845 )
NEW met3 ( 209760 4063710 ) ( 210000 * )
NEW met3 ( 209760 4063710 ) ( * 4065190 0 )
NEW met2 ( 210000 4012095 ) ( * 4063710 )
NEW met1 ( 210000 4012095 ) M1M2_PR
NEW met1 ( 214800 4012095 ) M1M2_PR
NEW met1 ( 214800 3965845 ) M1M2_PR
NEW met1 ( 210960 3965845 ) M1M2_PR
NEW met2 ( 210000 4063710 ) via2_FR ;
- mprj_io_inp_dis\[26\] ( padframe mprj_io_inp_dis[26] ) ( gpio_control_in\[26\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 208560 3786395 ) ( 217680 * )
NEW met2 ( 208560 3786395 ) ( * 3847630 )
NEW met3 ( 208560 3847630 ) ( 208800 * )
NEW met3 ( 208800 3847630 ) ( * 3849480 0 )
NEW met2 ( 210480 3747730 0 ) ( * 3749025 )
NEW met1 ( 210480 3749025 ) ( 214320 * )
NEW met2 ( 214320 3749025 ) ( * 3758275 )
NEW met1 ( 214320 3758275 ) ( 217680 * )
NEW met2 ( 217680 3758275 ) ( * 3786395 )
NEW met1 ( 217680 3786395 ) M1M2_PR
NEW met1 ( 208560 3786395 ) M1M2_PR
NEW met2 ( 208560 3847630 ) via2_FR
NEW met1 ( 210480 3749025 ) M1M2_PR
NEW met1 ( 214320 3749025 ) M1M2_PR
NEW met1 ( 214320 3758275 ) M1M2_PR
NEW met1 ( 217680 3758275 ) M1M2_PR ;
- mprj_io_inp_dis\[27\] ( padframe mprj_io_inp_dis[27] ) ( gpio_control_in\[27\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 215280 3557735 ) ( * 3558475 )
NEW met1 ( 215280 3558475 ) ( 217200 * )
NEW met2 ( 217200 3558475 ) ( * 3569945 )
NEW met1 ( 209040 3569945 ) ( 217200 * )
NEW met2 ( 209040 3569945 ) ( * 3631550 )
NEW met3 ( 208800 3631550 ) ( 209040 * )
NEW met3 ( 208800 3631550 ) ( * 3633400 0 )
NEW met2 ( 210960 3531650 0 ) ( * 3532945 )
NEW met1 ( 210960 3532945 ) ( 215760 * )
NEW met2 ( 215760 3532945 ) ( * 3542010 )
NEW met2 ( 215760 3542010 ) ( 216240 * )
NEW met2 ( 216240 3542010 ) ( * 3555145 )
NEW met1 ( 215280 3555145 ) ( 216240 * )
NEW met2 ( 215280 3555145 ) ( * 3557735 )
NEW met1 ( 215280 3557735 ) M1M2_PR
NEW met1 ( 217200 3558475 ) M1M2_PR
NEW met1 ( 217200 3569945 ) M1M2_PR
NEW met1 ( 209040 3569945 ) M1M2_PR
NEW met2 ( 209040 3631550 ) via2_FR
NEW met1 ( 210960 3532945 ) M1M2_PR
NEW met1 ( 215760 3532945 ) M1M2_PR
NEW met1 ( 216240 3555145 ) M1M2_PR
NEW met1 ( 215280 3555145 ) M1M2_PR ;
- mprj_io_inp_dis\[28\] ( padframe mprj_io_inp_dis[28] ) ( gpio_control_in\[28\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 210960 3316865 ) ( 215280 * )
NEW met2 ( 210960 3315570 0 ) ( * 3316865 )
NEW met3 ( 215280 3353310 ) ( 215520 * )
NEW met4 ( 215520 3353310 ) ( * 3415470 )
NEW met3 ( 212640 3415470 ) ( 215520 * )
NEW met3 ( 212640 3415470 ) ( * 3417690 0 )
NEW met2 ( 215280 3316865 ) ( * 3353310 )
NEW met1 ( 215280 3316865 ) M1M2_PR
NEW met1 ( 210960 3316865 ) M1M2_PR
NEW met2 ( 215280 3353310 ) via2_FR
NEW met3 ( 215520 3353310 ) M3M4_PR_M
NEW met3 ( 215520 3415470 ) M3M4_PR_M
NEW met3 ( 215280 3353310 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_inp_dis\[29\] ( padframe mprj_io_inp_dis[29] ) ( gpio_control_in\[29\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 207840 3200130 ) ( 208080 * )
NEW met3 ( 207840 3200130 ) ( * 3201610 0 )
NEW met1 ( 208080 3138525 ) ( 215760 * )
NEW met2 ( 215760 3101895 ) ( * 3138525 )
NEW met1 ( 210960 3101895 ) ( 215760 * )
NEW met2 ( 210960 3099645 0 ) ( * 3101895 )
NEW met2 ( 208080 3138525 ) ( * 3200130 )
NEW met2 ( 208080 3200130 ) via2_FR
NEW met1 ( 208080 3138525 ) M1M2_PR
NEW met1 ( 215760 3138525 ) M1M2_PR
NEW met1 ( 215760 3101895 ) M1M2_PR
NEW met1 ( 210960 3101895 ) M1M2_PR ;
- mprj_io_inp_dis\[2\] ( padframe mprj_io_inp_dis[2] ) ( gpio_control_in\[2\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 3378960 989380 0 ) ( * 991970 )
NEW met3 ( 3378720 991970 ) ( 3378960 * )
NEW met4 ( 3378720 991970 ) ( * 1038590 )
NEW met3 ( 3378720 1038590 ) ( 3385680 * )
NEW met3 ( 3385440 1093350 ) ( 3385680 * )
NEW met3 ( 3385440 1093350 ) ( * 1094460 0 )
NEW met2 ( 3385680 1038590 ) ( * 1093350 )
NEW met2 ( 3378960 991970 ) via2_FR
NEW met3 ( 3378720 991970 ) M3M4_PR_M
NEW met3 ( 3378720 1038590 ) M3M4_PR_M
NEW met2 ( 3385680 1038590 ) via2_FR
NEW met2 ( 3385680 1093350 ) via2_FR
NEW met3 ( 3378960 991970 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_inp_dis\[30\] ( padframe mprj_io_inp_dis[30] ) ( gpio_control_in\[30\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 208800 2984050 ) ( 209040 * )
NEW met3 ( 208800 2984050 ) ( * 2985530 0 )
NEW met1 ( 209040 2921335 ) ( 214800 * )
NEW met2 ( 214800 2885815 ) ( * 2921335 )
NEW met1 ( 210480 2885815 ) ( 214800 * )
NEW met2 ( 210480 2883780 0 ) ( * 2885815 )
NEW met2 ( 209040 2921335 ) ( * 2984050 )
NEW met2 ( 209040 2984050 ) via2_FR
NEW met1 ( 209040 2921335 ) M1M2_PR
NEW met1 ( 214800 2921335 ) M1M2_PR
NEW met1 ( 214800 2885815 ) M1M2_PR
NEW met1 ( 210480 2885815 ) M1M2_PR ;
- mprj_io_inp_dis\[31\] ( padframe mprj_io_inp_dis[31] ) ( gpio_control_in\[31\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met2 ( 210480 2667700 0 ) ( * 2668625 )
NEW met1 ( 210480 2668625 ) ( 215280 * )
NEW met2 ( 215280 2668625 ) ( * 2678430 )
NEW met3 ( 214560 2678430 ) ( 215280 * )
NEW met4 ( 214560 2678430 ) ( * 2708030 )
NEW met3 ( 214560 2708030 ) ( 214800 * )
NEW met3 ( 212640 2769450 0 ) ( 214800 * )
NEW met2 ( 214800 2708030 ) ( * 2769450 )
NEW met1 ( 210480 2668625 ) M1M2_PR
NEW met1 ( 215280 2668625 ) M1M2_PR
NEW met2 ( 215280 2678430 ) via2_FR
NEW met3 ( 214560 2678430 ) M3M4_PR_M
NEW met3 ( 214560 2708030 ) M3M4_PR_M
NEW met2 ( 214800 2708030 ) via2_FR
NEW met2 ( 214800 2769450 ) via2_FR
NEW met3 ( 214560 2708030 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_inp_dis\[32\] ( padframe mprj_io_inp_dis[32] ) ( gpio_control_in\[32\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 207840 2030190 ) ( 209040 * )
NEW met2 ( 209040 2029645 0 ) ( * 2030190 )
NEW met3 ( 207840 2130090 ) ( * 2131570 0 )
NEW met4 ( 207840 2030190 ) ( * 2130090 )
NEW met3 ( 207840 2030190 ) M3M4_PR_M
NEW met2 ( 209040 2030190 ) via2_FR
NEW met3 ( 207840 2130090 ) M3M4_PR_M ;
- mprj_io_inp_dis\[33\] ( padframe mprj_io_inp_dis[33] ) ( gpio_control_in\[33\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 207840 1814110 ) ( 209040 * )
NEW met2 ( 209040 1813740 0 ) ( * 1814110 )
NEW met3 ( 207840 1914010 ) ( * 1915490 0 )
NEW met4 ( 207840 1814110 ) ( * 1914010 )
NEW met3 ( 207840 1814110 ) M3M4_PR_M
NEW met2 ( 209040 1814110 ) via2_FR
NEW met3 ( 207840 1914010 ) M3M4_PR_M ;
- mprj_io_inp_dis\[34\] ( padframe mprj_io_inp_dis[34] ) ( gpio_control_in\[34\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 207840 1598770 ) ( 209040 * )
NEW met2 ( 209040 1597660 0 ) ( * 1598770 )
NEW met3 ( 207840 1697930 ) ( * 1699410 0 )
NEW met4 ( 207840 1598770 ) ( * 1697930 )
NEW met3 ( 207840 1598770 ) M3M4_PR_M
NEW met2 ( 209040 1598770 ) via2_FR
NEW met3 ( 207840 1697930 ) M3M4_PR_M ;
- mprj_io_inp_dis\[35\] ( padframe mprj_io_inp_dis[35] ) ( gpio_control_in\[35\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 207840 1382690 ) ( 209040 * )
NEW met2 ( 209040 1381580 0 ) ( * 1382690 )
NEW met3 ( 207840 1482590 ) ( * 1483330 0 )
NEW met4 ( 207840 1382690 ) ( * 1482590 )
NEW met3 ( 207840 1382690 ) M3M4_PR_M
NEW met2 ( 209040 1382690 ) via2_FR
NEW met3 ( 207840 1482590 ) M3M4_PR_M ;
- mprj_io_inp_dis\[36\] ( padframe mprj_io_inp_dis[36] ) ( gpio_control_in\[36\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 205920 1266510 ) ( * 1267250 0 )
NEW met3 ( 205920 1166610 ) ( 209040 * )
NEW met2 ( 209040 1165645 0 ) ( * 1166610 )
NEW met4 ( 205920 1166610 ) ( * 1266510 )
NEW met3 ( 205920 1266510 ) M3M4_PR_M
NEW met3 ( 205920 1166610 ) M3M4_PR_M
NEW met2 ( 209040 1166610 ) via2_FR ;
- mprj_io_inp_dis\[37\] ( padframe mprj_io_inp_dis[37] ) ( gpio_control_in\[37\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 207840 950530 ) ( 209040 * )
NEW met2 ( 209040 949645 0 ) ( * 950530 )
NEW met3 ( 207840 1049690 ) ( * 1051170 0 )
NEW met4 ( 207840 950530 ) ( * 1049690 )
NEW met3 ( 207840 950530 ) M3M4_PR_M
NEW met2 ( 209040 950530 ) via2_FR
NEW met3 ( 207840 1049690 ) M3M4_PR_M ;
- mprj_io_inp_dis\[3\] ( padframe mprj_io_inp_dis[3] ) ( gpio_control_in\[3\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3371040 1217670 ) ( 3377520 * )
NEW met2 ( 3377520 1215450 0 ) ( * 1217670 )
NEW met3 ( 3371040 1320530 ) ( 3373920 * 0 )
NEW met4 ( 3371040 1217670 ) ( * 1320530 )
NEW met3 ( 3371040 1217670 ) M3M4_PR_M
NEW met2 ( 3377520 1217670 ) via2_FR
NEW met3 ( 3371040 1320530 ) M3M4_PR_M ;
- mprj_io_inp_dis\[4\] ( padframe mprj_io_inp_dis[4] ) ( gpio_control_in\[4\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met1 ( 3375120 1442815 ) ( 3377520 * )
NEW met2 ( 3377520 1440410 0 ) ( * 1442815 )
NEW met3 ( 3374880 1544010 ) ( 3375120 * )
NEW met3 ( 3374880 1544010 ) ( * 1545490 0 )
NEW met2 ( 3375120 1442815 ) ( * 1544010 )
NEW met1 ( 3375120 1442815 ) M1M2_PR
NEW met1 ( 3377520 1442815 ) M1M2_PR
NEW met2 ( 3375120 1544010 ) via2_FR ;
- mprj_io_inp_dis\[5\] ( padframe mprj_io_inp_dis[5] ) ( gpio_control_in\[5\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3369840 1770450 ) ( 3373920 * 0 )
NEW met1 ( 3369840 1667775 ) ( 3377040 * )
NEW met2 ( 3377040 1665370 0 ) ( * 1667775 )
NEW met2 ( 3369840 1667775 ) ( * 1770450 )
NEW met2 ( 3369840 1770450 ) via2_FR
NEW met1 ( 3369840 1667775 ) M1M2_PR
NEW met1 ( 3377040 1667775 ) M1M2_PR ;
- mprj_io_inp_dis\[6\] ( padframe mprj_io_inp_dis[6] ) ( gpio_control_in\[6\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3372000 1996150 ) ( 3373920 * 0 )
NEW met3 ( 3372000 1894030 ) ( 3377520 * )
NEW met2 ( 3377520 1891440 0 ) ( * 1894030 )
NEW met4 ( 3372000 1894030 ) ( * 1996150 )
NEW met3 ( 3372000 1996150 ) M3M4_PR_M
NEW met3 ( 3372000 1894030 ) M3M4_PR_M
NEW met2 ( 3377520 1894030 ) via2_FR ;
- mprj_io_inp_dis\[7\] ( padframe mprj_io_inp_dis[7] ) ( gpio_control_in\[7\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3372240 2437190 ) ( 3373920 * 0 )
NEW met1 ( 3372240 2776295 ) ( 3377520 * )
NEW met2 ( 3377520 2776295 ) ( * 2777220 0 )
NEW met2 ( 3372240 2437190 ) ( * 2776295 )
NEW met2 ( 3372240 2437190 ) via2_FR
NEW met1 ( 3372240 2776295 ) M1M2_PR
NEW met1 ( 3377520 2776295 ) M1M2_PR ;
- mprj_io_inp_dis\[8\] ( padframe mprj_io_inp_dis[8] ) ( gpio_control_in\[8\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3373200 2952230 ) ( 3378720 * )
NEW met2 ( 3373200 2952230 ) ( * 3001995 )
NEW met1 ( 3373200 3001995 ) ( 3377520 * )
NEW met2 ( 3377520 3001995 ) ( * 3003290 0 )
NEW met3 ( 3378720 2657710 0 ) ( * 2659190 )
NEW met4 ( 3378720 2659190 ) ( * 2952230 )
NEW met3 ( 3378720 2952230 ) M3M4_PR_M
NEW met2 ( 3373200 2952230 ) via2_FR
NEW met1 ( 3373200 3001995 ) M1M2_PR
NEW met1 ( 3377520 3001995 ) M1M2_PR
NEW met3 ( 3378720 2659190 ) M3M4_PR_M ;
- mprj_io_inp_dis\[9\] ( padframe mprj_io_inp_dis[9] ) ( gpio_control_in\[9\] pad_gpio_inenb ) + USE SIGNAL
+ ROUTED met3 ( 3378960 3226770 ) ( 3381600 * )
NEW met2 ( 3378960 3226770 ) ( * 3228250 0 )
NEW met3 ( 3381600 2882670 0 ) ( * 2884150 )
NEW met4 ( 3381600 2884150 ) ( * 3226770 )
NEW met3 ( 3381600 3226770 ) M3M4_PR_M
NEW met2 ( 3378960 3226770 ) via2_FR
NEW met3 ( 3381600 2884150 ) M3M4_PR_M ;
- mprj_io_loader_clock ( soc mprj_io_loader_clock ) ( gpio_control_in\[9\] serial_clock ) ( gpio_control_in\[8\] serial_clock ) ( gpio_control_in\[7\] serial_clock ) ( gpio_control_in\[6\] serial_clock ) ( gpio_control_in\[5\] serial_clock ) ( gpio_control_in\[4\] serial_clock )
( gpio_control_in\[3\] serial_clock ) ( gpio_control_in\[37\] serial_clock ) ( gpio_control_in\[36\] serial_clock ) ( gpio_control_in\[35\] serial_clock ) ( gpio_control_in\[34\] serial_clock ) ( gpio_control_in\[33\] serial_clock ) ( gpio_control_in\[32\] serial_clock ) ( gpio_control_in\[31\] serial_clock )
( gpio_control_in\[30\] serial_clock ) ( gpio_control_in\[2\] serial_clock ) ( gpio_control_in\[29\] serial_clock ) ( gpio_control_in\[28\] serial_clock ) ( gpio_control_in\[27\] serial_clock ) ( gpio_control_in\[26\] serial_clock ) ( gpio_control_in\[25\] serial_clock ) ( gpio_control_in\[24\] serial_clock )
( gpio_control_in\[23\] serial_clock ) ( gpio_control_in\[22\] serial_clock ) ( gpio_control_in\[21\] serial_clock ) ( gpio_control_in\[20\] serial_clock ) ( gpio_control_in\[19\] serial_clock ) ( gpio_control_in\[18\] serial_clock ) ( gpio_control_in\[17\] serial_clock ) ( gpio_control_in\[16\] serial_clock )
( gpio_control_in\[15\] serial_clock ) ( gpio_control_in\[14\] serial_clock ) ( gpio_control_in\[13\] serial_clock ) ( gpio_control_in\[12\] serial_clock ) ( gpio_control_in\[11\] serial_clock ) ( gpio_control_in\[10\] serial_clock ) ( gpio_control_bidir\[1\] serial_clock ) ( gpio_control_bidir\[0\] serial_clock ) + USE SIGNAL
+ ROUTED met3 ( 202080 3863910 ) ( * 3865760 0 )
NEW met3 ( 204960 3865760 0 ) ( * 3866870 )
NEW met3 ( 202080 3865760 0 ) ( 204960 * 0 )
NEW met3 ( 212640 2147850 0 ) ( 214320 * )
NEW met2 ( 214320 2147850 ) ( * 2148035 )
NEW met1 ( 214320 2148035 ) ( 218160 * )
NEW met3 ( 202080 2146370 ) ( * 2147850 0 )
NEW met3 ( 202080 2147850 0 ) ( 212640 * 0 )
NEW met3 ( 212640 2784250 ) ( * 2785730 0 )
NEW met3 ( 212640 2784250 ) ( 218160 * )
NEW met2 ( 218160 2784250 ) ( 219120 * )
NEW met3 ( 1053360 4979830 ) ( 1054560 * 0 )
NEW met2 ( 1053360 4979830 ) ( * 4980015 )
NEW met1 ( 1053360 4980015 ) ( * 4980755 )
NEW met2 ( 3290160 4680685 ) ( * 4932655 )
NEW met3 ( 3385440 659710 0 ) ( * 661190 )
NEW met3 ( 3385440 1786730 0 ) ( * 1788210 )
NEW met2 ( 3384240 4680685 ) ( * 4691230 )
NEW met3 ( 3384240 4691230 ) ( 3384480 * )
NEW met3 ( 3384480 4691230 ) ( * 4692710 0 )
NEW met1 ( 3290160 4680685 ) ( 3384240 * )
NEW met3 ( 539760 4979090 ) ( 540960 * 0 )
NEW met2 ( 539760 4932655 ) ( * 4979090 )
NEW met2 ( 539760 4979090 ) ( * 4980755 )
NEW met1 ( 222960 4932655 ) ( 539760 * )
NEW met2 ( 892560 4980015 ) ( * 4980755 )
NEW met2 ( 2354160 4980385 ) ( * 5040325 )
NEW met3 ( 2542800 4980570 ) ( 2543520 * 0 )
NEW met2 ( 2542800 4980385 ) ( * 4980570 )
NEW met1 ( 2542800 4980015 ) ( * 4980385 )
NEW met1 ( 2354160 4980385 ) ( 2542800 * )
NEW met3 ( 3385440 1110740 0 ) ( * 1112590 )
NEW met3 ( 3385440 1335330 ) ( * 1336810 0 )
NEW met4 ( 3385440 1112590 ) ( * 1335330 )
NEW met3 ( 3385440 1560290 ) ( * 1561770 0 )
NEW met4 ( 3385440 1335330 ) ( * 1560290 )
NEW met4 ( 3385440 1560290 ) ( * 1788210 )
NEW met3 ( 3384480 3780290 ) ( 3384720 * )
NEW met2 ( 3384720 3780290 ) ( * 3798790 )
NEW met3 ( 3384480 3798790 ) ( 3384720 * )
NEW met3 ( 3384480 3798790 ) ( * 3801010 0 )
NEW met3 ( 212640 3001810 0 ) ( 219120 * )
NEW met3 ( 212640 3217890 0 ) ( 219120 * )
NEW met3 ( 210720 3235650 ) ( 219120 * )
NEW met2 ( 219120 3217890 ) ( * 3235650 )
NEW met3 ( 210720 3432490 ) ( * 3433970 0 )
NEW met3 ( 203040 3433970 0 ) ( * 3434710 )
NEW met3 ( 203040 3433970 0 ) ( 210720 * 0 )
NEW met3 ( 203040 3647830 ) ( * 3649680 0 )
NEW met4 ( 202080 3648015 ) ( 203040 * )
NEW met4 ( 203040 3647830 ) ( * 3648015 )
NEW met3 ( 186960 4010430 ) ( 204960 * )
NEW met4 ( 204960 3866870 ) ( * 4010430 )
NEW met1 ( 199920 4665515 ) ( 200880 * )
NEW met2 ( 798960 4980570 ) ( * 4980755 )
NEW met3 ( 797760 4980570 0 ) ( 798960 * )
NEW met1 ( 539760 4980755 ) ( 798960 * )
NEW met1 ( 798960 4980755 ) ( 892560 * )
NEW met1 ( 892560 4980015 ) ( 1053360 * )
NEW met2 ( 1568880 4985565 ) ( * 4985750 )
NEW met3 ( 1568880 4985750 ) ( 1569600 * 0 )
NEW met2 ( 1568880 4985750 ) ( * 4996665 )
NEW met2 ( 1822800 4995925 ) ( * 4996110 )
NEW met3 ( 1821600 4996110 0 ) ( 1822800 * )
NEW met2 ( 1822800 4988155 ) ( * 4995925 )
NEW met3 ( 2158560 5011650 0 ) ( 2159760 * )
NEW met2 ( 2159760 5011650 ) ( * 5011835 )
NEW met1 ( 2159760 5011835 ) ( 2175120 * )
NEW met2 ( 2175120 5011835 ) ( * 5040325 )
NEW met2 ( 2175120 4980385 ) ( * 5011835 )
NEW met1 ( 2801040 4978165 ) ( 2822640 * )
NEW met2 ( 2801040 4978165 ) ( * 4978350 )
NEW met3 ( 2800800 4978350 ) ( 2801040 * )
NEW met3 ( 2800800 4978350 ) ( * 4979090 0 )
NEW met2 ( 2801040 4978350 ) ( * 4980015 )
NEW met2 ( 2822640 4932655 ) ( * 4978165 )
NEW met3 ( 3388320 2012800 0 ) ( * 2013910 )
NEW met1 ( 3367440 2002625 ) ( 3386640 * )
NEW met2 ( 3386640 2002625 ) ( * 2011690 )
NEW met3 ( 3386640 2011690 ) ( 3388320 * )
NEW met3 ( 3388320 2011690 ) ( * 2012800 0 )
NEW met3 ( 3387360 3574570 ) ( * 3575680 0 )
NEW met3 ( 3384480 3577530 ) ( 3387360 * )
NEW met3 ( 3387360 3575680 0 ) ( * 3577530 )
NEW met3 ( 212640 1067450 0 ) ( * 1068190 )
NEW met3 ( 212640 1068190 ) ( 217200 * )
NEW met3 ( 202080 1498870 ) ( * 1499610 0 )
NEW met3 ( 202080 1714950 ) ( * 1715690 0 )
NEW met4 ( 202080 1498870 ) ( * 1714950 )
NEW met3 ( 202080 1930290 ) ( * 1931770 0 )
NEW met4 ( 202080 1714950 ) ( * 1930290 )
NEW met4 ( 202080 1930290 ) ( * 2146370 )
NEW met2 ( 218160 2148035 ) ( * 2784250 )
NEW met2 ( 219120 3001810 ) ( * 3217890 )
NEW met4 ( 210720 3235650 ) ( * 3432490 )
NEW met4 ( 203040 3434710 ) ( * 3647830 )
NEW met4 ( 202080 3648015 ) ( * 3863910 )
NEW met3 ( 201120 4081470 0 ) ( * 4083690 )
NEW met3 ( 201120 4083690 ) ( 201360 * )
NEW met1 ( 186960 4074995 ) ( 201360 * )
NEW met2 ( 201360 4074995 ) ( * 4083690 )
NEW met2 ( 186960 4010430 ) ( * 4074995 )
NEW met3 ( 212640 4710840 0 ) ( 213360 * )
NEW met2 ( 213360 4710840 ) ( * 4711025 )
NEW met1 ( 213360 4711025 ) ( 222960 * )
NEW met1 ( 199920 4711025 ) ( 213360 * )
NEW met2 ( 199920 4665515 ) ( * 4711025 )
NEW met2 ( 222960 4711025 ) ( * 4932655 )
NEW met3 ( 3385440 885780 0 ) ( * 886890 )
NEW met4 ( 3385440 661190 ) ( * 886890 )
NEW met4 ( 3385440 886890 ) ( * 1112590 )
NEW met3 ( 3367440 1865170 ) ( 3385440 * )
NEW met2 ( 3367440 1865170 ) ( * 2002625 )
NEW met4 ( 3385440 1788210 ) ( * 1865170 )
NEW met3 ( 3388320 2452730 ) ( * 2453470 0 )
NEW met3 ( 3386160 2455690 ) ( 3388320 * )
NEW met3 ( 3388320 2453470 0 ) ( * 2455690 )
NEW met4 ( 3388320 2013910 ) ( * 2452730 )
NEW met3 ( 3386160 2672510 ) ( 3386400 * )
NEW met3 ( 3386400 2672510 ) ( * 2673990 0 )
NEW met3 ( 3386400 2673990 0 ) ( * 2675470 )
NEW met2 ( 3386160 2455690 ) ( * 2672510 )
NEW met3 ( 3386400 2897470 ) ( * 2898950 0 )
NEW met1 ( 3359760 2943905 ) ( 3384720 * )
NEW met2 ( 3384720 2900430 ) ( * 2943905 )
NEW met3 ( 3384720 2900430 ) ( 3386400 * )
NEW met3 ( 3386400 2898950 0 ) ( * 2900430 )
NEW met4 ( 3386400 2675470 ) ( * 2897470 )
NEW met3 ( 3387360 3124650 0 ) ( * 3125945 )
NEW met1 ( 3359760 3111145 ) ( 3386160 * )
NEW met2 ( 3386160 3111145 ) ( * 3123170 )
NEW met3 ( 3386160 3123170 ) ( 3387360 * )
NEW met3 ( 3387360 3123170 ) ( * 3124650 0 )
NEW met2 ( 3359760 2943905 ) ( * 3111145 )
NEW met3 ( 3387360 3349610 0 ) ( * 3351090 )
NEW met4 ( 3387360 3125945 ) ( * 3351090 )
NEW met4 ( 3387360 3351090 ) ( * 3574570 )
NEW met2 ( 1490640 4985565 ) ( * 4985750 )
NEW met1 ( 1490640 4985565 ) ( 1568880 * )
NEW met2 ( 1655760 4988155 ) ( * 4996665 )
NEW met1 ( 1568880 4996665 ) ( 1655760 * )
NEW met1 ( 1655760 4988155 ) ( 1822800 * )
NEW met2 ( 1901040 4980385 ) ( * 4995925 )
NEW met1 ( 1822800 4995925 ) ( 1901040 * )
NEW met1 ( 1901040 4980385 ) ( 2175120 * )
NEW met1 ( 2175120 5040325 ) ( 2354160 * )
NEW met2 ( 2526960 1167350 ) ( 2528160 * 0 )
NEW met2 ( 2526960 1167350 ) ( * 1230435 )
NEW met3 ( 2526960 1188070 ) ( 3385440 * )
NEW met1 ( 2542800 4980015 ) ( 2801040 * )
NEW met1 ( 2822640 4932655 ) ( 3290160 * )
NEW met1 ( 217200 1213785 ) ( 231120 * )
NEW met2 ( 231120 1213785 ) ( * 1230435 )
NEW met3 ( 212640 1283530 0 ) ( 231120 * )
NEW met2 ( 231120 1230435 ) ( * 1283530 )
NEW met3 ( 202080 1283530 0 ) ( * 1285010 )
NEW met3 ( 202080 1283530 0 ) ( 212640 * 0 )
NEW met4 ( 202080 1285010 ) ( * 1498870 )
NEW met2 ( 217200 1068190 ) ( * 1213785 )
NEW met2 ( 854640 1230065 ) ( * 1230805 )
NEW met1 ( 200400 4463865 ) ( * 4464235 )
NEW met1 ( 200400 4464235 ) ( 201360 * )
NEW met2 ( 302640 1230250 ) ( * 1230435 )
NEW met3 ( 302640 1230250 ) ( 331440 * )
NEW met2 ( 331440 1230250 ) ( * 1230435 )
NEW met1 ( 231120 1230435 ) ( 302640 * )
NEW met2 ( 705840 1229325 ) ( * 1230065 )
NEW met1 ( 705840 1229325 ) ( 755760 * )
NEW met2 ( 755760 1229325 ) ( * 1230065 )
NEW met1 ( 755760 1230065 ) ( 806160 * )
NEW met1 ( 806160 1230065 ) ( * 1230805 )
NEW met1 ( 806160 1230805 ) ( 854640 * )
NEW met2 ( 907440 1230065 ) ( * 1230250 )
NEW met2 ( 907440 1230250 ) ( 907920 * )
NEW met2 ( 907920 1230250 ) ( * 1230435 )
NEW met1 ( 854640 1230065 ) ( 907440 * )
NEW met2 ( 1522320 1230435 ) ( * 1232285 )
NEW met1 ( 1522320 1232285 ) ( 1562160 * )
NEW met2 ( 1562160 1230435 ) ( * 1232285 )
NEW met2 ( 1713840 1223035 ) ( * 1230435 )
NEW met1 ( 1562160 1230435 ) ( 1713840 * )
NEW met4 ( 3384480 3664850 ) ( 3386400 * )
NEW met4 ( 3386400 3664850 ) ( * 3722570 )
NEW met3 ( 3384480 3722570 ) ( 3386400 * )
NEW met4 ( 3384480 3577530 ) ( * 3664850 )
NEW met4 ( 3384480 3722570 ) ( * 3780290 )
NEW met1 ( 200400 4162685 ) ( 201360 * )
NEW met1 ( 201360 4161205 ) ( * 4162685 )
NEW met2 ( 201360 4083690 ) ( * 4161205 )
NEW met1 ( 200400 4435005 ) ( 200880 * )
NEW met2 ( 200880 4363595 ) ( * 4435005 )
NEW met1 ( 200880 4362485 ) ( * 4363595 )
NEW met2 ( 200400 4435005 ) ( * 4463865 )
NEW met3 ( 200880 4636470 ) ( 201120 * )
NEW met3 ( 201120 4635730 ) ( * 4636470 )
NEW met3 ( 199440 4635730 ) ( 201120 * )
NEW met2 ( 199440 4536015 ) ( * 4635730 )
NEW met1 ( 199440 4536015 ) ( 201360 * )
NEW met2 ( 200880 4636470 ) ( * 4665515 )
NEW met2 ( 201360 4464235 ) ( * 4536015 )
NEW met2 ( 1310640 4980755 ) ( * 4981310 )
NEW met3 ( 1310640 4981310 ) ( 1311840 * 0 )
NEW met2 ( 1401360 4981125 ) ( * 4985750 )
NEW met1 ( 1310640 4981125 ) ( 1401360 * )
NEW met1 ( 1310640 4980755 ) ( * 4981125 )
NEW met1 ( 1053360 4980755 ) ( 1310640 * )
NEW met3 ( 1401360 4985750 ) ( 1490640 * )
NEW met3 ( 219120 2850850 ) ( 219360 * )
NEW met3 ( 219360 2850850 ) ( * 2851590 )
NEW met3 ( 219120 2851590 ) ( 219360 * )
NEW met2 ( 219120 2784250 ) ( * 2850850 )
NEW met2 ( 219120 2851590 ) ( * 3001810 )
NEW met1 ( 199440 4219295 ) ( 200400 * )
NEW met2 ( 199440 4219295 ) ( * 4319935 )
NEW met1 ( 199440 4319935 ) ( 200880 * )
NEW met2 ( 200400 4162685 ) ( * 4219295 )
NEW met2 ( 200880 4319935 ) ( * 4362485 )
NEW met2 ( 389040 1229325 ) ( * 1230435 )
NEW met1 ( 389040 1229325 ) ( 489360 * )
NEW met2 ( 489360 1229325 ) ( * 1230065 )
NEW met1 ( 331440 1230435 ) ( 389040 * )
NEW met2 ( 590640 1230065 ) ( * 1230805 )
NEW met1 ( 590640 1230805 ) ( 640560 * )
NEW met2 ( 640560 1230065 ) ( * 1230805 )
NEW met1 ( 489360 1230065 ) ( 590640 * )
NEW met1 ( 640560 1230065 ) ( 705840 * )
NEW met2 ( 1029360 1229695 ) ( * 1230435 )
NEW met1 ( 1029360 1229695 ) ( 1079760 * )
NEW met1 ( 1079760 1229695 ) ( * 1230435 )
NEW met1 ( 907920 1230435 ) ( 1029360 * )
NEW met1 ( 1216560 1229695 ) ( * 1230435 )
NEW met2 ( 1838640 1223035 ) ( * 1230065 )
NEW met1 ( 1713840 1223035 ) ( 1838640 * )
NEW met2 ( 1080240 1228215 ) ( * 1230435 )
NEW met1 ( 1080240 1228215 ) ( 1180560 * )
NEW met2 ( 1180560 1228215 ) ( * 1229695 )
NEW met1 ( 1079760 1230435 ) ( 1080240 * )
NEW met1 ( 1180560 1229695 ) ( 1216560 * )
NEW met1 ( 1945200 1230065 ) ( * 1230435 )
NEW met1 ( 1838640 1230065 ) ( 1945200 * )
NEW met1 ( 1945200 1230435 ) ( 2526960 * )
NEW met2 ( 1429680 1223775 ) ( * 1230435 )
NEW met1 ( 1429680 1223775 ) ( 1463760 * )
NEW met2 ( 1463760 1223775 ) ( * 1230435 )
NEW met1 ( 1463760 1230435 ) ( 1522320 * )
NEW met2 ( 1332720 1223035 ) ( * 1230435 )
NEW met1 ( 1332720 1223035 ) ( 1341840 * )
NEW met1 ( 1341840 1223035 ) ( * 1223405 )
NEW met1 ( 1341840 1223405 ) ( 1389840 * )
NEW met2 ( 1389840 1223405 ) ( * 1224330 )
NEW met2 ( 1389840 1224330 ) ( 1390320 * )
NEW met2 ( 1390320 1224330 ) ( * 1225810 )
NEW met2 ( 1390320 1225810 ) ( 1393680 * )
NEW met2 ( 1393680 1225810 ) ( * 1230435 )
NEW met1 ( 1216560 1230435 ) ( 1332720 * )
NEW met1 ( 1393680 1230435 ) ( 1429680 * )
NEW met3 ( 202080 3863910 ) M3M4_PR_M
NEW met3 ( 204960 3866870 ) M3M4_PR_M
NEW met1 ( 3290160 4680685 ) M1M2_PR
NEW met2 ( 214320 2147850 ) via2_FR
NEW met1 ( 214320 2148035 ) M1M2_PR
NEW met1 ( 218160 2148035 ) M1M2_PR
NEW met3 ( 202080 2146370 ) M3M4_PR_M
NEW met2 ( 218160 2784250 ) via2_FR
NEW met1 ( 222960 4932655 ) M1M2_PR
NEW met2 ( 1053360 4979830 ) via2_FR
NEW met1 ( 1053360 4980015 ) M1M2_PR
NEW met1 ( 2822640 4932655 ) M1M2_PR
NEW met1 ( 3290160 4932655 ) M1M2_PR
NEW met1 ( 2354160 5040325 ) M1M2_PR
NEW met3 ( 3385440 661190 ) M3M4_PR_M
NEW met3 ( 3385440 1188070 ) M3M4_PR_M
NEW met3 ( 3385440 1788210 ) M3M4_PR_M
NEW met1 ( 3384240 4680685 ) M1M2_PR
NEW met2 ( 3384240 4691230 ) via2_FR
NEW met2 ( 539760 4979090 ) via2_FR
NEW met1 ( 539760 4932655 ) M1M2_PR
NEW met1 ( 539760 4980755 ) M1M2_PR
NEW met1 ( 892560 4980755 ) M1M2_PR
NEW met1 ( 892560 4980015 ) M1M2_PR
NEW met1 ( 2354160 4980385 ) M1M2_PR
NEW met2 ( 2542800 4980570 ) via2_FR
NEW met1 ( 2542800 4980385 ) M1M2_PR
NEW met3 ( 3385440 1112590 ) M3M4_PR_M
NEW met3 ( 3385440 1335330 ) M3M4_PR_M
NEW met3 ( 3385440 1560290 ) M3M4_PR_M
NEW met3 ( 3384480 3780290 ) M3M4_PR_M
NEW met2 ( 3384720 3780290 ) via2_FR
NEW met2 ( 3384720 3798790 ) via2_FR
NEW met2 ( 219120 3001810 ) via2_FR
NEW met2 ( 219120 3217890 ) via2_FR
NEW met3 ( 210720 3235650 ) M3M4_PR_M
NEW met2 ( 219120 3235650 ) via2_FR
NEW met3 ( 210720 3432490 ) M3M4_PR_M
NEW met3 ( 203040 3434710 ) M3M4_PR_M
NEW met3 ( 203040 3647830 ) M3M4_PR_M
NEW met2 ( 186960 4010430 ) via2_FR
NEW met3 ( 204960 4010430 ) M3M4_PR_M
NEW met1 ( 199920 4665515 ) M1M2_PR
NEW met1 ( 200880 4665515 ) M1M2_PR
NEW met1 ( 798960 4980755 ) M1M2_PR
NEW met2 ( 798960 4980570 ) via2_FR
NEW met1 ( 1568880 4985565 ) M1M2_PR
NEW met2 ( 1568880 4985750 ) via2_FR
NEW met1 ( 1568880 4996665 ) M1M2_PR
NEW met1 ( 1822800 4995925 ) M1M2_PR
NEW met2 ( 1822800 4996110 ) via2_FR
NEW met1 ( 1822800 4988155 ) M1M2_PR
NEW met2 ( 2159760 5011650 ) via2_FR
NEW met1 ( 2159760 5011835 ) M1M2_PR
NEW met1 ( 2175120 5011835 ) M1M2_PR
NEW met1 ( 2175120 5040325 ) M1M2_PR
NEW met1 ( 2175120 4980385 ) M1M2_PR
NEW met1 ( 2822640 4978165 ) M1M2_PR
NEW met1 ( 2801040 4978165 ) M1M2_PR
NEW met2 ( 2801040 4978350 ) via2_FR
NEW met1 ( 2801040 4980015 ) M1M2_PR
NEW met3 ( 3388320 2013910 ) M3M4_PR_M
NEW met1 ( 3367440 2002625 ) M1M2_PR
NEW met1 ( 3386640 2002625 ) M1M2_PR
NEW met2 ( 3386640 2011690 ) via2_FR
NEW met3 ( 3387360 3574570 ) M3M4_PR_M
NEW met3 ( 3384480 3577530 ) M3M4_PR_M
NEW met2 ( 217200 1068190 ) via2_FR
NEW met3 ( 202080 1498870 ) M3M4_PR_M
NEW met3 ( 202080 1714950 ) M3M4_PR_M
NEW met3 ( 202080 1930290 ) M3M4_PR_M
NEW met2 ( 201360 4083690 ) via2_FR
NEW met1 ( 186960 4074995 ) M1M2_PR
NEW met1 ( 201360 4074995 ) M1M2_PR
NEW met2 ( 213360 4710840 ) via2_FR
NEW met1 ( 213360 4711025 ) M1M2_PR
NEW met1 ( 222960 4711025 ) M1M2_PR
NEW met1 ( 199920 4711025 ) M1M2_PR
NEW met3 ( 3385440 886890 ) M3M4_PR_M
NEW met2 ( 3367440 1865170 ) via2_FR
NEW met3 ( 3385440 1865170 ) M3M4_PR_M
NEW met3 ( 3388320 2452730 ) M3M4_PR_M
NEW met2 ( 3386160 2455690 ) via2_FR
NEW met2 ( 3386160 2672510 ) via2_FR
NEW met3 ( 3386400 2675470 ) M3M4_PR_M
NEW met3 ( 3386400 2897470 ) M3M4_PR_M
NEW met1 ( 3359760 2943905 ) M1M2_PR
NEW met1 ( 3384720 2943905 ) M1M2_PR
NEW met2 ( 3384720 2900430 ) via2_FR
NEW met3 ( 3387360 3125945 ) M3M4_PR_M
NEW met1 ( 3359760 3111145 ) M1M2_PR
NEW met1 ( 3386160 3111145 ) M1M2_PR
NEW met2 ( 3386160 3123170 ) via2_FR
NEW met3 ( 3387360 3351090 ) M3M4_PR_M
NEW met1 ( 1490640 4985565 ) M1M2_PR
NEW met2 ( 1490640 4985750 ) via2_FR
NEW met1 ( 1655760 4996665 ) M1M2_PR
NEW met1 ( 1655760 4988155 ) M1M2_PR
NEW met1 ( 1901040 4995925 ) M1M2_PR
NEW met1 ( 1901040 4980385 ) M1M2_PR
NEW met1 ( 2526960 1230435 ) M1M2_PR
NEW met2 ( 2526960 1188070 ) via2_FR
NEW met1 ( 217200 1213785 ) M1M2_PR
NEW met1 ( 231120 1213785 ) M1M2_PR
NEW met1 ( 231120 1230435 ) M1M2_PR
NEW met2 ( 231120 1283530 ) via2_FR
NEW met3 ( 202080 1285010 ) M3M4_PR_M
NEW met1 ( 854640 1230805 ) M1M2_PR
NEW met1 ( 854640 1230065 ) M1M2_PR
NEW met1 ( 200400 4463865 ) M1M2_PR
NEW met1 ( 201360 4464235 ) M1M2_PR
NEW met1 ( 302640 1230435 ) M1M2_PR
NEW met2 ( 302640 1230250 ) via2_FR
NEW met2 ( 331440 1230250 ) via2_FR
NEW met1 ( 331440 1230435 ) M1M2_PR
NEW met1 ( 705840 1230065 ) M1M2_PR
NEW met1 ( 705840 1229325 ) M1M2_PR
NEW met1 ( 755760 1229325 ) M1M2_PR
NEW met1 ( 755760 1230065 ) M1M2_PR
NEW met1 ( 907440 1230065 ) M1M2_PR
NEW met1 ( 907920 1230435 ) M1M2_PR
NEW met1 ( 1522320 1230435 ) M1M2_PR
NEW met1 ( 1522320 1232285 ) M1M2_PR
NEW met1 ( 1562160 1232285 ) M1M2_PR
NEW met1 ( 1562160 1230435 ) M1M2_PR
NEW met1 ( 1713840 1230435 ) M1M2_PR
NEW met1 ( 1713840 1223035 ) M1M2_PR
NEW met3 ( 3386400 3722570 ) M3M4_PR_M
NEW met3 ( 3384480 3722570 ) M3M4_PR_M
NEW met1 ( 200400 4162685 ) M1M2_PR
NEW met1 ( 201360 4161205 ) M1M2_PR
NEW met1 ( 200400 4435005 ) M1M2_PR
NEW met1 ( 200880 4435005 ) M1M2_PR
NEW met1 ( 200880 4363595 ) M1M2_PR
NEW met1 ( 200880 4362485 ) M1M2_PR
NEW met2 ( 200880 4636470 ) via2_FR
NEW met2 ( 199440 4635730 ) via2_FR
NEW met1 ( 199440 4536015 ) M1M2_PR
NEW met1 ( 201360 4536015 ) M1M2_PR
NEW met1 ( 1310640 4980755 ) M1M2_PR
NEW met2 ( 1310640 4981310 ) via2_FR
NEW met2 ( 1401360 4985750 ) via2_FR
NEW met1 ( 1401360 4981125 ) M1M2_PR
NEW met2 ( 219120 2850850 ) via2_FR
NEW met2 ( 219120 2851590 ) via2_FR
NEW met1 ( 200400 4219295 ) M1M2_PR
NEW met1 ( 199440 4219295 ) M1M2_PR
NEW met1 ( 199440 4319935 ) M1M2_PR
NEW met1 ( 200880 4319935 ) M1M2_PR
NEW met1 ( 389040 1230435 ) M1M2_PR
NEW met1 ( 389040 1229325 ) M1M2_PR
NEW met1 ( 489360 1229325 ) M1M2_PR
NEW met1 ( 489360 1230065 ) M1M2_PR
NEW met1 ( 590640 1230065 ) M1M2_PR
NEW met1 ( 590640 1230805 ) M1M2_PR
NEW met1 ( 640560 1230805 ) M1M2_PR
NEW met1 ( 640560 1230065 ) M1M2_PR
NEW met1 ( 1029360 1230435 ) M1M2_PR
NEW met1 ( 1029360 1229695 ) M1M2_PR
NEW met1 ( 1838640 1223035 ) M1M2_PR
NEW met1 ( 1838640 1230065 ) M1M2_PR
NEW met1 ( 1080240 1230435 ) M1M2_PR
NEW met1 ( 1080240 1228215 ) M1M2_PR
NEW met1 ( 1180560 1228215 ) M1M2_PR
NEW met1 ( 1180560 1229695 ) M1M2_PR
NEW met1 ( 1429680 1230435 ) M1M2_PR
NEW met1 ( 1429680 1223775 ) M1M2_PR
NEW met1 ( 1463760 1223775 ) M1M2_PR
NEW met1 ( 1463760 1230435 ) M1M2_PR
NEW met1 ( 1332720 1230435 ) M1M2_PR
NEW met1 ( 1332720 1223035 ) M1M2_PR
NEW met1 ( 1389840 1223405 ) M1M2_PR
NEW met1 ( 1393680 1230435 ) M1M2_PR
NEW met4 ( 3385440 1188070 ) RECT ( -150 -800 150 0 )
NEW met3 ( 3384480 3780290 ) RECT ( -380 -150 0 150 )
NEW met2 ( 2526960 1188070 ) RECT ( -70 -485 70 0 ) ;
- mprj_io_loader_resetn ( soc mprj_io_loader_resetn ) ( gpio_control_in\[9\] resetn ) ( gpio_control_in\[8\] resetn ) ( gpio_control_in\[7\] resetn ) ( gpio_control_in\[6\] resetn ) ( gpio_control_in\[5\] resetn ) ( gpio_control_in\[4\] resetn )
( gpio_control_in\[3\] resetn ) ( gpio_control_in\[37\] resetn ) ( gpio_control_in\[36\] resetn ) ( gpio_control_in\[35\] resetn ) ( gpio_control_in\[34\] resetn ) ( gpio_control_in\[33\] resetn ) ( gpio_control_in\[32\] resetn ) ( gpio_control_in\[31\] resetn )
( gpio_control_in\[30\] resetn ) ( gpio_control_in\[2\] resetn ) ( gpio_control_in\[29\] resetn ) ( gpio_control_in\[28\] resetn ) ( gpio_control_in\[27\] resetn ) ( gpio_control_in\[26\] resetn ) ( gpio_control_in\[25\] resetn ) ( gpio_control_in\[24\] resetn )
( gpio_control_in\[23\] resetn ) ( gpio_control_in\[22\] resetn ) ( gpio_control_in\[21\] resetn ) ( gpio_control_in\[20\] resetn ) ( gpio_control_in\[19\] resetn ) ( gpio_control_in\[18\] resetn ) ( gpio_control_in\[17\] resetn ) ( gpio_control_in\[16\] resetn )
( gpio_control_in\[15\] resetn ) ( gpio_control_in\[14\] resetn ) ( gpio_control_in\[13\] resetn ) ( gpio_control_in\[12\] resetn ) ( gpio_control_in\[11\] resetn ) ( gpio_control_in\[10\] resetn ) ( gpio_control_bidir\[1\] resetn ) ( gpio_control_bidir\[0\] resetn ) + USE SIGNAL
+ ROUTED met3 ( 3387360 1782290 ) ( * 1783770 0 )
NEW met4 ( 3386400 1783215 ) ( 3387360 * )
NEW met4 ( 3387360 1782290 ) ( * 1783215 )
NEW met3 ( 211680 1279830 ) ( * 1281310 0 )
NEW met3 ( 210960 1279830 ) ( 211680 * )
NEW met3 ( 201120 2802750 ) ( 217680 * )
NEW met3 ( 203040 3861690 ) ( * 3863170 0 )
NEW met4 ( 202080 3867795 ) ( 203040 * )
NEW met4 ( 203040 3861690 ) ( * 3867795 )
NEW met3 ( 201120 2782770 0 ) ( * 2784250 )
NEW met4 ( 201120 2784250 ) ( * 2802750 )
NEW met2 ( 237360 4708250 ) ( * 4933025 )
NEW met3 ( 1050960 4980570 ) ( 1052160 * 0 )
NEW met2 ( 1050960 4980385 ) ( * 4980570 )
NEW met2 ( 1050960 4980570 ) ( * 4982235 )
NEW met3 ( 3386400 656750 0 ) ( * 658970 )
NEW met3 ( 3382560 1181410 ) ( 3384480 * )
NEW met1 ( 3304560 4689935 ) ( 3372240 * )
NEW met2 ( 3372240 4689750 ) ( * 4689935 )
NEW met3 ( 3372240 4689750 ) ( 3373920 * 0 )
NEW met3 ( 538080 4977610 ) ( * 4979090 0 )
NEW met3 ( 537840 4977610 ) ( 538080 * )
NEW met2 ( 537840 4933025 ) ( * 4977610 )
NEW met2 ( 537840 4977610 ) ( * 4980385 )
NEW met1 ( 237360 4933025 ) ( 537840 * )
NEW met2 ( 1127280 4980385 ) ( * 4982235 )
NEW met1 ( 1050960 4982235 ) ( 1127280 * )
NEW met2 ( 2357040 4979645 ) ( * 5026635 )
NEW met2 ( 2551920 1167350 0 ) ( 2555760 * )
NEW met3 ( 2541120 4981310 0 ) ( 2541840 * )
NEW met2 ( 2541840 4981125 ) ( * 4981310 )
NEW met1 ( 2541840 4981125 ) ( 2548560 * )
NEW met1 ( 2548560 4980385 ) ( * 4981125 )
NEW met2 ( 2541840 4979645 ) ( * 4981125 )
NEW met1 ( 2357040 4979645 ) ( 2541840 * )
NEW met3 ( 3384480 1106670 ) ( * 1108150 0 )
NEW met3 ( 3384480 1106670 ) ( 3386400 * )
NEW met4 ( 3384480 1106670 ) ( * 1181410 )
NEW met3 ( 3384480 1333850 0 ) ( * 1335330 )
NEW met4 ( 3382560 1283715 ) ( 3384480 * )
NEW met4 ( 3384480 1283715 ) ( * 1335330 )
NEW met4 ( 3382560 1181410 ) ( * 1283715 )
NEW met3 ( 3384480 1557330 ) ( * 1558810 0 )
NEW met3 ( 3387360 1558810 0 ) ( * 1560290 )
NEW met3 ( 3384480 1558810 0 ) ( 3387360 * 0 )
NEW met4 ( 3384480 1335330 ) ( * 1557330 )
NEW met4 ( 3387360 1560290 ) ( * 1782290 )
NEW met3 ( 3388080 3766970 ) ( 3388320 * )
NEW met2 ( 3388080 3766970 ) ( * 3796570 )
NEW met3 ( 3388080 3796570 ) ( 3388320 * )
NEW met3 ( 3388320 3796570 ) ( * 3798050 0 )
NEW met2 ( 3304560 4689935 ) ( * 4931915 )
NEW met2 ( 217680 1188995 ) ( * 1212490 )
NEW met1 ( 216720 1188995 ) ( 217680 * )
NEW met3 ( 210960 1212490 ) ( 217680 * )
NEW met2 ( 210960 1212490 ) ( * 1279830 )
NEW met3 ( 212640 2998850 0 ) ( * 3000330 )
NEW met3 ( 212640 3000330 ) ( 218160 * )
NEW met3 ( 212640 3214930 0 ) ( 218160 * )
NEW met3 ( 202080 3234910 ) ( 218160 * )
NEW met2 ( 218160 3214930 ) ( * 3234910 )
NEW met3 ( 202080 3429530 ) ( * 3431010 0 )
NEW met3 ( 202080 3429530 ) ( 205920 * )
NEW met3 ( 205920 3645610 ) ( * 3647090 0 )
NEW met4 ( 203040 3651345 ) ( 205920 * )
NEW met4 ( 205920 3645610 ) ( * 3651345 )
NEW met2 ( 796080 4980385 ) ( * 4980570 )
NEW met3 ( 794880 4980570 0 ) ( 796080 * )
NEW met1 ( 537840 4980385 ) ( 796080 * )
NEW met1 ( 796080 4980385 ) ( 1050960 * )
NEW met2 ( 1237680 1212305 ) ( * 1212490 )
NEW met2 ( 1438800 1209530 ) ( * 1211565 )
NEW met2 ( 1544880 1209530 ) ( * 1211935 )
NEW met2 ( 1566000 4980385 ) ( * 4980570 )
NEW met3 ( 1566000 4980570 ) ( 1567200 * 0 )
NEW met2 ( 1566000 4980570 ) ( * 4982235 )
NEW met2 ( 1819920 4979830 ) ( * 4980015 )
NEW met3 ( 1818720 4979830 0 ) ( 1819920 * )
NEW met2 ( 1819920 4980015 ) ( * 4982235 )
NEW met2 ( 2154960 4979830 ) ( * 4980015 )
NEW met3 ( 2154960 4979830 ) ( 2156160 * 0 )
NEW met2 ( 2184720 4980015 ) ( * 5026635 )
NEW met1 ( 2154960 4980015 ) ( 2184720 * )
NEW met1 ( 2799120 4978905 ) ( 2823120 * )
NEW met2 ( 2799120 4978905 ) ( * 4979090 )
NEW met3 ( 2797920 4979090 0 ) ( 2799120 * )
NEW met2 ( 2799120 4979090 ) ( * 4980385 )
NEW met2 ( 2823120 4931915 ) ( * 4978905 )
NEW met3 ( 2555760 1188810 ) ( 3382560 * )
NEW met3 ( 3387360 2010210 0 ) ( * 2010950 )
NEW met1 ( 3366960 2002255 ) ( 3385680 * )
NEW met2 ( 3385680 2002255 ) ( * 2008730 )
NEW met3 ( 3385680 2008730 ) ( 3387360 * )
NEW met3 ( 3387360 2008730 ) ( * 2010210 0 )
NEW met2 ( 3384240 2548930 ) ( 3385200 * )
NEW met1 ( 3366000 3008655 ) ( 3368880 * )
NEW met2 ( 3366000 2952045 ) ( * 3008655 )
NEW met1 ( 3366000 2952045 ) ( 3369360 * )
NEW met3 ( 3385440 3571610 ) ( * 3573090 0 )
NEW met4 ( 3387360 3594735 ) ( 3388320 * )
NEW met4 ( 3388320 3574570 ) ( * 3594735 )
NEW met3 ( 3388320 3573090 0 ) ( * 3574570 )
NEW met3 ( 3385440 3573090 0 ) ( 3388320 * 0 )
NEW met3 ( 212640 1065230 0 ) ( 216720 * )
NEW met2 ( 216720 1065230 ) ( * 1188995 )
NEW met3 ( 211680 1497020 0 ) ( * 1498130 )
NEW met3 ( 203040 1498130 ) ( 211680 * )
NEW met4 ( 211680 1279830 ) ( * 1498130 )
NEW met3 ( 203040 1712730 0 ) ( * 1714210 )
NEW met4 ( 203040 1498130 ) ( * 1714210 )
NEW met3 ( 203040 1928810 0 ) ( * 1930290 )
NEW met4 ( 203040 1714210 ) ( * 1930290 )
NEW met3 ( 203040 2143410 ) ( * 2144890 0 )
NEW met3 ( 203040 2144890 0 ) ( 217680 * )
NEW met4 ( 203040 1930290 ) ( * 2143410 )
NEW met1 ( 217200 2923185 ) ( 219600 * )
NEW met2 ( 217200 2923185 ) ( * 3000330 )
NEW met2 ( 218160 3000330 ) ( * 3214930 )
NEW met4 ( 202080 3234910 ) ( * 3429530 )
NEW met4 ( 205920 3429530 ) ( * 3645610 )
NEW met4 ( 203040 3651345 ) ( * 3861690 )
NEW met3 ( 202080 4079250 0 ) ( * 4079990 )
NEW met4 ( 202080 3867795 ) ( * 4079990 )
NEW met4 ( 201120 4693635 ) ( 202080 * )
NEW met4 ( 201120 4693635 ) ( * 4706770 )
NEW met3 ( 201120 4706770 ) ( * 4708250 0 )
NEW met3 ( 201120 4708250 0 ) ( 212640 * 0 )
NEW met4 ( 202080 4079990 ) ( * 4693635 )
NEW met3 ( 212640 4708250 0 ) ( 237360 * )
NEW met3 ( 3386400 881710 ) ( * 883190 0 )
NEW met4 ( 3386400 658970 ) ( * 881710 )
NEW met4 ( 3386400 881710 ) ( * 1106670 )
NEW met3 ( 3366960 1871830 ) ( 3385440 * )
NEW met4 ( 3385440 1869795 ) ( * 1871830 )
NEW met4 ( 3385440 1869795 ) ( 3386400 * )
NEW met2 ( 3366960 1871830 ) ( * 2002255 )
NEW met4 ( 3386400 1783215 ) ( * 1869795 )
NEW met3 ( 3387360 2449770 ) ( * 2451250 0 )
NEW met3 ( 3384240 2452730 ) ( 3384480 * )
NEW met3 ( 3384480 2451250 0 ) ( * 2452730 )
NEW met3 ( 3384480 2451250 0 ) ( 3387360 * 0 )
NEW met2 ( 3384240 2452730 ) ( * 2548930 )
NEW met4 ( 3387360 2010950 ) ( * 2449770 )
NEW met3 ( 3385200 2669550 ) ( 3385440 * )
NEW met3 ( 3385440 2669550 ) ( * 2671030 0 )
NEW met3 ( 3385440 2671030 0 ) ( * 2672510 )
NEW met2 ( 3385200 2548930 ) ( * 2669550 )
NEW met3 ( 3385440 2895250 ) ( * 2895990 0 )
NEW met1 ( 3369360 2937615 ) ( 3384240 * )
NEW met2 ( 3384240 2897470 ) ( * 2937615 )
NEW met3 ( 3384240 2897470 ) ( 3385440 * )
NEW met3 ( 3385440 2895990 0 ) ( * 2897470 )
NEW met2 ( 3369360 2937615 ) ( * 2952045 )
NEW met4 ( 3385440 2672510 ) ( * 2895250 )
NEW met3 ( 3385440 3122060 0 ) ( * 3123170 )
NEW met1 ( 3368880 3110405 ) ( 3384240 * )
NEW met2 ( 3384240 3110405 ) ( * 3120210 )
NEW met3 ( 3384240 3120210 ) ( 3385440 * )
NEW met3 ( 3385440 3120210 ) ( * 3122060 0 )
NEW met2 ( 3368880 3008655 ) ( * 3110405 )
NEW met3 ( 3385440 3347020 0 ) ( * 3348130 )
NEW met4 ( 3385440 3123170 ) ( * 3348130 )
NEW met4 ( 3385440 3348130 ) ( * 3571610 )
NEW met3 ( 217680 1212490 ) ( 1237680 * )
NEW met1 ( 1238640 1211565 ) ( * 1212305 )
NEW met1 ( 1237680 1212305 ) ( 1238640 * )
NEW met1 ( 1238640 1211565 ) ( 1438800 * )
NEW met2 ( 1310160 4980385 ) ( * 4980570 )
NEW met3 ( 1308960 4980570 0 ) ( 1310160 * )
NEW met1 ( 1127280 4980385 ) ( 1310160 * )
NEW met3 ( 1438800 1209530 ) ( 1544880 * )
NEW met1 ( 1310160 4980385 ) ( 1566000 * )
NEW met1 ( 1566000 4982235 ) ( 1819920 * )
NEW met1 ( 1819920 4980015 ) ( 2154960 * )
NEW met1 ( 2184720 5026635 ) ( 2357040 * )
NEW met1 ( 2548560 4980385 ) ( 2799120 * )
NEW met1 ( 2823120 4931915 ) ( 3304560 * )
NEW met2 ( 2218320 1212490 ) ( * 1212675 )
NEW met1 ( 2218320 1212675 ) ( 2268240 * )
NEW met2 ( 2268240 1212675 ) ( * 1213230 )
NEW met2 ( 2447760 1212490 ) ( 2448720 * )
NEW met2 ( 2448720 1211750 ) ( * 1212490 )
NEW met2 ( 2217360 1211935 ) ( * 1212490 )
NEW met3 ( 2217360 1212490 ) ( 2218320 * )
NEW met2 ( 2318640 1213230 ) ( * 1213415 )
NEW met1 ( 2318640 1213415 ) ( 2418960 * )
NEW met2 ( 2418960 1212490 ) ( * 1213415 )
NEW met3 ( 2268240 1213230 ) ( 2318640 * )
NEW met3 ( 2418960 1212490 ) ( 2447760 * )
NEW met2 ( 2520240 1211750 ) ( * 1211935 )
NEW met1 ( 2520240 1211935 ) ( 2555760 * )
NEW met3 ( 2448720 1211750 ) ( 2520240 * )
NEW met2 ( 2555760 1167350 ) ( * 1211935 )
NEW met3 ( 3387360 3629330 ) ( * 3630070 )
NEW met3 ( 3383520 3630070 ) ( 3387360 * )
NEW met4 ( 3383520 3630070 ) ( * 3671325 )
NEW met4 ( 3383520 3671325 ) ( 3384480 * )
NEW met4 ( 3384480 3671325 ) ( * 3721830 )
NEW met3 ( 3384480 3721830 ) ( 3388320 * )
NEW met4 ( 3387360 3594735 ) ( * 3629330 )
NEW met4 ( 3388320 3721830 ) ( * 3766970 )
NEW met1 ( 217680 2858435 ) ( 219600 * )
NEW met2 ( 217680 2144890 ) ( * 2858435 )
NEW met2 ( 219600 2858435 ) ( * 2923185 )
NEW met2 ( 1698960 1211935 ) ( * 1212490 )
NEW met1 ( 1544880 1211935 ) ( 1698960 * )
NEW met2 ( 2152560 1211935 ) ( * 1212490 )
NEW met3 ( 1698960 1212490 ) ( 2152560 * )
NEW met1 ( 2152560 1211935 ) ( 2217360 * )
NEW met3 ( 3387360 1782290 ) M3M4_PR_M
NEW met3 ( 211680 1279830 ) M3M4_PR_M
NEW met2 ( 210960 1279830 ) via2_FR
NEW met3 ( 201120 2802750 ) M3M4_PR_M
NEW met2 ( 217680 2802750 ) via2_FR
NEW met3 ( 203040 3861690 ) M3M4_PR_M
NEW met2 ( 237360 4708250 ) via2_FR
NEW met3 ( 201120 2784250 ) M3M4_PR_M
NEW met1 ( 237360 4933025 ) M1M2_PR
NEW met2 ( 1050960 4980570 ) via2_FR
NEW met1 ( 1050960 4980385 ) M1M2_PR
NEW met1 ( 1050960 4982235 ) M1M2_PR
NEW met1 ( 2823120 4931915 ) M1M2_PR
NEW met1 ( 2357040 5026635 ) M1M2_PR
NEW met2 ( 2555760 1188810 ) via2_FR
NEW met3 ( 3386400 658970 ) M3M4_PR_M
NEW met3 ( 3384480 1181410 ) M3M4_PR_M
NEW met3 ( 3382560 1181410 ) M3M4_PR_M
NEW met3 ( 3382560 1188810 ) M3M4_PR_M
NEW met1 ( 3304560 4689935 ) M1M2_PR
NEW met1 ( 3372240 4689935 ) M1M2_PR
NEW met2 ( 3372240 4689750 ) via2_FR
NEW met2 ( 537840 4977610 ) via2_FR
NEW met1 ( 537840 4933025 ) M1M2_PR
NEW met1 ( 537840 4980385 ) M1M2_PR
NEW met1 ( 1127280 4982235 ) M1M2_PR
NEW met1 ( 1127280 4980385 ) M1M2_PR
NEW met1 ( 2357040 4979645 ) M1M2_PR
NEW met2 ( 2541840 4981310 ) via2_FR
NEW met1 ( 2541840 4981125 ) M1M2_PR
NEW met1 ( 2541840 4979645 ) M1M2_PR
NEW met3 ( 3384480 1106670 ) M3M4_PR_M
NEW met3 ( 3386400 1106670 ) M3M4_PR_M
NEW met3 ( 3384480 1335330 ) M3M4_PR_M
NEW met3 ( 3384480 1557330 ) M3M4_PR_M
NEW met3 ( 3387360 1560290 ) M3M4_PR_M
NEW met3 ( 3388320 3766970 ) M3M4_PR_M
NEW met2 ( 3388080 3766970 ) via2_FR
NEW met2 ( 3388080 3796570 ) via2_FR
NEW met1 ( 3304560 4931915 ) M1M2_PR
NEW met2 ( 217680 1212490 ) via2_FR
NEW met1 ( 217680 1188995 ) M1M2_PR
NEW met1 ( 216720 1188995 ) M1M2_PR
NEW met2 ( 210960 1212490 ) via2_FR
NEW met2 ( 218160 3000330 ) via2_FR
NEW met2 ( 217200 3000330 ) via2_FR
NEW met2 ( 218160 3214930 ) via2_FR
NEW met3 ( 202080 3234910 ) M3M4_PR_M
NEW met2 ( 218160 3234910 ) via2_FR
NEW met3 ( 202080 3429530 ) M3M4_PR_M
NEW met3 ( 205920 3429530 ) M3M4_PR_M
NEW met3 ( 205920 3645610 ) M3M4_PR_M
NEW met1 ( 796080 4980385 ) M1M2_PR
NEW met2 ( 796080 4980570 ) via2_FR
NEW met1 ( 1237680 1212305 ) M1M2_PR
NEW met2 ( 1237680 1212490 ) via2_FR
NEW met2 ( 1438800 1209530 ) via2_FR
NEW met1 ( 1438800 1211565 ) M1M2_PR
NEW met2 ( 1544880 1209530 ) via2_FR
NEW met1 ( 1544880 1211935 ) M1M2_PR
NEW met1 ( 1566000 4980385 ) M1M2_PR
NEW met2 ( 1566000 4980570 ) via2_FR
NEW met1 ( 1566000 4982235 ) M1M2_PR
NEW met1 ( 1819920 4980015 ) M1M2_PR
NEW met2 ( 1819920 4979830 ) via2_FR
NEW met1 ( 1819920 4982235 ) M1M2_PR
NEW met1 ( 2154960 4980015 ) M1M2_PR
NEW met2 ( 2154960 4979830 ) via2_FR
NEW met1 ( 2184720 5026635 ) M1M2_PR
NEW met1 ( 2184720 4980015 ) M1M2_PR
NEW met1 ( 2823120 4978905 ) M1M2_PR
NEW met1 ( 2799120 4978905 ) M1M2_PR
NEW met2 ( 2799120 4979090 ) via2_FR
NEW met1 ( 2799120 4980385 ) M1M2_PR
NEW met3 ( 3387360 2010950 ) M3M4_PR_M
NEW met1 ( 3366960 2002255 ) M1M2_PR
NEW met1 ( 3385680 2002255 ) M1M2_PR
NEW met2 ( 3385680 2008730 ) via2_FR
NEW met1 ( 3368880 3008655 ) M1M2_PR
NEW met1 ( 3366000 3008655 ) M1M2_PR
NEW met1 ( 3366000 2952045 ) M1M2_PR
NEW met1 ( 3369360 2952045 ) M1M2_PR
NEW met3 ( 3385440 3571610 ) M3M4_PR_M
NEW met3 ( 3388320 3574570 ) M3M4_PR_M
NEW met2 ( 216720 1065230 ) via2_FR
NEW met3 ( 211680 1498130 ) M3M4_PR_M
NEW met3 ( 203040 1498130 ) M3M4_PR_M
NEW met3 ( 203040 1714210 ) M3M4_PR_M
NEW met3 ( 203040 1930290 ) M3M4_PR_M
NEW met3 ( 203040 2143410 ) M3M4_PR_M
NEW met2 ( 217680 2144890 ) via2_FR
NEW met1 ( 217200 2923185 ) M1M2_PR
NEW met1 ( 219600 2923185 ) M1M2_PR
NEW met3 ( 202080 4079990 ) M3M4_PR_M
NEW met3 ( 201120 4706770 ) M3M4_PR_M
NEW met3 ( 3386400 881710 ) M3M4_PR_M
NEW met2 ( 3366960 1871830 ) via2_FR
NEW met3 ( 3385440 1871830 ) M3M4_PR_M
NEW met3 ( 3387360 2449770 ) M3M4_PR_M
NEW met2 ( 3384240 2452730 ) via2_FR
NEW met2 ( 3385200 2669550 ) via2_FR
NEW met3 ( 3385440 2672510 ) M3M4_PR_M
NEW met3 ( 3385440 2895250 ) M3M4_PR_M
NEW met1 ( 3369360 2937615 ) M1M2_PR
NEW met1 ( 3384240 2937615 ) M1M2_PR
NEW met2 ( 3384240 2897470 ) via2_FR
NEW met3 ( 3385440 3123170 ) M3M4_PR_M
NEW met1 ( 3368880 3110405 ) M1M2_PR
NEW met1 ( 3384240 3110405 ) M1M2_PR
NEW met2 ( 3384240 3120210 ) via2_FR
NEW met3 ( 3385440 3348130 ) M3M4_PR_M
NEW met1 ( 1310160 4980385 ) M1M2_PR
NEW met2 ( 1310160 4980570 ) via2_FR
NEW met2 ( 2218320 1212490 ) via2_FR
NEW met1 ( 2218320 1212675 ) M1M2_PR
NEW met1 ( 2268240 1212675 ) M1M2_PR
NEW met2 ( 2268240 1213230 ) via2_FR
NEW met2 ( 2447760 1212490 ) via2_FR
NEW met2 ( 2448720 1211750 ) via2_FR
NEW met1 ( 2217360 1211935 ) M1M2_PR
NEW met2 ( 2217360 1212490 ) via2_FR
NEW met2 ( 2318640 1213230 ) via2_FR
NEW met1 ( 2318640 1213415 ) M1M2_PR
NEW met1 ( 2418960 1213415 ) M1M2_PR
NEW met2 ( 2418960 1212490 ) via2_FR
NEW met2 ( 2520240 1211750 ) via2_FR
NEW met1 ( 2520240 1211935 ) M1M2_PR
NEW met1 ( 2555760 1211935 ) M1M2_PR
NEW met3 ( 3387360 3629330 ) M3M4_PR_M
NEW met3 ( 3383520 3630070 ) M3M4_PR_M
NEW met3 ( 3384480 3721830 ) M3M4_PR_M
NEW met3 ( 3388320 3721830 ) M3M4_PR_M
NEW met1 ( 217680 2858435 ) M1M2_PR
NEW met1 ( 219600 2858435 ) M1M2_PR
NEW met1 ( 1698960 1211935 ) M1M2_PR
NEW met2 ( 1698960 1212490 ) via2_FR
NEW met1 ( 2152560 1211935 ) M1M2_PR
NEW met2 ( 2152560 1212490 ) via2_FR
NEW met2 ( 217680 2802750 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2555760 1188810 ) RECT ( -70 -485 70 0 )
NEW met4 ( 3382560 1188810 ) RECT ( -150 -800 150 0 )
NEW met3 ( 3388320 3766970 ) RECT ( 0 -150 380 150 )
NEW met3 ( 217200 3000330 ) RECT ( -800 -150 0 150 ) ;
- mprj_io_oeb\[0\] ( padframe mprj_io_oeb[0] ) ( gpio_control_bidir\[0\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3375840 647870 ) ( 3376080 * )
NEW met3 ( 3375840 647870 ) ( * 648610 0 )
NEW met2 ( 3376080 575720 ) ( 3376560 * )
NEW met2 ( 3376560 575350 ) ( * 575720 )
NEW met2 ( 3376560 575350 ) ( 3377040 * )
NEW met2 ( 3377040 575350 ) ( * 575720 0 )
NEW met2 ( 3376080 575720 ) ( * 647870 )
NEW met2 ( 3376080 647870 ) via2_FR ;
- mprj_io_oeb\[10\] ( padframe mprj_io_oeb[10] ) ( gpio_control_in\[10\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3377760 3113920 0 ) ( * 3115770 )
NEW met3 ( 3377520 3489470 ) ( 3377760 * )
NEW met2 ( 3377520 3489470 ) ( * 3491690 0 )
NEW met4 ( 3377760 3115770 ) ( * 3489470 )
NEW met3 ( 3377760 3115770 ) M3M4_PR_M
NEW met3 ( 3377760 3489470 ) M3M4_PR_M
NEW met2 ( 3377520 3489470 ) via2_FR
NEW met3 ( 3377760 3489470 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_oeb\[11\] ( padframe mprj_io_oeb[11] ) ( gpio_control_in\[11\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3371040 3338880 ) ( 3373920 * 0 )
NEW met3 ( 3371040 3715910 ) ( 3377040 * )
NEW met2 ( 3377040 3715910 ) ( * 3716650 0 )
NEW met4 ( 3371040 3338880 ) ( * 3715910 )
NEW met3 ( 3371040 3338880 ) M3M4_PR_M
NEW met3 ( 3371040 3715910 ) M3M4_PR_M
NEW met2 ( 3377040 3715910 ) via2_FR ;
- mprj_io_oeb\[12\] ( padframe mprj_io_oeb[12] ) ( gpio_control_in\[12\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3378960 3940130 ) ( 3379680 * )
NEW met2 ( 3378960 3940130 ) ( * 3941610 0 )
NEW met3 ( 3379680 3564950 0 ) ( * 3566430 )
NEW met4 ( 3379680 3566430 ) ( * 3940130 )
NEW met3 ( 3379680 3940130 ) M3M4_PR_M
NEW met2 ( 3378960 3940130 ) via2_FR
NEW met3 ( 3379680 3566430 ) M3M4_PR_M ;
- mprj_io_oeb\[13\] ( padframe mprj_io_oeb[13] ) ( gpio_control_in\[13\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3373920 3789910 0 ) ( * 3791390 )
NEW met3 ( 3373920 4384870 ) ( 3377040 * )
NEW met2 ( 3377040 4384870 ) ( * 4387615 0 )
NEW met4 ( 3373920 3791390 ) ( * 4384870 )
NEW met3 ( 3373920 3791390 ) M3M4_PR_M
NEW met3 ( 3373920 4384870 ) M3M4_PR_M
NEW met2 ( 3377040 4384870 ) via2_FR ;
- mprj_io_oeb\[14\] ( padframe mprj_io_oeb[14] ) ( gpio_control_in\[14\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3375840 4681610 0 ) ( * 4683090 )
NEW met3 ( 3375840 4824430 ) ( 3376080 * )
NEW met2 ( 3376080 4824430 ) ( * 4833680 )
NEW met2 ( 3376080 4833680 ) ( 3377040 * 0 )
NEW met4 ( 3375840 4683090 ) ( * 4824430 )
NEW met3 ( 3375840 4683090 ) M3M4_PR_M
NEW met3 ( 3375840 4824430 ) M3M4_PR_M
NEW met2 ( 3376080 4824430 ) via2_FR
NEW met3 ( 3375840 4824430 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_oeb\[15\] ( padframe mprj_io_oeb[15] ) ( gpio_control_in\[15\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 3045360 4987045 ) ( * 4998515 )
NEW met2 ( 3132720 4978350 ) ( 3134400 * 0 )
NEW met2 ( 3132720 4978350 ) ( * 4978535 )
NEW met1 ( 3128880 4978535 ) ( 3132720 * )
NEW met2 ( 3128880 4978535 ) ( * 4998515 )
NEW met1 ( 3045360 4998515 ) ( 3128880 * )
NEW met2 ( 2790960 4987045 ) ( * 4987230 )
NEW met3 ( 2789760 4987230 0 ) ( 2790960 * )
NEW met1 ( 2790960 4987045 ) ( 3045360 * )
NEW met1 ( 3045360 4987045 ) M1M2_PR
NEW met1 ( 3045360 4998515 ) M1M2_PR
NEW met1 ( 3132720 4978535 ) M1M2_PR
NEW met1 ( 3128880 4978535 ) M1M2_PR
NEW met1 ( 3128880 4998515 ) M1M2_PR
NEW met1 ( 2790960 4987045 ) M1M2_PR
NEW met2 ( 2790960 4987230 ) via2_FR ;
- mprj_io_oeb\[16\] ( padframe mprj_io_oeb[16] ) ( gpio_control_in\[16\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 2620080 4978535 ) ( * 5003510 )
NEW met1 ( 2620080 4978535 ) ( 2623920 * )
NEW met2 ( 2623920 4978350 ) ( * 4978535 )
NEW met2 ( 2623920 4978350 ) ( 2625360 * 0 )
NEW met2 ( 2560560 5003325 ) ( * 5003510 )
NEW met1 ( 2534640 5003325 ) ( 2560560 * )
NEW met2 ( 2534640 5003325 ) ( * 5003510 )
NEW met3 ( 2532960 5003510 0 ) ( 2534640 * )
NEW met3 ( 2560560 5003510 ) ( 2620080 * )
NEW met2 ( 2620080 5003510 ) via2_FR
NEW met1 ( 2620080 4978535 ) M1M2_PR
NEW met1 ( 2623920 4978535 ) M1M2_PR
NEW met2 ( 2560560 5003510 ) via2_FR
NEW met1 ( 2560560 5003325 ) M1M2_PR
NEW met1 ( 2534640 5003325 ) M1M2_PR
NEW met2 ( 2534640 5003510 ) via2_FR ;
- mprj_io_oeb\[17\] ( padframe mprj_io_oeb[17] ) ( gpio_control_in\[17\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 2366640 4979090 ) ( 2368320 * 0 )
NEW met2 ( 2366640 4978905 ) ( * 4979090 )
NEW met1 ( 2363280 4978905 ) ( 2366640 * )
NEW met2 ( 2363280 4978905 ) ( * 5004435 )
NEW met2 ( 2149680 5004250 ) ( * 5004435 )
NEW met3 ( 2148000 5004250 0 ) ( 2149680 * )
NEW met1 ( 2149680 5004435 ) ( 2363280 * )
NEW met1 ( 2366640 4978905 ) M1M2_PR
NEW met1 ( 2363280 4978905 ) M1M2_PR
NEW met1 ( 2363280 5004435 ) M1M2_PR
NEW met1 ( 2149680 5004435 ) M1M2_PR
NEW met2 ( 2149680 5004250 ) via2_FR ;
- mprj_io_oeb\[18\] ( padframe mprj_io_oeb[18] ) ( gpio_control_in\[18\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 1836240 5015905 ) ( * 5027005 )
NEW met1 ( 1812720 5015905 ) ( 1836240 * )
NEW met2 ( 1812720 5015905 ) ( * 5016090 )
NEW met3 ( 1811040 5016090 0 ) ( 1812720 * )
NEW met2 ( 1922160 4978350 ) ( 1923360 * 0 )
NEW met2 ( 1922160 4978165 ) ( * 4978350 )
NEW met1 ( 1918800 4978165 ) ( 1922160 * )
NEW met2 ( 1918800 4978165 ) ( * 5004990 )
NEW met2 ( 1918320 5004990 ) ( 1918800 * )
NEW met2 ( 1918320 5004990 ) ( * 5027005 )
NEW met1 ( 1836240 5027005 ) ( 1918320 * )
NEW met1 ( 1836240 5027005 ) M1M2_PR
NEW met1 ( 1836240 5015905 ) M1M2_PR
NEW met1 ( 1812720 5015905 ) M1M2_PR
NEW met2 ( 1812720 5016090 ) via2_FR
NEW met1 ( 1922160 4978165 ) M1M2_PR
NEW met1 ( 1918800 4978165 ) M1M2_PR
NEW met1 ( 1918320 5027005 ) M1M2_PR ;
- mprj_io_oeb\[19\] ( padframe mprj_io_oeb[19] ) ( gpio_control_in\[19\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 1414320 4968545 ) ( * 4977610 0 )
NEW met2 ( 1557840 4968545 ) ( * 4979830 )
NEW met3 ( 1557840 4979830 ) ( 1558560 * 0 )
NEW met1 ( 1414320 4968545 ) ( 1557840 * )
NEW met1 ( 1414320 4968545 ) M1M2_PR
NEW met1 ( 1557840 4968545 ) M1M2_PR
NEW met2 ( 1557840 4979830 ) via2_FR ;
- mprj_io_oeb\[1\] ( padframe mprj_io_oeb[1] ) ( gpio_control_bidir\[1\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3377520 873570 ) ( 3377760 * )
NEW met3 ( 3377760 873570 ) ( * 875050 0 )
NEW met1 ( 3377520 803825 ) ( * 804935 )
NEW met2 ( 3377520 801615 0 ) ( * 803825 )
NEW met2 ( 3377520 804935 ) ( * 873570 )
NEW met2 ( 3377520 873570 ) via2_FR
NEW met1 ( 3377520 804935 ) M1M2_PR
NEW met1 ( 3377520 803825 ) M1M2_PR ;
- mprj_io_oeb\[20\] ( padframe mprj_io_oeb[20] ) ( gpio_control_in\[20\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 1235760 4968915 ) ( * 4982605 )
NEW met2 ( 1157520 4968915 ) ( * 4977610 )
NEW met2 ( 1156320 4977610 0 ) ( 1157520 * )
NEW met1 ( 1157520 4968915 ) ( 1235760 * )
NEW met2 ( 1300080 4982605 ) ( * 4982790 )
NEW met3 ( 1300080 4982790 ) ( 1300800 * 0 )
NEW met1 ( 1235760 4982605 ) ( 1300080 * )
NEW met1 ( 1235760 4968915 ) M1M2_PR
NEW met1 ( 1235760 4982605 ) M1M2_PR
NEW met1 ( 1157520 4968915 ) M1M2_PR
NEW met1 ( 1300080 4982605 ) M1M2_PR
NEW met2 ( 1300080 4982790 ) via2_FR ;
- mprj_io_oeb\[21\] ( padframe mprj_io_oeb[21] ) ( gpio_control_in\[21\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 1043760 4968545 ) ( * 4976130 )
NEW met3 ( 1043760 4976130 ) ( 1044000 * )
NEW met3 ( 1044000 4976130 ) ( * 4979090 0 )
NEW met2 ( 899280 4968545 ) ( * 4977610 0 )
NEW met1 ( 899280 4968545 ) ( 1043760 * )
NEW met1 ( 1043760 4968545 ) M1M2_PR
NEW met2 ( 1043760 4976130 ) via2_FR
NEW met1 ( 899280 4968545 ) M1M2_PR ;
- mprj_io_oeb\[22\] ( padframe mprj_io_oeb[22] ) ( gpio_control_in\[22\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 642480 4968545 ) ( * 4977610 0 )
NEW met2 ( 786480 4968545 ) ( * 4976130 )
NEW met3 ( 786480 4976130 ) ( 786720 * )
NEW met3 ( 786720 4976130 ) ( * 4979090 0 )
NEW met1 ( 642480 4968545 ) ( 786480 * )
NEW met1 ( 642480 4968545 ) M1M2_PR
NEW met1 ( 786480 4968545 ) M1M2_PR
NEW met2 ( 786480 4976130 ) via2_FR ;
- mprj_io_oeb\[23\] ( padframe mprj_io_oeb[23] ) ( gpio_control_in\[23\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 386640 4968175 ) ( * 4977610 )
NEW met2 ( 385440 4977610 0 ) ( 386640 * )
NEW met2 ( 529680 4968175 ) ( * 4976870 )
NEW met3 ( 529680 4976870 ) ( 529920 * )
NEW met3 ( 529920 4976870 ) ( * 4979090 0 )
NEW met1 ( 386640 4968175 ) ( 529680 * )
NEW met1 ( 386640 4968175 ) M1M2_PR
NEW met1 ( 529680 4968175 ) M1M2_PR
NEW met2 ( 529680 4976870 ) via2_FR ;
- mprj_io_oeb\[24\] ( padframe mprj_io_oeb[24] ) ( gpio_control_in\[24\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 210960 4775385 0 ) ( 211920 * )
NEW met3 ( 211680 4701590 ) ( 211920 * )
NEW met3 ( 211680 4700110 0 ) ( * 4701590 )
NEW met2 ( 211920 4701590 ) ( * 4775385 )
NEW met2 ( 211920 4701590 ) via2_FR ;
- mprj_io_oeb\[25\] ( padframe mprj_io_oeb[25] ) ( gpio_control_in\[25\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 203040 3958630 ) ( 212400 * )
NEW met2 ( 212400 3928105 ) ( * 3958630 )
NEW met1 ( 210480 3928105 ) ( 212400 * )
NEW met2 ( 210480 3926440 0 ) ( * 3928105 )
NEW met3 ( 203040 4069630 ) ( * 4071110 0 )
NEW met4 ( 203040 3958630 ) ( * 4069630 )
NEW met3 ( 203040 3958630 ) M3M4_PR_M
NEW met2 ( 212400 3958630 ) via2_FR
NEW met1 ( 212400 3928105 ) M1M2_PR
NEW met1 ( 210480 3928105 ) M1M2_PR
NEW met3 ( 203040 4069630 ) M3M4_PR_M ;
- mprj_io_oeb\[26\] ( padframe mprj_io_oeb[26] ) ( gpio_control_in\[26\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 201360 3801010 ) ( 204000 * )
NEW met2 ( 201360 3801010 ) ( * 3853550 )
NEW met3 ( 201120 3853550 ) ( 201360 * )
NEW met3 ( 201120 3853550 ) ( * 3855030 0 )
NEW met3 ( 204000 3734410 ) ( 215760 * )
NEW met2 ( 215760 3712025 ) ( * 3734410 )
NEW met1 ( 210960 3712025 ) ( 215760 * )
NEW met2 ( 210960 3710360 0 ) ( * 3712025 )
NEW met4 ( 204000 3734410 ) ( * 3801010 )
NEW met3 ( 204000 3801010 ) M3M4_PR_M
NEW met2 ( 201360 3801010 ) via2_FR
NEW met2 ( 201360 3853550 ) via2_FR
NEW met3 ( 204000 3734410 ) M3M4_PR_M
NEW met2 ( 215760 3734410 ) via2_FR
NEW met1 ( 215760 3712025 ) M1M2_PR
NEW met1 ( 210960 3712025 ) M1M2_PR ;
- mprj_io_oeb\[27\] ( padframe mprj_io_oeb[27] ) ( gpio_control_in\[27\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 206880 3637470 ) ( * 3638950 0 )
NEW met3 ( 206880 3525730 ) ( 211920 * )
NEW met2 ( 211920 3497055 ) ( * 3525730 )
NEW met1 ( 210960 3497055 ) ( 211920 * )
NEW met2 ( 210960 3494280 0 ) ( * 3497055 )
NEW met4 ( 206880 3525730 ) ( * 3637470 )
NEW met3 ( 206880 3637470 ) M3M4_PR_M
NEW met3 ( 206880 3525730 ) M3M4_PR_M
NEW met2 ( 211920 3525730 ) via2_FR
NEW met1 ( 211920 3497055 ) M1M2_PR
NEW met1 ( 210960 3497055 ) M1M2_PR ;
- mprj_io_oeb\[28\] ( padframe mprj_io_oeb[28] ) ( gpio_control_in\[28\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 203040 3421390 ) ( * 3422870 0 )
NEW met3 ( 203040 3304470 ) ( 215760 * )
NEW met2 ( 215760 3280975 ) ( * 3304470 )
NEW met1 ( 210960 3280975 ) ( 215760 * )
NEW met2 ( 210960 3278385 0 ) ( * 3280975 )
NEW met4 ( 203040 3304470 ) ( * 3421390 )
NEW met3 ( 203040 3421390 ) M3M4_PR_M
NEW met3 ( 203040 3304470 ) M3M4_PR_M
NEW met2 ( 215760 3304470 ) via2_FR
NEW met1 ( 215760 3280975 ) M1M2_PR
NEW met1 ( 210960 3280975 ) M1M2_PR ;
- mprj_io_oeb\[29\] ( padframe mprj_io_oeb[29] ) ( gpio_control_in\[29\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 204960 3205310 ) ( * 3206790 0 )
NEW met3 ( 204960 3086170 ) ( 212400 * )
NEW met2 ( 212400 3064895 ) ( * 3086170 )
NEW met1 ( 210480 3064895 ) ( 212400 * )
NEW met2 ( 210480 3062490 0 ) ( * 3064895 )
NEW met4 ( 204960 3086170 ) ( * 3205310 )
NEW met3 ( 204960 3205310 ) M3M4_PR_M
NEW met3 ( 204960 3086170 ) M3M4_PR_M
NEW met2 ( 212400 3086170 ) via2_FR
NEW met1 ( 212400 3064895 ) M1M2_PR
NEW met1 ( 210480 3064895 ) M1M2_PR ;
- mprj_io_oeb\[2\] ( padframe mprj_io_oeb[2] ) ( gpio_control_in\[2\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 3376560 1053390 ) ( 3377520 * )
NEW met2 ( 3376560 1028970 ) ( * 1053390 )
NEW met2 ( 3376560 1028970 ) ( 3377520 * )
NEW met2 ( 3377520 1026750 0 ) ( * 1028970 )
NEW met3 ( 3377520 1098530 ) ( 3377760 * )
NEW met3 ( 3377760 1098530 ) ( * 1100010 0 )
NEW met2 ( 3377520 1053390 ) ( * 1098530 )
NEW met2 ( 3377520 1098530 ) via2_FR ;
- mprj_io_oeb\[30\] ( padframe mprj_io_oeb[30] ) ( gpio_control_in\[30\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met1 ( 210480 2848445 ) ( 215280 * )
NEW met2 ( 210480 2846410 0 ) ( * 2848445 )
NEW met3 ( 202080 2989230 ) ( * 2990710 0 )
NEW met3 ( 202080 2869350 ) ( 215760 * )
NEW met2 ( 215760 2862690 ) ( * 2869350 )
NEW met2 ( 215280 2862690 ) ( 215760 * )
NEW met4 ( 202080 2869350 ) ( * 2989230 )
NEW met2 ( 215280 2848445 ) ( * 2862690 )
NEW met1 ( 215280 2848445 ) M1M2_PR
NEW met1 ( 210480 2848445 ) M1M2_PR
NEW met3 ( 202080 2989230 ) M3M4_PR_M
NEW met3 ( 202080 2869350 ) M3M4_PR_M
NEW met2 ( 215760 2869350 ) via2_FR ;
- mprj_io_oeb\[31\] ( padframe mprj_io_oeb[31] ) ( gpio_control_in\[31\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met1 ( 210960 2632365 ) ( 214800 * )
NEW met2 ( 210960 2630330 0 ) ( * 2632365 )
NEW met3 ( 202080 2773150 ) ( * 2774630 0 )
NEW met3 ( 202080 2653270 ) ( 214800 * )
NEW met4 ( 202080 2653270 ) ( * 2773150 )
NEW met2 ( 214800 2632365 ) ( * 2653270 )
NEW met1 ( 214800 2632365 ) M1M2_PR
NEW met1 ( 210960 2632365 ) M1M2_PR
NEW met3 ( 202080 2773150 ) M3M4_PR_M
NEW met3 ( 202080 2653270 ) M3M4_PR_M
NEW met2 ( 214800 2653270 ) via2_FR ;
- mprj_io_oeb\[32\] ( padframe mprj_io_oeb[32] ) ( gpio_control_in\[32\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 204000 1993930 ) ( 209040 * )
NEW met2 ( 209040 1992450 0 ) ( * 1993930 )
NEW met3 ( 204000 2135270 ) ( * 2136750 0 )
NEW met4 ( 204000 1993930 ) ( * 2135270 )
NEW met3 ( 204000 1993930 ) M3M4_PR_M
NEW met2 ( 209040 1993930 ) via2_FR
NEW met3 ( 204000 2135270 ) M3M4_PR_M ;
- mprj_io_oeb\[33\] ( padframe mprj_io_oeb[33] ) ( gpio_control_in\[33\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 204000 1777850 ) ( 209040 * )
NEW met2 ( 209040 1776370 0 ) ( * 1777850 )
NEW met3 ( 204000 1919190 ) ( * 1920670 0 )
NEW met4 ( 204000 1777850 ) ( * 1919190 )
NEW met3 ( 204000 1777850 ) M3M4_PR_M
NEW met2 ( 209040 1777850 ) via2_FR
NEW met3 ( 204000 1919190 ) M3M4_PR_M ;
- mprj_io_oeb\[34\] ( padframe mprj_io_oeb[34] ) ( gpio_control_in\[34\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 204960 1561770 ) ( 209040 * )
NEW met2 ( 209040 1560290 0 ) ( * 1561770 )
NEW met3 ( 204960 1703110 ) ( * 1704590 0 )
NEW met4 ( 204960 1561770 ) ( * 1703110 )
NEW met3 ( 204960 1561770 ) M3M4_PR_M
NEW met2 ( 209040 1561770 ) via2_FR
NEW met3 ( 204960 1703110 ) M3M4_PR_M ;
- mprj_io_oeb\[35\] ( padframe mprj_io_oeb[35] ) ( gpio_control_in\[35\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 204960 1345690 ) ( 209040 * )
NEW met2 ( 209040 1344385 0 ) ( * 1345690 )
NEW met3 ( 204960 1487030 ) ( * 1488880 0 )
NEW met4 ( 204960 1345690 ) ( * 1487030 )
NEW met3 ( 204960 1345690 ) M3M4_PR_M
NEW met2 ( 209040 1345690 ) via2_FR
NEW met3 ( 204960 1487030 ) M3M4_PR_M ;
- mprj_io_oeb\[36\] ( padframe mprj_io_oeb[36] ) ( gpio_control_in\[36\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 202080 1270950 ) ( * 1272800 0 )
NEW met3 ( 202080 1129610 ) ( 209040 * )
NEW met2 ( 209040 1128500 0 ) ( * 1129610 )
NEW met4 ( 202080 1129610 ) ( * 1270950 )
NEW met3 ( 202080 1270950 ) M3M4_PR_M
NEW met3 ( 202080 1129610 ) M3M4_PR_M
NEW met2 ( 209040 1129610 ) via2_FR ;
- mprj_io_oeb\[37\] ( padframe mprj_io_oeb[37] ) ( gpio_control_in\[37\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 202080 914270 ) ( 209040 * )
NEW met2 ( 209040 912420 0 ) ( * 914270 )
NEW met3 ( 202080 1055610 ) ( * 1057090 0 )
NEW met4 ( 202080 914270 ) ( * 1055610 )
NEW met3 ( 202080 914270 ) M3M4_PR_M
NEW met2 ( 209040 914270 ) via2_FR
NEW met3 ( 202080 1055610 ) M3M4_PR_M ;
- mprj_io_oeb\[3\] ( padframe mprj_io_oeb[3] ) ( gpio_control_in\[3\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met1 ( 3373200 1267065 ) ( 3376560 * )
NEW met2 ( 3376560 1252615 ) ( * 1267065 )
NEW met2 ( 3376560 1252615 ) ( 3377040 * 0 )
NEW met1 ( 3373200 1282235 ) ( 3374640 * )
NEW met2 ( 3374640 1282235 ) ( * 1324970 )
NEW met3 ( 3374640 1324970 ) ( 3374880 * )
NEW met3 ( 3374880 1324970 ) ( * 1325710 0 )
NEW met2 ( 3373200 1267065 ) ( * 1282235 )
NEW met1 ( 3373200 1267065 ) M1M2_PR
NEW met1 ( 3376560 1267065 ) M1M2_PR
NEW met1 ( 3373200 1282235 ) M1M2_PR
NEW met1 ( 3374640 1282235 ) M1M2_PR
NEW met2 ( 3374640 1324970 ) via2_FR ;
- mprj_io_oeb\[4\] ( padframe mprj_io_oeb[4] ) ( gpio_control_in\[4\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met1 ( 3372720 1479815 ) ( 3377040 * )
NEW met2 ( 3377040 1477615 0 ) ( * 1479815 )
NEW met1 ( 3372720 1502755 ) ( 3373680 * )
NEW met2 ( 3373680 1502755 ) ( * 1549930 )
NEW met3 ( 3373680 1549930 ) ( 3373920 * )
NEW met3 ( 3373920 1549930 ) ( * 1550670 0 )
NEW met2 ( 3372720 1479815 ) ( * 1502755 )
NEW met1 ( 3372720 1479815 ) M1M2_PR
NEW met1 ( 3377040 1479815 ) M1M2_PR
NEW met1 ( 3372720 1502755 ) M1M2_PR
NEW met1 ( 3373680 1502755 ) M1M2_PR
NEW met2 ( 3373680 1549930 ) via2_FR ;
- mprj_io_oeb\[5\] ( padframe mprj_io_oeb[5] ) ( gpio_control_in\[5\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met1 ( 3374160 1708105 ) ( 3376080 * )
NEW met2 ( 3376080 1702740 ) ( * 1708105 )
NEW met2 ( 3376080 1702740 ) ( 3376560 * )
NEW met2 ( 3376560 1702370 ) ( * 1702740 )
NEW met2 ( 3376560 1702370 ) ( 3377040 * )
NEW met2 ( 3377040 1702370 ) ( * 1702740 0 )
NEW met3 ( 3373920 1774890 ) ( 3374160 * )
NEW met3 ( 3373920 1774890 ) ( * 1775630 0 )
NEW met2 ( 3374160 1708105 ) ( * 1774890 )
NEW met1 ( 3374160 1708105 ) M1M2_PR
NEW met1 ( 3376080 1708105 ) M1M2_PR
NEW met2 ( 3374160 1774890 ) via2_FR ;
- mprj_io_oeb\[6\] ( padframe mprj_io_oeb[6] ) ( gpio_control_in\[6\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met2 ( 3377040 1928615 0 ) ( * 1930845 )
NEW met1 ( 3375120 1930845 ) ( 3377040 * )
NEW met2 ( 3375120 1930845 ) ( * 2000590 )
NEW met3 ( 3374880 2000590 ) ( 3375120 * )
NEW met3 ( 3374880 2000590 ) ( * 2002070 0 )
NEW met1 ( 3377040 1930845 ) M1M2_PR
NEW met1 ( 3375120 1930845 ) M1M2_PR
NEW met2 ( 3375120 2000590 ) via2_FR ;
- mprj_io_oeb\[7\] ( padframe mprj_io_oeb[7] ) ( gpio_control_in\[7\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3374880 2443110 0 ) ( * 2444590 )
NEW met3 ( 3374880 2812370 ) ( 3377520 * )
NEW met2 ( 3377520 2812370 ) ( * 2814590 0 )
NEW met4 ( 3374880 2444590 ) ( * 2812370 )
NEW met3 ( 3374880 2444590 ) M3M4_PR_M
NEW met3 ( 3374880 2812370 ) M3M4_PR_M
NEW met2 ( 3377520 2812370 ) via2_FR ;
- mprj_io_oeb\[8\] ( padframe mprj_io_oeb[8] ) ( gpio_control_in\[8\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3370080 3038810 ) ( 3377040 * )
NEW met2 ( 3377040 3038810 ) ( * 3040660 0 )
NEW met3 ( 3370080 2662890 ) ( 3373920 * 0 )
NEW met4 ( 3370080 2662890 ) ( * 3038810 )
NEW met3 ( 3370080 3038810 ) M3M4_PR_M
NEW met2 ( 3377040 3038810 ) via2_FR
NEW met3 ( 3370080 2662890 ) M3M4_PR_M ;
- mprj_io_oeb\[9\] ( padframe mprj_io_oeb[9] ) ( gpio_control_in\[9\] pad_gpio_outenb ) + USE SIGNAL
+ ROUTED met3 ( 3376800 3032890 ) ( 3379680 * )
NEW met3 ( 3369120 3154250 ) ( 3374880 * )
NEW met4 ( 3369120 3154250 ) ( * 3205125 )
NEW met4 ( 3369120 3205125 ) ( 3370080 * )
NEW met4 ( 3370080 3205125 ) ( * 3248970 )
NEW met3 ( 3370080 3248970 ) ( 3373920 * )
NEW met3 ( 3374880 2887850 0 ) ( * 2889330 )
NEW met4 ( 3374880 3105225 ) ( 3376800 * )
NEW met4 ( 3374880 3105225 ) ( * 3154250 )
NEW met4 ( 3376800 3032890 ) ( * 3105225 )
NEW met3 ( 3373920 3263030 ) ( 3377040 * )
NEW met2 ( 3377040 3263030 ) ( * 3265620 0 )
NEW met4 ( 3373920 3248970 ) ( * 3263030 )
NEW met4 ( 3373920 2951490 ) ( 3374880 * )
NEW met4 ( 3373920 2951490 ) ( * 2973690 )
NEW met3 ( 3373920 2973690 ) ( 3379680 * )
NEW met4 ( 3374880 2889330 ) ( * 2951490 )
NEW met4 ( 3379680 2973690 ) ( * 3032890 )
NEW met3 ( 3379680 3032890 ) M3M4_PR_M
NEW met3 ( 3376800 3032890 ) M3M4_PR_M
NEW met3 ( 3374880 3154250 ) M3M4_PR_M
NEW met3 ( 3369120 3154250 ) M3M4_PR_M
NEW met3 ( 3370080 3248970 ) M3M4_PR_M
NEW met3 ( 3373920 3248970 ) M3M4_PR_M
NEW met3 ( 3374880 2889330 ) M3M4_PR_M
NEW met3 ( 3373920 3263030 ) M3M4_PR_M
NEW met2 ( 3377040 3263030 ) via2_FR
NEW met3 ( 3373920 2973690 ) M3M4_PR_M
NEW met3 ( 3379680 2973690 ) M3M4_PR_M ;
- mprj_io_out\[0\] ( padframe mprj_io_out[0] ) ( gpio_control_bidir\[0\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3371760 646390 ) ( 3373920 * 0 )
NEW met1 ( 3371760 568135 ) ( 3375600 * )
NEW met2 ( 3375600 559975 ) ( * 568135 )
NEW met2 ( 3375600 559975 ) ( 3377040 * 0 )
NEW met2 ( 3371760 568135 ) ( * 646390 )
NEW met2 ( 3371760 646390 ) via2_FR
NEW met1 ( 3371760 568135 ) M1M2_PR
NEW met1 ( 3375600 568135 ) M1M2_PR ;
- mprj_io_out\[10\] ( padframe mprj_io_out[10] ) ( gpio_control_in\[10\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3378720 3111330 0 ) ( * 3112810 )
NEW met3 ( 3378480 3473930 ) ( 3378720 * )
NEW met2 ( 3378480 3473930 ) ( * 3475975 0 )
NEW met4 ( 3378720 3112810 ) ( * 3473930 )
NEW met3 ( 3378720 3112810 ) M3M4_PR_M
NEW met3 ( 3378720 3473930 ) M3M4_PR_M
NEW met2 ( 3378480 3473930 ) via2_FR
NEW met3 ( 3378720 3473930 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_out\[11\] ( padframe mprj_io_out[11] ) ( gpio_control_in\[11\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3375840 3336290 0 ) ( * 3337770 )
NEW met3 ( 3375840 3700370 ) ( 3377040 * )
NEW met2 ( 3377040 3700370 ) ( * 3701110 0 )
NEW met4 ( 3375840 3337770 ) ( * 3700370 )
NEW met3 ( 3375840 3337770 ) M3M4_PR_M
NEW met3 ( 3375840 3700370 ) M3M4_PR_M
NEW met2 ( 3377040 3700370 ) via2_FR ;
- mprj_io_out\[12\] ( padframe mprj_io_out[12] ) ( gpio_control_in\[12\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3378960 3924590 ) ( 3381600 * )
NEW met2 ( 3378960 3924590 ) ( * 3926070 0 )
NEW met3 ( 3381600 3561990 0 ) ( * 3563470 )
NEW met4 ( 3381600 3563470 ) ( * 3924590 )
NEW met3 ( 3381600 3924590 ) M3M4_PR_M
NEW met2 ( 3378960 3924590 ) via2_FR
NEW met3 ( 3381600 3563470 ) M3M4_PR_M ;
- mprj_io_out\[13\] ( padframe mprj_io_out[13] ) ( gpio_control_in\[13\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3371040 3786950 ) ( 3373920 * 0 )
NEW met3 ( 3371040 4370810 ) ( 3377520 * )
NEW met2 ( 3377520 4370810 ) ( * 4371920 0 )
NEW met4 ( 3371040 3786950 ) ( * 4370810 )
NEW met3 ( 3371040 3786950 ) M3M4_PR_M
NEW met3 ( 3371040 4370810 ) M3M4_PR_M
NEW met2 ( 3377520 4370810 ) via2_FR ;
- mprj_io_out\[14\] ( padframe mprj_io_out[14] ) ( gpio_control_in\[14\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3374880 4679390 0 ) ( * 4680130 )
NEW met3 ( 3374880 4814070 ) ( 3375600 * )
NEW met2 ( 3375600 4814070 ) ( * 4817975 )
NEW met2 ( 3375600 4817975 ) ( 3377040 * 0 )
NEW met4 ( 3374880 4680130 ) ( * 4814070 )
NEW met3 ( 3374880 4680130 ) M3M4_PR_M
NEW met3 ( 3374880 4814070 ) M3M4_PR_M
NEW met2 ( 3375600 4814070 ) via2_FR ;
- mprj_io_out\[15\] ( padframe mprj_io_out[15] ) ( gpio_control_in\[15\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 2855280 4987415 ) ( * 5006655 )
NEW met2 ( 3053040 4987415 ) ( * 4998145 )
NEW met1 ( 2855280 4987415 ) ( 3053040 * )
NEW met2 ( 3148080 4979090 ) ( 3150000 * 0 )
NEW met2 ( 3148080 4978905 ) ( * 4979090 )
NEW met1 ( 3148080 4978905 ) ( * 4979275 )
NEW met1 ( 3128400 4979275 ) ( 3148080 * )
NEW met2 ( 3128400 4979275 ) ( * 4998145 )
NEW met1 ( 3053040 4998145 ) ( 3128400 * )
NEW met2 ( 2789040 5006470 ) ( * 5006655 )
NEW met3 ( 2787360 5006470 0 ) ( 2789040 * )
NEW met1 ( 2789040 5006655 ) ( 2855280 * )
NEW met1 ( 2855280 5006655 ) M1M2_PR
NEW met1 ( 2855280 4987415 ) M1M2_PR
NEW met1 ( 3053040 4987415 ) M1M2_PR
NEW met1 ( 3053040 4998145 ) M1M2_PR
NEW met1 ( 3148080 4978905 ) M1M2_PR
NEW met1 ( 3128400 4979275 ) M1M2_PR
NEW met1 ( 3128400 4998145 ) M1M2_PR
NEW met1 ( 2789040 5006655 ) M1M2_PR
NEW met2 ( 2789040 5006470 ) via2_FR ;
- mprj_io_out\[16\] ( padframe mprj_io_out[16] ) ( gpio_control_in\[16\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 2639280 4977610 ) ( 2640960 * 0 )
NEW met2 ( 2639280 4977610 ) ( * 4977795 )
NEW met1 ( 2618160 4977795 ) ( 2639280 * )
NEW met2 ( 2618160 4977795 ) ( * 4996850 )
NEW met3 ( 2592720 4996850 ) ( 2618160 * )
NEW met2 ( 2592720 4996850 ) ( * 5007395 )
NEW met2 ( 2531280 5007210 ) ( * 5007395 )
NEW met3 ( 2530080 5007210 0 ) ( 2531280 * )
NEW met1 ( 2531280 5007395 ) ( 2592720 * )
NEW met1 ( 2639280 4977795 ) M1M2_PR
NEW met1 ( 2618160 4977795 ) M1M2_PR
NEW met2 ( 2618160 4996850 ) via2_FR
NEW met2 ( 2592720 4996850 ) via2_FR
NEW met1 ( 2592720 5007395 ) M1M2_PR
NEW met1 ( 2531280 5007395 ) M1M2_PR
NEW met2 ( 2531280 5007210 ) via2_FR ;
- mprj_io_out\[17\] ( padframe mprj_io_out[17] ) ( gpio_control_in\[17\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 2382480 4979090 ) ( 2383920 * 0 )
NEW met3 ( 2361360 4979090 ) ( 2382480 * )
NEW met2 ( 2361360 4979090 ) ( * 5026265 )
NEW met2 ( 2146320 5015905 ) ( * 5016090 )
NEW met1 ( 2146320 5015905 ) ( 2173200 * )
NEW met2 ( 2173200 5015905 ) ( * 5026265 )
NEW met3 ( 2145120 5016090 0 ) ( 2146320 * )
NEW met1 ( 2173200 5026265 ) ( 2361360 * )
NEW met1 ( 2361360 5026265 ) M1M2_PR
NEW met2 ( 2382480 4979090 ) via2_FR
NEW met2 ( 2361360 4979090 ) via2_FR
NEW met2 ( 2146320 5016090 ) via2_FR
NEW met1 ( 2146320 5015905 ) M1M2_PR
NEW met1 ( 2173200 5015905 ) M1M2_PR
NEW met1 ( 2173200 5026265 ) M1M2_PR ;
- mprj_io_out\[18\] ( padframe mprj_io_out[18] ) ( gpio_control_in\[18\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 1809360 5012390 ) ( * 5012575 )
NEW met3 ( 1808160 5012390 0 ) ( 1809360 * )
NEW met2 ( 1937520 4978350 ) ( 1938960 * 0 )
NEW met3 ( 1886160 4978350 ) ( 1937520 * )
NEW met2 ( 1886160 4978350 ) ( * 4982605 )
NEW met1 ( 1843440 4982605 ) ( 1886160 * )
NEW met2 ( 1843440 4982605 ) ( * 5012575 )
NEW met1 ( 1809360 5012575 ) ( 1843440 * )
NEW met1 ( 1809360 5012575 ) M1M2_PR
NEW met2 ( 1809360 5012390 ) via2_FR
NEW met2 ( 1937520 4978350 ) via2_FR
NEW met2 ( 1886160 4978350 ) via2_FR
NEW met1 ( 1886160 4982605 ) M1M2_PR
NEW met1 ( 1843440 4982605 ) M1M2_PR
NEW met1 ( 1843440 5012575 ) M1M2_PR ;
- mprj_io_out\[19\] ( padframe mprj_io_out[19] ) ( gpio_control_in\[19\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 1430160 4972430 ) ( * 4977610 0 )
NEW met3 ( 1556160 4972430 ) ( * 4979090 0 )
NEW met3 ( 1430160 4972430 ) ( 1556160 * )
NEW met2 ( 1430160 4972430 ) via2_FR ;
- mprj_io_out\[1\] ( padframe mprj_io_out[1] ) ( gpio_control_bidir\[1\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 3375600 797350 ) ( 3376080 * )
NEW met2 ( 3375600 785880 ) ( * 797350 )
NEW met2 ( 3375600 785880 ) ( 3376560 * )
NEW met2 ( 3376560 785510 ) ( * 785880 )
NEW met2 ( 3376560 785510 ) ( 3377520 * )
NEW met2 ( 3377520 785510 ) ( * 785880 0 )
NEW met3 ( 3375840 871350 ) ( 3376080 * )
NEW met3 ( 3375840 871350 ) ( * 872090 0 )
NEW met2 ( 3376080 797350 ) ( * 871350 )
NEW met2 ( 3376080 871350 ) via2_FR ;
- mprj_io_out\[20\] ( padframe mprj_io_out[20] ) ( gpio_control_in\[20\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 1171920 4968545 ) ( * 4977610 0 )
NEW met2 ( 1297200 4968545 ) ( * 4979090 )
NEW met3 ( 1297200 4979090 ) ( 1298400 * 0 )
NEW met1 ( 1171920 4968545 ) ( 1297200 * )
NEW met1 ( 1171920 4968545 ) M1M2_PR
NEW met1 ( 1297200 4968545 ) M1M2_PR
NEW met2 ( 1297200 4979090 ) via2_FR ;
- mprj_io_out\[21\] ( padframe mprj_io_out[21] ) ( gpio_control_in\[21\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 1040880 4969285 ) ( * 4976130 )
NEW met3 ( 1040880 4976130 ) ( 1041120 * )
NEW met3 ( 1041120 4976130 ) ( * 4979090 0 )
NEW met2 ( 915120 4969285 ) ( * 4977610 0 )
NEW met1 ( 915120 4969285 ) ( 1040880 * )
NEW met1 ( 1040880 4969285 ) M1M2_PR
NEW met2 ( 1040880 4976130 ) via2_FR
NEW met1 ( 915120 4969285 ) M1M2_PR ;
- mprj_io_out\[22\] ( padframe mprj_io_out[22] ) ( gpio_control_in\[22\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 659280 4970950 ) ( * 4977610 )
NEW met2 ( 658080 4977610 0 ) ( 659280 * )
NEW met2 ( 774000 4970950 ) ( * 4976870 )
NEW met3 ( 774000 4976870 ) ( 783840 * )
NEW met3 ( 783840 4976870 ) ( * 4978350 )
NEW met3 ( 783840 4978350 ) ( 784800 * )
NEW met3 ( 784800 4978350 ) ( * 4979090 )
NEW met3 ( 783840 4979090 0 ) ( 784800 * )
NEW met3 ( 659280 4970950 ) ( 774000 * )
NEW met2 ( 659280 4970950 ) via2_FR
NEW met2 ( 774000 4970950 ) via2_FR
NEW met2 ( 774000 4976870 ) via2_FR ;
- mprj_io_out\[23\] ( padframe mprj_io_out[23] ) ( gpio_control_in\[23\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 401040 4968915 ) ( * 4977610 0 )
NEW met2 ( 526800 4968915 ) ( * 4976130 )
NEW met3 ( 526800 4976130 ) ( 527040 * )
NEW met3 ( 527040 4976130 ) ( * 4979090 0 )
NEW met1 ( 401040 4968915 ) ( 526800 * )
NEW met1 ( 401040 4968915 ) M1M2_PR
NEW met1 ( 526800 4968915 ) M1M2_PR
NEW met2 ( 526800 4976130 ) via2_FR ;
- mprj_io_out\[24\] ( padframe mprj_io_out[24] ) ( gpio_control_in\[24\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 209520 4788910 ) ( 209760 * )
NEW met2 ( 209520 4788910 ) ( * 4791130 0 )
NEW met3 ( 209760 4697150 0 ) ( * 4698630 )
NEW met4 ( 209760 4698630 ) ( * 4788910 )
NEW met3 ( 209760 4788910 ) M3M4_PR_M
NEW met2 ( 209520 4788910 ) via2_FR
NEW met3 ( 209760 4698630 ) M3M4_PR_M
NEW met3 ( 209760 4788910 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_out\[25\] ( padframe mprj_io_out[25] ) ( gpio_control_in\[25\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 210960 3941980 0 ) ( * 3943645 )
NEW met1 ( 210960 3943645 ) ( 214320 * )
NEW met2 ( 208560 4010430 ) ( 209040 * )
NEW met2 ( 209040 4000995 ) ( * 4010430 )
NEW met1 ( 209040 4000995 ) ( 216720 * )
NEW met2 ( 216720 3980645 ) ( * 4000995 )
NEW met1 ( 215280 3980645 ) ( 216720 * )
NEW met2 ( 215280 3965290 ) ( * 3980645 )
NEW met2 ( 214800 3965290 ) ( 215280 * )
NEW met2 ( 214800 3964550 ) ( * 3965290 )
NEW met2 ( 214320 3964550 ) ( 214800 * )
NEW met2 ( 214320 3943645 ) ( * 3964550 )
NEW met3 ( 208560 4066670 ) ( 208800 * )
NEW met3 ( 208800 4066670 ) ( * 4068150 0 )
NEW met2 ( 208560 4010430 ) ( * 4066670 )
NEW met1 ( 210960 3943645 ) M1M2_PR
NEW met1 ( 214320 3943645 ) M1M2_PR
NEW met1 ( 209040 4000995 ) M1M2_PR
NEW met1 ( 216720 4000995 ) M1M2_PR
NEW met1 ( 216720 3980645 ) M1M2_PR
NEW met1 ( 215280 3980645 ) M1M2_PR
NEW met2 ( 208560 4066670 ) via2_FR ;
- mprj_io_out\[26\] ( padframe mprj_io_out[26] ) ( gpio_control_in\[26\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 214320 3795830 ) ( 215520 * )
NEW met2 ( 214320 3795830 ) ( * 3852070 )
NEW met3 ( 212640 3852070 0 ) ( 214320 * )
NEW met2 ( 210960 3725900 0 ) ( * 3727565 )
NEW met1 ( 210960 3727565 ) ( 215280 * )
NEW met2 ( 215280 3727565 ) ( * 3751430 )
NEW met3 ( 215280 3751430 ) ( 215520 * )
NEW met4 ( 215520 3751430 ) ( * 3795830 )
NEW met3 ( 215520 3795830 ) M3M4_PR_M
NEW met2 ( 214320 3795830 ) via2_FR
NEW met2 ( 214320 3852070 ) via2_FR
NEW met1 ( 210960 3727565 ) M1M2_PR
NEW met1 ( 215280 3727565 ) M1M2_PR
NEW met2 ( 215280 3751430 ) via2_FR
NEW met3 ( 215520 3751430 ) M3M4_PR_M
NEW met3 ( 215280 3751430 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_out\[27\] ( padframe mprj_io_out[27] ) ( gpio_control_in\[27\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met1 ( 208560 3570315 ) ( 217680 * )
NEW met2 ( 208560 3570315 ) ( * 3634510 )
NEW met3 ( 208560 3634510 ) ( 208800 * )
NEW met3 ( 208800 3634510 ) ( * 3635990 0 )
NEW met2 ( 210960 3510025 0 ) ( * 3511485 )
NEW met1 ( 210960 3511485 ) ( 215280 * )
NEW met2 ( 215280 3511485 ) ( * 3520735 )
NEW met1 ( 215280 3520735 ) ( 217680 * )
NEW met2 ( 217680 3520735 ) ( * 3570315 )
NEW met1 ( 217680 3570315 ) M1M2_PR
NEW met1 ( 208560 3570315 ) M1M2_PR
NEW met2 ( 208560 3634510 ) via2_FR
NEW met1 ( 210960 3511485 ) M1M2_PR
NEW met1 ( 215280 3511485 ) M1M2_PR
NEW met1 ( 215280 3520735 ) M1M2_PR
NEW met1 ( 217680 3520735 ) M1M2_PR ;
- mprj_io_out\[28\] ( padframe mprj_io_out[28] ) ( gpio_control_in\[28\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 210480 3294110 0 ) ( * 3295405 )
NEW met1 ( 210480 3295405 ) ( 213360 * )
NEW met2 ( 213360 3295405 ) ( * 3295590 )
NEW met2 ( 213360 3295590 ) ( 213840 * )
NEW met3 ( 212640 3418430 ) ( 218640 * )
NEW met3 ( 212640 3418430 ) ( * 3419910 0 )
NEW met2 ( 213360 3297810 ) ( 213840 * )
NEW met2 ( 213360 3297625 ) ( * 3297810 )
NEW met1 ( 213360 3297625 ) ( 218640 * )
NEW met2 ( 213840 3295590 ) ( * 3297810 )
NEW met2 ( 218640 3297625 ) ( * 3418430 )
NEW met1 ( 210480 3295405 ) M1M2_PR
NEW met1 ( 213360 3295405 ) M1M2_PR
NEW met2 ( 218640 3418430 ) via2_FR
NEW met1 ( 213360 3297625 ) M1M2_PR
NEW met1 ( 218640 3297625 ) M1M2_PR ;
- mprj_io_out\[29\] ( padframe mprj_io_out[29] ) ( gpio_control_in\[29\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 204960 3202350 ) ( 205200 * )
NEW met3 ( 204960 3202350 ) ( * 3204200 0 )
NEW met1 ( 205200 3138895 ) ( 217200 * )
NEW met2 ( 217200 3100970 ) ( * 3138895 )
NEW met2 ( 215760 3100970 ) ( 217200 * )
NEW met2 ( 215760 3079325 ) ( * 3100970 )
NEW met1 ( 210960 3079325 ) ( 215760 * )
NEW met2 ( 210960 3078030 0 ) ( * 3079325 )
NEW met2 ( 205200 3138895 ) ( * 3202350 )
NEW met2 ( 205200 3202350 ) via2_FR
NEW met1 ( 205200 3138895 ) M1M2_PR
NEW met1 ( 217200 3138895 ) M1M2_PR
NEW met1 ( 215760 3079325 ) M1M2_PR
NEW met1 ( 210960 3079325 ) M1M2_PR ;
- mprj_io_out\[2\] ( padframe mprj_io_out[2] ) ( gpio_control_in\[2\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 3375600 1023050 ) ( 3376080 * )
NEW met2 ( 3376080 1010840 ) ( * 1023050 )
NEW met2 ( 3376080 1010840 ) ( 3376560 * )
NEW met2 ( 3376560 1010840 ) ( * 1011210 )
NEW met2 ( 3376560 1011210 ) ( 3377040 * )
NEW met2 ( 3377040 1010840 0 ) ( * 1011210 )
NEW met3 ( 3375600 1096310 ) ( 3375840 * )
NEW met3 ( 3375840 1096310 ) ( * 1097050 0 )
NEW met2 ( 3375600 1023050 ) ( * 1096310 )
NEW met2 ( 3375600 1096310 ) via2_FR ;
- mprj_io_out\[30\] ( padframe mprj_io_out[30] ) ( gpio_control_in\[30\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 207840 2986270 ) ( 208080 * )
NEW met3 ( 207840 2986270 ) ( * 2988120 0 )
NEW met1 ( 208080 2922815 ) ( 217680 * )
NEW met2 ( 217680 2891365 ) ( * 2922815 )
NEW met1 ( 215280 2891365 ) ( 217680 * )
NEW met2 ( 215280 2863245 ) ( * 2891365 )
NEW met1 ( 210960 2863245 ) ( 215280 * )
NEW met2 ( 210960 2861950 0 ) ( * 2863245 )
NEW met2 ( 208080 2922815 ) ( * 2986270 )
NEW met2 ( 208080 2986270 ) via2_FR
NEW met1 ( 208080 2922815 ) M1M2_PR
NEW met1 ( 217680 2922815 ) M1M2_PR
NEW met1 ( 217680 2891365 ) M1M2_PR
NEW met1 ( 215280 2891365 ) M1M2_PR
NEW met1 ( 215280 2863245 ) M1M2_PR
NEW met1 ( 210960 2863245 ) M1M2_PR ;
- mprj_io_out\[31\] ( padframe mprj_io_out[31] ) ( gpio_control_in\[31\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 210960 2646025 0 ) ( * 2647165 )
NEW met1 ( 210960 2647165 ) ( 215760 * )
NEW met3 ( 208800 2770190 ) ( 209040 * )
NEW met3 ( 208800 2770190 ) ( * 2772410 0 )
NEW met1 ( 208080 2749285 ) ( 209040 * )
NEW met2 ( 208080 2706365 ) ( * 2749285 )
NEW met1 ( 208080 2706365 ) ( 218640 * )
NEW met2 ( 218640 2674545 ) ( * 2706365 )
NEW met1 ( 215760 2674545 ) ( 218640 * )
NEW met2 ( 209040 2749285 ) ( * 2770190 )
NEW met2 ( 215760 2647165 ) ( * 2674545 )
NEW met1 ( 210960 2647165 ) M1M2_PR
NEW met1 ( 215760 2647165 ) M1M2_PR
NEW met2 ( 209040 2770190 ) via2_FR
NEW met1 ( 209040 2749285 ) M1M2_PR
NEW met1 ( 208080 2749285 ) M1M2_PR
NEW met1 ( 208080 2706365 ) M1M2_PR
NEW met1 ( 218640 2706365 ) M1M2_PR
NEW met1 ( 218640 2674545 ) M1M2_PR
NEW met1 ( 215760 2674545 ) M1M2_PR ;
- mprj_io_out\[32\] ( padframe mprj_io_out[32] ) ( gpio_control_in\[32\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 206880 2009470 ) ( 209040 * )
NEW met2 ( 209040 2007990 0 ) ( * 2009470 )
NEW met3 ( 206880 2132310 ) ( * 2134160 0 )
NEW met4 ( 206880 2009470 ) ( * 2132310 )
NEW met3 ( 206880 2009470 ) M3M4_PR_M
NEW met2 ( 209040 2009470 ) via2_FR
NEW met3 ( 206880 2132310 ) M3M4_PR_M ;
- mprj_io_out\[33\] ( padframe mprj_io_out[33] ) ( gpio_control_in\[33\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 206880 1793390 ) ( 209040 * )
NEW met2 ( 209040 1791910 0 ) ( * 1793390 )
NEW met3 ( 206880 1916230 ) ( * 1918080 0 )
NEW met4 ( 206880 1793390 ) ( * 1916230 )
NEW met3 ( 206880 1793390 ) M3M4_PR_M
NEW met2 ( 209040 1793390 ) via2_FR
NEW met3 ( 206880 1916230 ) M3M4_PR_M ;
- mprj_io_out\[34\] ( padframe mprj_io_out[34] ) ( gpio_control_in\[34\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 206880 1577310 ) ( 209040 * )
NEW met2 ( 209040 1576025 0 ) ( * 1577310 )
NEW met3 ( 206880 1700890 ) ( * 1702370 0 )
NEW met4 ( 206880 1577310 ) ( * 1700890 )
NEW met3 ( 206880 1577310 ) M3M4_PR_M
NEW met2 ( 209040 1577310 ) via2_FR
NEW met3 ( 206880 1700890 ) M3M4_PR_M ;
- mprj_io_out\[35\] ( padframe mprj_io_out[35] ) ( gpio_control_in\[35\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 206880 1361230 ) ( 209040 * )
NEW met2 ( 209040 1360120 0 ) ( * 1361230 )
NEW met3 ( 206880 1484810 ) ( * 1486290 0 )
NEW met4 ( 206880 1361230 ) ( * 1484810 )
NEW met3 ( 206880 1361230 ) M3M4_PR_M
NEW met2 ( 209040 1361230 ) via2_FR
NEW met3 ( 206880 1484810 ) M3M4_PR_M ;
- mprj_io_out\[36\] ( padframe mprj_io_out[36] ) ( gpio_control_in\[36\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 204000 1268730 ) ( * 1270210 0 )
NEW met3 ( 204000 1145150 ) ( 209040 * )
NEW met2 ( 209040 1144040 0 ) ( * 1145150 )
NEW met4 ( 204000 1145150 ) ( * 1268730 )
NEW met3 ( 204000 1268730 ) M3M4_PR_M
NEW met3 ( 204000 1145150 ) M3M4_PR_M
NEW met2 ( 209040 1145150 ) via2_FR ;
- mprj_io_out\[37\] ( padframe mprj_io_out[37] ) ( gpio_control_in\[37\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 204960 929070 ) ( 209040 * )
NEW met2 ( 209040 927960 0 ) ( * 929070 )
NEW met3 ( 204960 1052650 ) ( * 1054130 0 )
NEW met4 ( 204960 929070 ) ( * 1052650 )
NEW met3 ( 204960 929070 ) M3M4_PR_M
NEW met2 ( 209040 929070 ) via2_FR
NEW met3 ( 204960 1052650 ) M3M4_PR_M ;
- mprj_io_out\[3\] ( padframe mprj_io_out[3] ) ( gpio_control_in\[3\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met1 ( 3372240 1239685 ) ( 3377520 * )
NEW met2 ( 3377520 1236910 0 ) ( * 1239685 )
NEW met3 ( 3372240 1323120 ) ( 3373920 * 0 )
NEW met2 ( 3372240 1239685 ) ( * 1323120 )
NEW met1 ( 3372240 1239685 ) M1M2_PR
NEW met1 ( 3377520 1239685 ) M1M2_PR
NEW met2 ( 3372240 1323120 ) via2_FR ;
- mprj_io_out\[4\] ( padframe mprj_io_out[4] ) ( gpio_control_in\[4\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met1 ( 3371760 1464645 ) ( 3377040 * )
NEW met2 ( 3377040 1461870 0 ) ( * 1464645 )
NEW met3 ( 3371760 1548080 ) ( 3373920 * 0 )
NEW met2 ( 3371760 1464645 ) ( * 1548080 )
NEW met1 ( 3371760 1464645 ) M1M2_PR
NEW met1 ( 3377040 1464645 ) M1M2_PR
NEW met2 ( 3371760 1548080 ) via2_FR ;
- mprj_io_out\[5\] ( padframe mprj_io_out[5] ) ( gpio_control_in\[5\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met1 ( 3373200 1698855 ) ( 3375600 * )
NEW met2 ( 3375600 1686975 ) ( * 1698855 )
NEW met2 ( 3375600 1686975 ) ( 3377040 * 0 )
NEW met3 ( 3373200 1771930 ) ( 3373920 * )
NEW met3 ( 3373920 1771930 ) ( * 1773410 0 )
NEW met2 ( 3373200 1698855 ) ( * 1771930 )
NEW met1 ( 3373200 1698855 ) M1M2_PR
NEW met1 ( 3375600 1698855 ) M1M2_PR
NEW met2 ( 3373200 1771930 ) via2_FR ;
- mprj_io_out\[6\] ( padframe mprj_io_out[6] ) ( gpio_control_in\[6\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3372720 1998370 ) ( 3373920 * )
NEW met3 ( 3373920 1998370 ) ( * 1999110 0 )
NEW met1 ( 3372720 1915675 ) ( 3377040 * )
NEW met2 ( 3377040 1912900 0 ) ( * 1915675 )
NEW met2 ( 3372720 1915675 ) ( * 1998370 )
NEW met2 ( 3372720 1998370 ) via2_FR
NEW met1 ( 3372720 1915675 ) M1M2_PR
NEW met1 ( 3377040 1915675 ) M1M2_PR ;
- mprj_io_out\[7\] ( padframe mprj_io_out[7] ) ( gpio_control_in\[7\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met1 ( 3375600 2479925 ) ( 3377040 * )
NEW met2 ( 3377040 2441630 ) ( * 2479925 )
NEW met3 ( 3376800 2441630 ) ( 3377040 * )
NEW met3 ( 3376800 2440150 0 ) ( * 2441630 )
NEW met1 ( 3373200 2683795 ) ( 3374640 * )
NEW met2 ( 3374640 2649385 ) ( * 2683795 )
NEW met1 ( 3374640 2649385 ) ( 3376080 * )
NEW met3 ( 3374640 2520070 ) ( 3375600 * )
NEW met2 ( 3374640 2520070 ) ( * 2570575 )
NEW met1 ( 3374640 2570575 ) ( 3375600 * )
NEW met2 ( 3375600 2570575 ) ( * 2620710 )
NEW met2 ( 3375600 2620710 ) ( 3376080 * )
NEW met2 ( 3375600 2479925 ) ( * 2520070 )
NEW met2 ( 3376080 2620710 ) ( * 2649385 )
NEW met1 ( 3373200 2797015 ) ( 3377040 * )
NEW met2 ( 3377040 2797015 ) ( * 2799050 0 )
NEW met2 ( 3373200 2683795 ) ( * 2797015 )
NEW met1 ( 3375600 2479925 ) M1M2_PR
NEW met1 ( 3377040 2479925 ) M1M2_PR
NEW met2 ( 3377040 2441630 ) via2_FR
NEW met1 ( 3373200 2683795 ) M1M2_PR
NEW met1 ( 3374640 2683795 ) M1M2_PR
NEW met1 ( 3374640 2649385 ) M1M2_PR
NEW met1 ( 3376080 2649385 ) M1M2_PR
NEW met2 ( 3375600 2520070 ) via2_FR
NEW met2 ( 3374640 2520070 ) via2_FR
NEW met1 ( 3374640 2570575 ) M1M2_PR
NEW met1 ( 3375600 2570575 ) M1M2_PR
NEW met1 ( 3373200 2797015 ) M1M2_PR
NEW met1 ( 3377040 2797015 ) M1M2_PR ;
- mprj_io_out\[8\] ( padframe mprj_io_out[8] ) ( gpio_control_in\[8\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3372000 3024010 ) ( 3377040 * )
NEW met2 ( 3377040 3024010 ) ( * 3024975 0 )
NEW met3 ( 3372000 2659930 ) ( 3373920 * 0 )
NEW met4 ( 3372000 2659930 ) ( * 3024010 )
NEW met3 ( 3372000 3024010 ) M3M4_PR_M
NEW met2 ( 3377040 3024010 ) via2_FR
NEW met3 ( 3372000 2659930 ) M3M4_PR_M ;
- mprj_io_out\[9\] ( padframe mprj_io_out[9] ) ( gpio_control_in\[9\] pad_gpio_out ) + USE SIGNAL
+ ROUTED met1 ( 3375600 2952415 ) ( 3377520 * )
NEW met2 ( 3375600 2952415 ) ( * 2977390 )
NEW met3 ( 3375600 2977390 ) ( 3380640 * )
NEW met3 ( 3373920 3248230 ) ( 3377040 * )
NEW met2 ( 3377040 3248230 ) ( * 3250080 0 )
NEW met3 ( 3377520 2951490 ) ( 3377760 * )
NEW met4 ( 3377760 2886370 ) ( * 2951490 )
NEW met3 ( 3377760 2884890 0 ) ( * 2886370 )
NEW met2 ( 3377520 2951490 ) ( * 2952415 )
NEW met3 ( 3373920 3069150 ) ( 3380640 * )
NEW met4 ( 3373920 3069150 ) ( * 3248230 )
NEW met4 ( 3380640 2977390 ) ( * 3069150 )
NEW met1 ( 3377520 2952415 ) M1M2_PR
NEW met1 ( 3375600 2952415 ) M1M2_PR
NEW met2 ( 3375600 2977390 ) via2_FR
NEW met3 ( 3380640 2977390 ) M3M4_PR_M
NEW met3 ( 3373920 3248230 ) M3M4_PR_M
NEW met2 ( 3377040 3248230 ) via2_FR
NEW met2 ( 3377520 2951490 ) via2_FR
NEW met3 ( 3377760 2951490 ) M3M4_PR_M
NEW met3 ( 3377760 2886370 ) M3M4_PR_M
NEW met3 ( 3373920 3069150 ) M3M4_PR_M
NEW met3 ( 3380640 3069150 ) M3M4_PR_M
NEW met3 ( 3377520 2951490 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_slow_sel\[0\] ( padframe mprj_io_slow_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3379680 589410 ) ( 3385680 * )
NEW met2 ( 3385680 589410 ) ( * 650090 )
NEW met3 ( 3385440 650090 ) ( 3385680 * )
NEW met3 ( 3385440 650090 ) ( * 651570 0 )
NEW met2 ( 3378960 513930 0 ) ( * 516150 )
NEW met3 ( 3378960 516150 ) ( 3379680 * )
NEW met4 ( 3379680 516150 ) ( * 589410 )
NEW met3 ( 3379680 589410 ) M3M4_PR_M
NEW met2 ( 3385680 589410 ) via2_FR
NEW met2 ( 3385680 650090 ) via2_FR
NEW met2 ( 3378960 516150 ) via2_FR
NEW met3 ( 3379680 516150 ) M3M4_PR_M ;
- mprj_io_slow_sel\[10\] ( padframe mprj_io_slow_sel[10] ) ( gpio_control_in\[10\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met1 ( 3371760 3427495 ) ( 3377520 * )
NEW met2 ( 3377520 3427495 ) ( * 3429900 0 )
NEW met3 ( 3371760 3116510 ) ( 3373920 * 0 )
NEW met2 ( 3371760 3116510 ) ( * 3427495 )
NEW met1 ( 3371760 3427495 ) M1M2_PR
NEW met1 ( 3377520 3427495 ) M1M2_PR
NEW met2 ( 3371760 3116510 ) via2_FR ;
- mprj_io_slow_sel\[11\] ( padframe mprj_io_slow_sel[11] ) ( gpio_control_in\[11\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met1 ( 3373200 3652455 ) ( 3377520 * )
NEW met2 ( 3377520 3652455 ) ( * 3654860 0 )
NEW met3 ( 3373200 3342950 ) ( 3373920 * )
NEW met3 ( 3373920 3341470 0 ) ( * 3342950 )
NEW met2 ( 3373200 3342950 ) ( * 3652455 )
NEW met1 ( 3373200 3652455 ) M1M2_PR
NEW met1 ( 3377520 3652455 ) M1M2_PR
NEW met2 ( 3373200 3342950 ) via2_FR ;
- mprj_io_slow_sel\[12\] ( padframe mprj_io_slow_sel[12] ) ( gpio_control_in\[12\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met1 ( 3372240 3877415 ) ( 3377040 * )
NEW met2 ( 3377040 3877415 ) ( * 3879975 0 )
NEW met2 ( 3370800 3644130 ) ( 3372240 * )
NEW met2 ( 3370800 3567910 ) ( * 3644130 )
NEW met3 ( 3370800 3567910 ) ( 3373920 * )
NEW met3 ( 3373920 3567540 0 ) ( * 3567910 )
NEW met2 ( 3372240 3644130 ) ( * 3877415 )
NEW met1 ( 3372240 3877415 ) M1M2_PR
NEW met1 ( 3377040 3877415 ) M1M2_PR
NEW met2 ( 3370800 3567910 ) via2_FR ;
- mprj_io_slow_sel\[13\] ( padframe mprj_io_slow_sel[13] ) ( gpio_control_in\[13\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3376800 3792870 0 ) ( * 3794350 )
NEW met3 ( 3376800 4323450 ) ( 3377040 * )
NEW met2 ( 3377040 4323450 ) ( * 4326040 0 )
NEW met4 ( 3376800 3794350 ) ( * 4323450 )
NEW met3 ( 3376800 3794350 ) M3M4_PR_M
NEW met3 ( 3376800 4323450 ) M3M4_PR_M
NEW met2 ( 3377040 4323450 ) via2_FR
NEW met3 ( 3376800 4323450 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_slow_sel\[14\] ( padframe mprj_io_slow_sel[14] ) ( gpio_control_in\[14\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3370800 4684570 ) ( 3373920 * 0 )
NEW met1 ( 3370800 4769485 ) ( 3377520 * )
NEW met2 ( 3377520 4769485 ) ( * 4771890 0 )
NEW met2 ( 3370800 4684570 ) ( * 4769485 )
NEW met2 ( 3370800 4684570 ) via2_FR
NEW met1 ( 3370800 4769485 ) M1M2_PR
NEW met1 ( 3377520 4769485 ) M1M2_PR ;
- mprj_io_slow_sel\[15\] ( padframe mprj_io_slow_sel[15] ) ( gpio_control_in\[15\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 2867760 4975390 ) ( * 4994815 )
NEW met2 ( 3196080 4975390 ) ( * 4977610 0 )
NEW met3 ( 2867760 4975390 ) ( 3196080 * )
NEW met2 ( 2794320 4994630 ) ( * 4994815 )
NEW met3 ( 2792640 4994630 0 ) ( 2794320 * )
NEW met1 ( 2794320 4994815 ) ( 2867760 * )
NEW met1 ( 2867760 4994815 ) M1M2_PR
NEW met2 ( 2867760 4975390 ) via2_FR
NEW met2 ( 3196080 4975390 ) via2_FR
NEW met1 ( 2794320 4994815 ) M1M2_PR
NEW met2 ( 2794320 4994630 ) via2_FR ;
- mprj_io_slow_sel\[16\] ( padframe mprj_io_slow_sel[16] ) ( gpio_control_in\[16\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 2617200 4976315 ) ( * 4982975 )
NEW met2 ( 2536560 4982790 ) ( * 4982975 )
NEW met3 ( 2535840 4982790 0 ) ( 2536560 * )
NEW met1 ( 2536560 4982975 ) ( 2617200 * )
NEW met2 ( 2687040 4976315 ) ( * 4977610 0 )
NEW met1 ( 2617200 4976315 ) ( 2687040 * )
NEW met1 ( 2617200 4976315 ) M1M2_PR
NEW met1 ( 2617200 4982975 ) M1M2_PR
NEW met1 ( 2536560 4982975 ) M1M2_PR
NEW met2 ( 2536560 4982790 ) via2_FR
NEW met1 ( 2687040 4976315 ) M1M2_PR ;
- mprj_io_slow_sel\[17\] ( padframe mprj_io_slow_sel[17] ) ( gpio_control_in\[17\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 2430000 4973910 ) ( * 4977610 0 )
NEW met2 ( 2174640 5015165 ) ( * 5027005 )
NEW met1 ( 2151600 5015165 ) ( 2174640 * )
NEW met2 ( 2151600 5015165 ) ( * 5015350 )
NEW met3 ( 2150880 5015350 0 ) ( 2151600 * )
NEW met2 ( 2323920 4973910 ) ( * 4996665 )
NEW met1 ( 2311440 4996665 ) ( 2323920 * )
NEW met1 ( 2311440 4996665 ) ( * 4997035 )
NEW met1 ( 2296560 4997035 ) ( 2311440 * )
NEW met2 ( 2296560 4997035 ) ( * 5027005 )
NEW met1 ( 2174640 5027005 ) ( 2296560 * )
NEW met3 ( 2323920 4973910 ) ( 2430000 * )
NEW met2 ( 2430000 4973910 ) via2_FR
NEW met1 ( 2174640 5027005 ) M1M2_PR
NEW met1 ( 2174640 5015165 ) M1M2_PR
NEW met1 ( 2151600 5015165 ) M1M2_PR
NEW met2 ( 2151600 5015350 ) via2_FR
NEW met2 ( 2323920 4973910 ) via2_FR
NEW met1 ( 2323920 4996665 ) M1M2_PR
NEW met1 ( 2296560 4997035 ) M1M2_PR
NEW met1 ( 2296560 5027005 ) M1M2_PR ;
- mprj_io_slow_sel\[18\] ( padframe mprj_io_slow_sel[18] ) ( gpio_control_in\[18\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 1814640 5011465 ) ( * 5011650 )
NEW met3 ( 1813440 5011650 0 ) ( 1814640 * )
NEW met2 ( 1985040 4975390 ) ( * 4977610 0 )
NEW met2 ( 1885680 4975390 ) ( * 4985565 )
NEW met1 ( 1843920 4985565 ) ( 1885680 * )
NEW met2 ( 1843920 4985565 ) ( * 5011465 )
NEW met1 ( 1814640 5011465 ) ( 1843920 * )
NEW met3 ( 1885680 4975390 ) ( 1985040 * )
NEW met1 ( 1814640 5011465 ) M1M2_PR
NEW met2 ( 1814640 5011650 ) via2_FR
NEW met2 ( 1985040 4975390 ) via2_FR
NEW met2 ( 1885680 4975390 ) via2_FR
NEW met1 ( 1885680 4985565 ) M1M2_PR
NEW met1 ( 1843920 4985565 ) M1M2_PR
NEW met1 ( 1843920 5011465 ) M1M2_PR ;
- mprj_io_slow_sel\[19\] ( padframe mprj_io_slow_sel[19] ) ( gpio_control_in\[19\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 1560720 4997590 ) ( * 4997775 )
NEW met3 ( 1560720 4997590 ) ( 1561440 * 0 )
NEW met2 ( 1476000 4977610 0 ) ( 1477200 * )
NEW met2 ( 1477200 4977610 ) ( * 4977795 )
NEW met1 ( 1477200 4977795 ) ( 1491600 * )
NEW met2 ( 1491600 4977795 ) ( * 4997775 )
NEW met1 ( 1491600 4997775 ) ( 1560720 * )
NEW met1 ( 1560720 4997775 ) M1M2_PR
NEW met2 ( 1560720 4997590 ) via2_FR
NEW met1 ( 1477200 4977795 ) M1M2_PR
NEW met1 ( 1491600 4977795 ) M1M2_PR
NEW met1 ( 1491600 4997775 ) M1M2_PR ;
- mprj_io_slow_sel\[1\] ( padframe mprj_io_slow_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 3378960 740000 0 ) ( * 742590 )
NEW met3 ( 3378720 742590 ) ( 3378960 * )
NEW met4 ( 3378720 742590 ) ( * 807710 )
NEW met3 ( 3378720 807710 ) ( 3384720 * )
NEW met3 ( 3384480 875790 ) ( 3384720 * )
NEW met3 ( 3384480 875790 ) ( * 877640 0 )
NEW met2 ( 3384720 807710 ) ( * 875790 )
NEW met2 ( 3378960 742590 ) via2_FR
NEW met3 ( 3378720 742590 ) M3M4_PR_M
NEW met3 ( 3378720 807710 ) M3M4_PR_M
NEW met2 ( 3384720 807710 ) via2_FR
NEW met2 ( 3384720 875790 ) via2_FR
NEW met3 ( 3378960 742590 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_slow_sel\[20\] ( padframe mprj_io_slow_sel[20] ) ( gpio_control_in\[20\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 1218000 4979090 0 ) ( 1219920 * )
NEW met3 ( 1219920 4979090 ) ( 1234320 * )
NEW met2 ( 1234320 4979090 ) ( * 4997405 )
NEW met2 ( 1302480 4997405 ) ( * 4997590 )
NEW met3 ( 1302480 4997590 ) ( 1303680 * 0 )
NEW met1 ( 1234320 4997405 ) ( 1302480 * )
NEW met2 ( 1219920 4979090 ) via2_FR
NEW met2 ( 1234320 4979090 ) via2_FR
NEW met1 ( 1234320 4997405 ) M1M2_PR
NEW met1 ( 1302480 4997405 ) M1M2_PR
NEW met2 ( 1302480 4997590 ) via2_FR ;
- mprj_io_slow_sel\[21\] ( padframe mprj_io_slow_sel[21] ) ( gpio_control_in\[21\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 1045680 5004065 ) ( * 5004250 )
NEW met3 ( 1045680 5004250 ) ( 1046880 * 0 )
NEW met2 ( 960960 4978350 0 ) ( 962640 * )
NEW met2 ( 962640 4978165 ) ( * 4978350 )
NEW met1 ( 962640 4978165 ) ( 976080 * )
NEW met2 ( 976080 4978165 ) ( * 5004065 )
NEW met1 ( 976080 5004065 ) ( 1045680 * )
NEW met1 ( 1045680 5004065 ) M1M2_PR
NEW met2 ( 1045680 5004250 ) via2_FR
NEW met1 ( 962640 4978165 ) M1M2_PR
NEW met1 ( 976080 4978165 ) M1M2_PR
NEW met1 ( 976080 5004065 ) M1M2_PR ;
- mprj_io_slow_sel\[22\] ( padframe mprj_io_slow_sel[22] ) ( gpio_control_in\[22\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 703920 4977610 0 ) ( 705360 * )
NEW met2 ( 705360 4977610 ) ( * 4977795 )
NEW met1 ( 705360 4977795 ) ( 719280 * )
NEW met2 ( 719280 4977795 ) ( * 5004065 )
NEW met2 ( 788400 5004065 ) ( * 5004250 )
NEW met3 ( 788400 5004250 ) ( 789600 * 0 )
NEW met1 ( 719280 5004065 ) ( 788400 * )
NEW met1 ( 705360 4977795 ) M1M2_PR
NEW met1 ( 719280 4977795 ) M1M2_PR
NEW met1 ( 719280 5004065 ) M1M2_PR
NEW met1 ( 788400 5004065 ) M1M2_PR
NEW met2 ( 788400 5004250 ) via2_FR ;
- mprj_io_slow_sel\[23\] ( padframe mprj_io_slow_sel[23] ) ( gpio_control_in\[23\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 447120 4978350 0 ) ( 449040 * )
NEW met2 ( 449040 4978350 ) ( * 4978535 )
NEW met1 ( 449040 4978535 ) ( 462000 * )
NEW met2 ( 462000 4978535 ) ( * 4997035 )
NEW met1 ( 462000 4997035 ) ( 531600 * )
NEW met2 ( 531600 4996850 ) ( * 4997035 )
NEW met3 ( 531600 4996850 ) ( 532320 * 0 )
NEW met1 ( 449040 4978535 ) M1M2_PR
NEW met1 ( 462000 4978535 ) M1M2_PR
NEW met1 ( 462000 4997035 ) M1M2_PR
NEW met1 ( 531600 4997035 ) M1M2_PR
NEW met2 ( 531600 4996850 ) via2_FR ;
- mprj_io_slow_sel\[24\] ( padframe mprj_io_slow_sel[24] ) ( gpio_control_in\[24\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 206880 4835530 ) ( 209040 * )
NEW met2 ( 209040 4835530 ) ( * 4837010 0 )
NEW met3 ( 206880 4702700 0 ) ( * 4704550 )
NEW met4 ( 206880 4704550 ) ( * 4835530 )
NEW met3 ( 206880 4835530 ) M3M4_PR_M
NEW met2 ( 209040 4835530 ) via2_FR
NEW met3 ( 206880 4704550 ) M3M4_PR_M ;
- mprj_io_slow_sel\[25\] ( padframe mprj_io_slow_sel[25] ) ( gpio_control_in\[25\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 212640 4071850 ) ( 213360 * )
NEW met3 ( 212640 4071850 ) ( * 4073330 0 )
NEW met2 ( 210960 3988025 0 ) ( * 3990635 )
NEW met1 ( 210960 3990635 ) ( 213360 * )
NEW met2 ( 213360 3990635 ) ( * 4071850 )
NEW met2 ( 213360 4071850 ) via2_FR
NEW met1 ( 210960 3990635 ) M1M2_PR
NEW met1 ( 213360 3990635 ) M1M2_PR ;
- mprj_io_slow_sel\[26\] ( padframe mprj_io_slow_sel[26] ) ( gpio_control_in\[26\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 210480 3855770 ) ( 210720 * )
NEW met3 ( 210720 3855770 ) ( * 3857620 0 )
NEW met3 ( 210480 3801010 ) ( 212640 * )
NEW met4 ( 212640 3774370 ) ( * 3801010 )
NEW met3 ( 210480 3774370 ) ( 212640 * )
NEW met2 ( 210480 3772150 0 ) ( * 3774370 )
NEW met2 ( 210480 3801010 ) ( * 3855770 )
NEW met2 ( 210480 3855770 ) via2_FR
NEW met2 ( 210480 3801010 ) via2_FR
NEW met3 ( 212640 3801010 ) M3M4_PR_M
NEW met3 ( 212640 3774370 ) M3M4_PR_M
NEW met2 ( 210480 3774370 ) via2_FR ;
- mprj_io_slow_sel\[27\] ( padframe mprj_io_slow_sel[27] ) ( gpio_control_in\[27\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 209760 3558290 ) ( 210480 * )
NEW met4 ( 209760 3558290 ) ( * 3569390 )
NEW met3 ( 209520 3569390 ) ( 209760 * )
NEW met2 ( 209520 3569390 ) ( * 3639690 )
NEW met3 ( 209520 3639690 ) ( 209760 * )
NEW met3 ( 209760 3639690 ) ( * 3641540 0 )
NEW met2 ( 210480 3556070 0 ) ( * 3558290 )
NEW met2 ( 210480 3558290 ) via2_FR
NEW met3 ( 209760 3558290 ) M3M4_PR_M
NEW met3 ( 209760 3569390 ) M3M4_PR_M
NEW met2 ( 209520 3569390 ) via2_FR
NEW met2 ( 209520 3639690 ) via2_FR
NEW met3 ( 209520 3569390 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_slow_sel\[28\] ( padframe mprj_io_slow_sel[28] ) ( gpio_control_in\[28\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met1 ( 209520 3360525 ) ( 213360 * )
NEW met2 ( 209520 3360525 ) ( * 3424350 )
NEW met3 ( 209520 3424350 ) ( 209760 * )
NEW met3 ( 209760 3424350 ) ( * 3425830 0 )
NEW met2 ( 210960 3339990 0 ) ( * 3342765 )
NEW met1 ( 210960 3342765 ) ( 214800 * )
NEW met2 ( 214800 3342765 ) ( * 3353865 )
NEW met1 ( 213360 3353865 ) ( 214800 * )
NEW met2 ( 213360 3353865 ) ( * 3360525 )
NEW met1 ( 213360 3360525 ) M1M2_PR
NEW met1 ( 209520 3360525 ) M1M2_PR
NEW met2 ( 209520 3424350 ) via2_FR
NEW met1 ( 210960 3342765 ) M1M2_PR
NEW met1 ( 214800 3342765 ) M1M2_PR
NEW met1 ( 214800 3353865 ) M1M2_PR
NEW met1 ( 213360 3353865 ) M1M2_PR ;
- mprj_io_slow_sel\[29\] ( padframe mprj_io_slow_sel[29] ) ( gpio_control_in\[29\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met1 ( 209040 3158875 ) ( 213360 * )
NEW met2 ( 209040 3158875 ) ( * 3208270 )
NEW met3 ( 208800 3208270 ) ( 209040 * )
NEW met3 ( 208800 3208270 ) ( * 3209750 0 )
NEW met2 ( 210960 3123910 0 ) ( * 3126685 )
NEW met1 ( 210960 3126685 ) ( 213360 * )
NEW met2 ( 213360 3126685 ) ( * 3140005 )
NEW met1 ( 213360 3140005 ) ( * 3141485 )
NEW met2 ( 213360 3141485 ) ( * 3158875 )
NEW met1 ( 213360 3158875 ) M1M2_PR
NEW met1 ( 209040 3158875 ) M1M2_PR
NEW met2 ( 209040 3208270 ) via2_FR
NEW met1 ( 210960 3126685 ) M1M2_PR
NEW met1 ( 213360 3126685 ) M1M2_PR
NEW met1 ( 213360 3140005 ) M1M2_PR
NEW met1 ( 213360 3141485 ) M1M2_PR ;
- mprj_io_slow_sel\[2\] ( padframe mprj_io_slow_sel[2] ) ( gpio_control_in\[2\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3371040 967550 ) ( 3377040 * )
NEW met2 ( 3377040 964960 0 ) ( * 967550 )
NEW met3 ( 3371040 1102600 ) ( 3373920 * 0 )
NEW met4 ( 3371040 967550 ) ( * 1102600 )
NEW met3 ( 3371040 967550 ) M3M4_PR_M
NEW met2 ( 3377040 967550 ) via2_FR
NEW met3 ( 3371040 1102600 ) M3M4_PR_M ;
- mprj_io_slow_sel\[30\] ( padframe mprj_io_slow_sel[30] ) ( gpio_control_in\[30\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 212640 2993670 0 ) ( 215760 * )
NEW met2 ( 210960 2908025 0 ) ( * 2910605 )
NEW met1 ( 210960 2910605 ) ( 216720 * )
NEW met2 ( 216720 2910605 ) ( * 2923185 )
NEW met1 ( 215760 2923185 ) ( 216720 * )
NEW met2 ( 215760 2923185 ) ( * 2993670 )
NEW met2 ( 215760 2993670 ) via2_FR
NEW met1 ( 210960 2910605 ) M1M2_PR
NEW met1 ( 216720 2910605 ) M1M2_PR
NEW met1 ( 216720 2923185 ) M1M2_PR
NEW met1 ( 215760 2923185 ) M1M2_PR ;
- mprj_io_slow_sel\[31\] ( padframe mprj_io_slow_sel[31] ) ( gpio_control_in\[31\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 209760 2776110 ) ( 210000 * )
NEW met3 ( 209760 2776110 ) ( * 2777590 0 )
NEW met1 ( 210000 2704515 ) ( * 2704885 )
NEW met1 ( 210000 2704515 ) ( 212400 * )
NEW met1 ( 212400 2701925 ) ( * 2704515 )
NEW met2 ( 212400 2694525 ) ( * 2701925 )
NEW met1 ( 210480 2694525 ) ( 212400 * )
NEW met2 ( 210480 2692120 0 ) ( * 2694525 )
NEW met2 ( 210000 2704885 ) ( * 2776110 )
NEW met2 ( 210000 2776110 ) via2_FR
NEW met1 ( 210000 2704885 ) M1M2_PR
NEW met1 ( 212400 2701925 ) M1M2_PR
NEW met1 ( 212400 2694525 ) M1M2_PR
NEW met1 ( 210480 2694525 ) M1M2_PR ;
- mprj_io_slow_sel\[32\] ( padframe mprj_io_slow_sel[32] ) ( gpio_control_in\[32\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 2054025 0 ) ( * 2056645 )
NEW met1 ( 210960 2056645 ) ( 214320 * )
NEW met2 ( 214320 2056645 ) ( * 2139710 )
NEW met3 ( 212640 2139710 0 ) ( 214320 * )
NEW met1 ( 210960 2056645 ) M1M2_PR
NEW met1 ( 214320 2056645 ) M1M2_PR
NEW met2 ( 214320 2139710 ) via2_FR ;
- mprj_io_slow_sel\[33\] ( padframe mprj_io_slow_sel[33] ) ( gpio_control_in\[33\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 210480 1838160 0 ) ( * 1840565 )
NEW met1 ( 210480 1840565 ) ( 212880 * )
NEW met1 ( 212880 1844265 ) ( * 1850925 )
NEW met1 ( 210960 1850925 ) ( 212880 * )
NEW met2 ( 210960 1850925 ) ( * 1922150 )
NEW met3 ( 210720 1922150 ) ( 210960 * )
NEW met3 ( 210720 1922150 ) ( * 1923630 0 )
NEW met2 ( 212880 1840565 ) ( * 1844265 )
NEW met1 ( 210480 1840565 ) M1M2_PR
NEW met1 ( 212880 1840565 ) M1M2_PR
NEW met1 ( 212880 1844265 ) M1M2_PR
NEW met1 ( 210960 1850925 ) M1M2_PR
NEW met2 ( 210960 1922150 ) via2_FR ;
- mprj_io_slow_sel\[34\] ( padframe mprj_io_slow_sel[34] ) ( gpio_control_in\[34\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 210480 1622080 0 ) ( * 1624485 )
NEW met1 ( 210480 1624485 ) ( 214800 * )
NEW met3 ( 212640 1707550 0 ) ( 214800 * )
NEW met2 ( 214800 1624485 ) ( * 1707550 )
NEW met1 ( 210480 1624485 ) M1M2_PR
NEW met1 ( 214800 1624485 ) M1M2_PR
NEW met2 ( 214800 1707550 ) via2_FR ;
- mprj_io_slow_sel\[35\] ( padframe mprj_io_slow_sel[35] ) ( gpio_control_in\[35\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met1 ( 206160 1420615 ) ( 212400 * )
NEW met1 ( 212400 1418025 ) ( * 1420615 )
NEW met2 ( 212400 1408775 ) ( * 1418025 )
NEW met1 ( 210960 1408775 ) ( 212400 * )
NEW met2 ( 210960 1406000 0 ) ( * 1408775 )
NEW met3 ( 205920 1489990 ) ( 206160 * )
NEW met3 ( 205920 1489990 ) ( * 1491470 0 )
NEW met2 ( 206160 1420615 ) ( * 1489990 )
NEW met1 ( 206160 1420615 ) M1M2_PR
NEW met1 ( 212400 1418025 ) M1M2_PR
NEW met1 ( 212400 1408775 ) M1M2_PR
NEW met1 ( 210960 1408775 ) M1M2_PR
NEW met2 ( 206160 1489990 ) via2_FR ;
- mprj_io_slow_sel\[36\] ( padframe mprj_io_slow_sel[36] ) ( gpio_control_in\[36\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 212640 1275390 0 ) ( 215280 * )
NEW met2 ( 210960 1189920 0 ) ( * 1192695 )
NEW met1 ( 210960 1192695 ) ( 215280 * )
NEW met2 ( 215280 1192695 ) ( * 1275390 )
NEW met2 ( 215280 1275390 ) via2_FR
NEW met1 ( 210960 1192695 ) M1M2_PR
NEW met1 ( 215280 1192695 ) M1M2_PR ;
- mprj_io_slow_sel\[37\] ( padframe mprj_io_slow_sel[37] ) ( gpio_control_in\[37\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 974025 0 ) ( * 976615 )
NEW met1 ( 210960 976615 ) ( 212400 * )
NEW met1 ( 212400 976615 ) ( * 978095 )
NEW met2 ( 212400 978095 ) ( * 979390 )
NEW met2 ( 212400 979390 ) ( 212880 * )
NEW met2 ( 212400 1058570 ) ( 212880 * )
NEW met3 ( 212400 1058570 ) ( 212640 * )
NEW met3 ( 212640 1058570 ) ( * 1059310 0 )
NEW met2 ( 212880 979390 ) ( * 1058570 )
NEW met1 ( 210960 976615 ) M1M2_PR
NEW met1 ( 212400 978095 ) M1M2_PR
NEW met2 ( 212400 1058570 ) via2_FR ;
- mprj_io_slow_sel\[3\] ( padframe mprj_io_slow_sel[3] ) ( gpio_control_in\[3\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met2 ( 3378960 1191030 0 ) ( * 1193250 )
NEW met3 ( 3378720 1193250 ) ( 3378960 * )
NEW met4 ( 3378720 1193250 ) ( * 1257630 )
NEW met3 ( 3378720 1257630 ) ( 3386160 * )
NEW met3 ( 3386160 1327190 ) ( 3386400 * )
NEW met3 ( 3386400 1327190 ) ( * 1328670 0 )
NEW met2 ( 3386160 1257630 ) ( * 1327190 )
NEW met2 ( 3378960 1193250 ) via2_FR
NEW met3 ( 3378720 1193250 ) M3M4_PR_M
NEW met3 ( 3378720 1257630 ) M3M4_PR_M
NEW met2 ( 3386160 1257630 ) via2_FR
NEW met2 ( 3386160 1327190 ) via2_FR
NEW met3 ( 3378960 1193250 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_slow_sel\[4\] ( padframe mprj_io_slow_sel[4] ) ( gpio_control_in\[4\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3370080 1418210 ) ( 3377040 * )
NEW met2 ( 3377040 1415990 0 ) ( * 1418210 )
NEW met3 ( 3370080 1553630 ) ( 3373920 * 0 )
NEW met4 ( 3370080 1418210 ) ( * 1553630 )
NEW met3 ( 3370080 1418210 ) M3M4_PR_M
NEW met2 ( 3377040 1418210 ) via2_FR
NEW met3 ( 3370080 1553630 ) M3M4_PR_M ;
- mprj_io_slow_sel\[5\] ( padframe mprj_io_slow_sel[5] ) ( gpio_control_in\[5\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3370080 1778590 ) ( 3373920 * 0 )
NEW met3 ( 3370080 1641690 ) ( 3377040 * )
NEW met2 ( 3377040 1640950 0 ) ( * 1641690 )
NEW met4 ( 3370080 1641690 ) ( * 1778590 )
NEW met3 ( 3370080 1778590 ) M3M4_PR_M
NEW met3 ( 3370080 1641690 ) M3M4_PR_M
NEW met2 ( 3377040 1641690 ) via2_FR ;
- mprj_io_slow_sel\[6\] ( padframe mprj_io_slow_sel[6] ) ( gpio_control_in\[6\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3372960 2002810 ) ( 3373920 * )
NEW met3 ( 3373920 2002810 ) ( * 2004290 0 )
NEW met3 ( 3372960 1869610 ) ( 3377520 * )
NEW met2 ( 3377520 1867020 0 ) ( * 1869610 )
NEW met4 ( 3372960 1869610 ) ( * 2002810 )
NEW met3 ( 3372960 2002810 ) M3M4_PR_M
NEW met3 ( 3372960 1869610 ) M3M4_PR_M
NEW met2 ( 3377520 1869610 ) via2_FR ;
- mprj_io_slow_sel\[7\] ( padframe mprj_io_slow_sel[7] ) ( gpio_control_in\[7\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3372720 2446810 ) ( 3373920 * )
NEW met3 ( 3373920 2445330 0 ) ( * 2446810 )
NEW met1 ( 3372720 2681575 ) ( 3375600 * )
NEW met2 ( 3375600 2681575 ) ( * 2750395 )
NEW met1 ( 3375600 2750395 ) ( 3377520 * )
NEW met2 ( 3372720 2446810 ) ( * 2681575 )
NEW met2 ( 3377520 2750395 ) ( * 2752975 0 )
NEW met2 ( 3372720 2446810 ) via2_FR
NEW met1 ( 3372720 2681575 ) M1M2_PR
NEW met1 ( 3375600 2681575 ) M1M2_PR
NEW met1 ( 3375600 2750395 ) M1M2_PR
NEW met1 ( 3377520 2750395 ) M1M2_PR ;
- mprj_io_slow_sel\[8\] ( padframe mprj_io_slow_sel[8] ) ( gpio_control_in\[8\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3378960 2976650 ) ( 3380640 * )
NEW met2 ( 3378960 2976650 ) ( * 2978870 0 )
NEW met3 ( 3380640 2665850 0 ) ( * 2667330 )
NEW met4 ( 3380640 2667330 ) ( * 2976650 )
NEW met3 ( 3380640 2976650 ) M3M4_PR_M
NEW met2 ( 3378960 2976650 ) via2_FR
NEW met3 ( 3380640 2667330 ) M3M4_PR_M ;
- mprj_io_slow_sel\[9\] ( padframe mprj_io_slow_sel[9] ) ( gpio_control_in\[9\] pad_gpio_slow_sel ) + USE SIGNAL
+ ROUTED met3 ( 3377760 3002550 ) ( 3383520 * )
NEW met4 ( 3383520 2955375 ) ( * 3002550 )
NEW met4 ( 3383520 2955375 ) ( 3384480 * )
NEW met3 ( 3370080 3201610 ) ( 3377040 * )
NEW met2 ( 3377040 3201610 ) ( * 3203975 0 )
NEW met3 ( 3382560 2949270 ) ( 3384480 * )
NEW met4 ( 3382560 2892290 ) ( * 2949270 )
NEW met3 ( 3382560 2890810 0 ) ( * 2892290 )
NEW met4 ( 3384480 2949270 ) ( * 2955375 )
NEW met3 ( 3370080 3068410 ) ( 3377760 * )
NEW met4 ( 3370080 3068410 ) ( * 3201610 )
NEW met4 ( 3377760 3002550 ) ( * 3068410 )
NEW met3 ( 3377760 3002550 ) M3M4_PR_M
NEW met3 ( 3383520 3002550 ) M3M4_PR_M
NEW met3 ( 3370080 3201610 ) M3M4_PR_M
NEW met2 ( 3377040 3201610 ) via2_FR
NEW met3 ( 3384480 2949270 ) M3M4_PR_M
NEW met3 ( 3382560 2949270 ) M3M4_PR_M
NEW met3 ( 3382560 2892290 ) M3M4_PR_M
NEW met3 ( 3370080 3068410 ) M3M4_PR_M
NEW met3 ( 3377760 3068410 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[0\] ( padframe mprj_io_vtrip_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3372720 653050 ) ( 3373920 * )
NEW met3 ( 3373920 653050 ) ( * 654530 0 )
NEW met1 ( 3372720 570725 ) ( 3377040 * )
NEW met2 ( 3377040 569060 0 ) ( * 570725 )
NEW met2 ( 3372720 570725 ) ( * 653050 )
NEW met2 ( 3372720 653050 ) via2_FR
NEW met1 ( 3372720 570725 ) M1M2_PR
NEW met1 ( 3377040 570725 ) M1M2_PR ;
- mprj_io_vtrip_sel\[10\] ( padframe mprj_io_vtrip_sel[10] ) ( gpio_control_in\[10\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3376800 3119470 0 ) ( * 3120950 )
NEW met3 ( 3376800 3484290 ) ( 3377040 * )
NEW met2 ( 3377040 3484290 ) ( * 3485175 0 )
NEW met4 ( 3376800 3120950 ) ( * 3484290 )
NEW met3 ( 3376800 3120950 ) M3M4_PR_M
NEW met3 ( 3376800 3484290 ) M3M4_PR_M
NEW met2 ( 3377040 3484290 ) via2_FR
NEW met3 ( 3376800 3484290 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_vtrip_sel\[11\] ( padframe mprj_io_vtrip_sel[11] ) ( gpio_control_in\[11\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3372000 3344430 ) ( 3373920 * 0 )
NEW met3 ( 3372000 3708510 ) ( 3377520 * )
NEW met2 ( 3377520 3708510 ) ( * 3710175 0 )
NEW met4 ( 3372000 3344430 ) ( * 3708510 )
NEW met3 ( 3372000 3344430 ) M3M4_PR_M
NEW met3 ( 3372000 3708510 ) M3M4_PR_M
NEW met2 ( 3377520 3708510 ) via2_FR ;
- mprj_io_vtrip_sel\[12\] ( padframe mprj_io_vtrip_sel[12] ) ( gpio_control_in\[12\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 3378960 3933470 ) ( * 3935175 0 )
NEW met3 ( 3378960 3933470 ) ( 3380640 * )
NEW met3 ( 3380640 3570130 0 ) ( * 3571610 )
NEW met4 ( 3380640 3571610 ) ( * 3933470 )
NEW met2 ( 3378960 3933470 ) via2_FR
NEW met3 ( 3380640 3933470 ) M3M4_PR_M
NEW met3 ( 3380640 3571610 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[13\] ( padframe mprj_io_vtrip_sel[13] ) ( gpio_control_in\[13\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3372960 3796570 ) ( 3373920 * )
NEW met3 ( 3373920 3795090 0 ) ( * 3796570 )
NEW met3 ( 3372960 4378950 ) ( 3377040 * )
NEW met2 ( 3377040 4378950 ) ( * 4381170 0 )
NEW met4 ( 3372960 3796570 ) ( * 4378950 )
NEW met3 ( 3372960 3796570 ) M3M4_PR_M
NEW met3 ( 3372960 4378950 ) M3M4_PR_M
NEW met2 ( 3377040 4378950 ) via2_FR ;
- mprj_io_vtrip_sel\[14\] ( padframe mprj_io_vtrip_sel[14] ) ( gpio_control_in\[14\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3372000 4687530 ) ( 3373920 * 0 )
NEW met3 ( 3372000 4825170 ) ( 3376560 * )
NEW met2 ( 3376560 4825170 ) ( * 4827175 )
NEW met2 ( 3376560 4827175 ) ( 3377040 * 0 )
NEW met4 ( 3372000 4687530 ) ( * 4825170 )
NEW met3 ( 3372000 4687530 ) M3M4_PR_M
NEW met3 ( 3372000 4825170 ) M3M4_PR_M
NEW met2 ( 3376560 4825170 ) via2_FR ;
- mprj_io_vtrip_sel\[15\] ( padframe mprj_io_vtrip_sel[15] ) ( gpio_control_in\[15\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 3053520 4986675 ) ( * 4998885 )
NEW met2 ( 3139440 4977610 ) ( 3140880 * 0 )
NEW met2 ( 3139440 4977610 ) ( * 4977795 )
NEW met1 ( 3129840 4977795 ) ( 3139440 * )
NEW met2 ( 3129840 4977795 ) ( * 4998330 )
NEW met2 ( 3129360 4998330 ) ( 3129840 * )
NEW met2 ( 3129360 4998330 ) ( * 4998885 )
NEW met1 ( 3053520 4998885 ) ( 3129360 * )
NEW met2 ( 2797200 4986490 ) ( * 4986675 )
NEW met3 ( 2795040 4986490 0 ) ( 2797200 * )
NEW met1 ( 2797200 4986675 ) ( 3053520 * )
NEW met1 ( 3053520 4986675 ) M1M2_PR
NEW met1 ( 3053520 4998885 ) M1M2_PR
NEW met1 ( 3139440 4977795 ) M1M2_PR
NEW met1 ( 3129840 4977795 ) M1M2_PR
NEW met1 ( 3129360 4998885 ) M1M2_PR
NEW met1 ( 2797200 4986675 ) M1M2_PR
NEW met2 ( 2797200 4986490 ) via2_FR ;
- mprj_io_vtrip_sel\[16\] ( padframe mprj_io_vtrip_sel[16] ) ( gpio_control_in\[16\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 2619120 4978165 ) ( * 5004990 )
NEW met1 ( 2619120 4978165 ) ( 2630640 * )
NEW met2 ( 2630640 4978165 ) ( * 4978350 )
NEW met2 ( 2630640 4978350 ) ( 2631840 * 0 )
NEW met2 ( 2563440 5004805 ) ( * 5004990 )
NEW met1 ( 2539440 5004805 ) ( 2563440 * )
NEW met2 ( 2539440 5004805 ) ( * 5004990 )
NEW met3 ( 2538240 5004990 0 ) ( 2539440 * )
NEW met3 ( 2563440 5004990 ) ( 2619120 * )
NEW met2 ( 2619120 5004990 ) via2_FR
NEW met1 ( 2619120 4978165 ) M1M2_PR
NEW met1 ( 2630640 4978165 ) M1M2_PR
NEW met2 ( 2563440 5004990 ) via2_FR
NEW met1 ( 2563440 5004805 ) M1M2_PR
NEW met1 ( 2539440 5004805 ) M1M2_PR
NEW met2 ( 2539440 5004990 ) via2_FR ;
- mprj_io_vtrip_sel\[17\] ( padframe mprj_io_vtrip_sel[17] ) ( gpio_control_in\[17\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 2373360 4978350 ) ( 2374800 * 0 )
NEW met2 ( 2373360 4978350 ) ( * 4978535 )
NEW met1 ( 2362800 4978535 ) ( 2373360 * )
NEW met2 ( 2362800 4978535 ) ( * 5004065 )
NEW met2 ( 2154960 5004065 ) ( * 5004250 )
NEW met3 ( 2153280 5004250 0 ) ( 2154960 * )
NEW met1 ( 2154960 5004065 ) ( 2362800 * )
NEW met1 ( 2373360 4978535 ) M1M2_PR
NEW met1 ( 2362800 4978535 ) M1M2_PR
NEW met1 ( 2362800 5004065 ) M1M2_PR
NEW met1 ( 2154960 5004065 ) M1M2_PR
NEW met2 ( 2154960 5004250 ) via2_FR ;
- mprj_io_vtrip_sel\[18\] ( padframe mprj_io_vtrip_sel[18] ) ( gpio_control_in\[18\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 1817520 5011650 ) ( * 5011835 )
NEW met3 ( 1816320 5011650 0 ) ( 1817520 * )
NEW met2 ( 1929840 4978350 0 ) ( 1930320 * )
NEW met2 ( 1930320 4978350 ) ( * 4978535 )
NEW met1 ( 1917840 4978535 ) ( 1930320 * )
NEW met2 ( 1917840 4978535 ) ( * 4997035 )
NEW met1 ( 1885680 4997035 ) ( 1917840 * )
NEW met2 ( 1885680 4997035 ) ( * 5011835 )
NEW met1 ( 1817520 5011835 ) ( 1885680 * )
NEW met1 ( 1817520 5011835 ) M1M2_PR
NEW met2 ( 1817520 5011650 ) via2_FR
NEW met1 ( 1930320 4978535 ) M1M2_PR
NEW met1 ( 1917840 4978535 ) M1M2_PR
NEW met1 ( 1917840 4997035 ) M1M2_PR
NEW met1 ( 1885680 4997035 ) M1M2_PR
NEW met1 ( 1885680 5011835 ) M1M2_PR ;
- mprj_io_vtrip_sel\[19\] ( padframe mprj_io_vtrip_sel[19] ) ( gpio_control_in\[19\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 1422000 4968175 ) ( * 4977610 )
NEW met2 ( 1420800 4977610 0 ) ( 1422000 * )
NEW met2 ( 1564080 4968175 ) ( * 4976870 )
NEW met3 ( 1564080 4976870 ) ( 1564320 * )
NEW met3 ( 1564320 4976870 ) ( * 4979090 0 )
NEW met1 ( 1422000 4968175 ) ( 1564080 * )
NEW met1 ( 1422000 4968175 ) M1M2_PR
NEW met1 ( 1564080 4968175 ) M1M2_PR
NEW met2 ( 1564080 4976870 ) via2_FR ;
- mprj_io_vtrip_sel\[1\] ( padframe mprj_io_vtrip_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met1 ( 3375600 797905 ) ( 3376560 * )
NEW met2 ( 3376560 795130 ) ( * 797905 )
NEW met2 ( 3376560 795130 ) ( 3377040 * 0 )
NEW met3 ( 3375600 879490 ) ( 3375840 * )
NEW met3 ( 3375840 879490 ) ( * 880230 0 )
NEW met2 ( 3375600 797905 ) ( * 879490 )
NEW met1 ( 3375600 797905 ) M1M2_PR
NEW met1 ( 3376560 797905 ) M1M2_PR
NEW met2 ( 3375600 879490 ) via2_FR ;
- mprj_io_vtrip_sel\[20\] ( padframe mprj_io_vtrip_sel[20] ) ( gpio_control_in\[20\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 1162800 4971690 ) ( * 4977610 0 )
NEW met3 ( 1306080 4971690 ) ( * 4978350 )
NEW met3 ( 1306080 4978350 ) ( 1307040 * )
NEW met3 ( 1307040 4978350 ) ( * 4979090 )
NEW met3 ( 1306080 4979090 0 ) ( 1307040 * )
NEW met3 ( 1162800 4971690 ) ( 1306080 * )
NEW met2 ( 1162800 4971690 ) via2_FR ;
- mprj_io_vtrip_sel\[21\] ( padframe mprj_io_vtrip_sel[21] ) ( gpio_control_in\[21\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 1049040 4968915 ) ( * 4976130 )
NEW met3 ( 1049040 4976130 ) ( 1049280 * )
NEW met3 ( 1049280 4976130 ) ( * 4979090 0 )
NEW met2 ( 906960 4968915 ) ( * 4977610 )
NEW met2 ( 905760 4977610 0 ) ( 906960 * )
NEW met1 ( 906960 4968915 ) ( 1049040 * )
NEW met1 ( 1049040 4968915 ) M1M2_PR
NEW met2 ( 1049040 4976130 ) via2_FR
NEW met1 ( 906960 4968915 ) M1M2_PR ;
- mprj_io_vtrip_sel\[22\] ( padframe mprj_io_vtrip_sel[22] ) ( gpio_control_in\[22\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 648720 4968175 ) ( * 4977610 0 )
NEW met2 ( 791280 4968175 ) ( * 4979090 )
NEW met3 ( 791280 4979090 ) ( 792480 * 0 )
NEW met1 ( 648720 4968175 ) ( 791280 * )
NEW met1 ( 648720 4968175 ) M1M2_PR
NEW met1 ( 791280 4968175 ) M1M2_PR
NEW met2 ( 791280 4979090 ) via2_FR ;
- mprj_io_vtrip_sel\[23\] ( padframe mprj_io_vtrip_sel[23] ) ( gpio_control_in\[23\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 391920 4968545 ) ( * 4977610 0 )
NEW met2 ( 534960 4968545 ) ( * 4976130 )
NEW met3 ( 534960 4976130 ) ( 535200 * )
NEW met3 ( 535200 4976130 ) ( * 4979090 0 )
NEW met1 ( 391920 4968545 ) ( 534960 * )
NEW met1 ( 391920 4968545 ) M1M2_PR
NEW met1 ( 534960 4968545 ) M1M2_PR
NEW met2 ( 534960 4976130 ) via2_FR ;
- mprj_io_vtrip_sel\[24\] ( padframe mprj_io_vtrip_sel[24] ) ( gpio_control_in\[24\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 212640 4705290 0 ) ( * 4706770 )
NEW met3 ( 210960 4779290 ) ( 212640 * )
NEW met2 ( 210960 4779290 ) ( * 4781880 0 )
NEW met4 ( 212640 4706770 ) ( * 4779290 )
NEW met3 ( 212640 4706770 ) M3M4_PR_M
NEW met3 ( 212640 4779290 ) M3M4_PR_M
NEW met2 ( 210960 4779290 ) via2_FR ;
- mprj_io_vtrip_sel\[25\] ( padframe mprj_io_vtrip_sel[25] ) ( gpio_control_in\[25\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 3932730 0 ) ( * 3935135 )
NEW met1 ( 210960 3935135 ) ( 215280 * )
NEW met1 ( 209040 4010985 ) ( 210000 * )
NEW met2 ( 210000 4001550 ) ( * 4010985 )
NEW met3 ( 210000 4001550 ) ( 211680 * )
NEW met4 ( 211680 3985270 ) ( * 4001550 )
NEW met3 ( 211680 3985270 ) ( 212880 * )
NEW met2 ( 212880 3964735 ) ( * 3985270 )
NEW met1 ( 212880 3964735 ) ( 215280 * )
NEW met2 ( 215280 3935135 ) ( * 3964735 )
NEW met3 ( 208800 4074810 ) ( 209040 * )
NEW met3 ( 208800 4074810 ) ( * 4076290 0 )
NEW met2 ( 209040 4010985 ) ( * 4074810 )
NEW met1 ( 210960 3935135 ) M1M2_PR
NEW met1 ( 215280 3935135 ) M1M2_PR
NEW met1 ( 209040 4010985 ) M1M2_PR
NEW met1 ( 210000 4010985 ) M1M2_PR
NEW met2 ( 210000 4001550 ) via2_FR
NEW met3 ( 211680 4001550 ) M3M4_PR_M
NEW met3 ( 211680 3985270 ) M3M4_PR_M
NEW met2 ( 212880 3985270 ) via2_FR
NEW met1 ( 212880 3964735 ) M1M2_PR
NEW met1 ( 215280 3964735 ) M1M2_PR
NEW met2 ( 209040 4074810 ) via2_FR ;
- mprj_io_vtrip_sel\[26\] ( padframe mprj_io_vtrip_sel[26] ) ( gpio_control_in\[26\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 212640 3860210 0 ) ( 215280 * )
NEW met3 ( 214560 3759570 ) ( 215280 * )
NEW met2 ( 215280 3759570 ) ( * 3860210 )
NEW met2 ( 210960 3716825 0 ) ( * 3719055 )
NEW met1 ( 210960 3719055 ) ( 214320 * )
NEW met2 ( 214320 3719055 ) ( * 3748470 )
NEW met3 ( 214320 3748470 ) ( 214560 * )
NEW met4 ( 214560 3748470 ) ( * 3759570 )
NEW met2 ( 215280 3860210 ) via2_FR
NEW met3 ( 214560 3759570 ) M3M4_PR_M
NEW met2 ( 215280 3759570 ) via2_FR
NEW met1 ( 210960 3719055 ) M1M2_PR
NEW met1 ( 214320 3719055 ) M1M2_PR
NEW met2 ( 214320 3748470 ) via2_FR
NEW met3 ( 214560 3748470 ) M3M4_PR_M
NEW met3 ( 214320 3748470 ) RECT ( -380 -150 0 150 ) ;
- mprj_io_vtrip_sel\[27\] ( padframe mprj_io_vtrip_sel[27] ) ( gpio_control_in\[27\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 207840 3643390 ) ( 208080 * )
NEW met3 ( 207840 3643390 ) ( * 3644130 0 )
NEW met3 ( 208080 3571610 ) ( 215520 * )
NEW met4 ( 215520 3502790 ) ( * 3571610 )
NEW met3 ( 210480 3502790 ) ( 215520 * )
NEW met2 ( 210480 3500940 0 ) ( * 3502790 )
NEW met2 ( 208080 3571610 ) ( * 3643390 )
NEW met2 ( 208080 3643390 ) via2_FR
NEW met2 ( 208080 3571610 ) via2_FR
NEW met3 ( 215520 3571610 ) M3M4_PR_M
NEW met3 ( 215520 3502790 ) M3M4_PR_M
NEW met2 ( 210480 3502790 ) via2_FR ;
- mprj_io_vtrip_sel\[28\] ( padframe mprj_io_vtrip_sel[28] ) ( gpio_control_in\[28\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 210480 3427310 ) ( 210720 * )
NEW met3 ( 210720 3427310 ) ( * 3428050 0 )
NEW met3 ( 210480 3353310 ) ( 212640 * )
NEW met4 ( 212640 3314090 ) ( * 3353310 )
NEW met3 ( 211920 3314090 ) ( 212640 * )
NEW met2 ( 211920 3286895 ) ( * 3314090 )
NEW met1 ( 210480 3286895 ) ( 211920 * )
NEW met2 ( 210480 3284860 0 ) ( * 3286895 )
NEW met2 ( 210480 3353310 ) ( * 3427310 )
NEW met2 ( 210480 3427310 ) via2_FR
NEW met2 ( 210480 3353310 ) via2_FR
NEW met3 ( 212640 3353310 ) M3M4_PR_M
NEW met3 ( 212640 3314090 ) M3M4_PR_M
NEW met2 ( 211920 3314090 ) via2_FR
NEW met1 ( 211920 3286895 ) M1M2_PR
NEW met1 ( 210480 3286895 ) M1M2_PR ;
- mprj_io_vtrip_sel\[29\] ( padframe mprj_io_vtrip_sel[29] ) ( gpio_control_in\[29\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 3068780 0 ) ( * 3070815 )
NEW met1 ( 210960 3070815 ) ( 217680 * )
NEW met3 ( 212640 3210490 ) ( 217680 * )
NEW met3 ( 212640 3210490 ) ( * 3212340 0 )
NEW met2 ( 217680 3070815 ) ( * 3210490 )
NEW met1 ( 210960 3070815 ) M1M2_PR
NEW met1 ( 217680 3070815 ) M1M2_PR
NEW met2 ( 217680 3210490 ) via2_FR ;
- mprj_io_vtrip_sel\[2\] ( padframe mprj_io_vtrip_sel[2] ) ( gpio_control_in\[2\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met1 ( 3375120 1022125 ) ( 3377040 * )
NEW met2 ( 3377040 1020090 0 ) ( * 1022125 )
NEW met3 ( 3374880 1104450 ) ( 3375120 * )
NEW met3 ( 3374880 1104450 ) ( * 1105190 0 )
NEW met2 ( 3375120 1022125 ) ( * 1104450 )
NEW met1 ( 3375120 1022125 ) M1M2_PR
NEW met1 ( 3377040 1022125 ) M1M2_PR
NEW met2 ( 3375120 1104450 ) via2_FR ;
- mprj_io_vtrip_sel\[30\] ( padframe mprj_io_vtrip_sel[30] ) ( gpio_control_in\[30\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 2852700 0 ) ( * 2855105 )
NEW met1 ( 210960 2855105 ) ( 214800 * )
NEW met2 ( 214800 2855105 ) ( * 2884890 )
NEW met3 ( 214560 2884890 ) ( 214800 * )
NEW met3 ( 212640 2996260 0 ) ( 214560 * )
NEW met4 ( 214560 2884890 ) ( * 2996260 )
NEW met1 ( 210960 2855105 ) M1M2_PR
NEW met1 ( 214800 2855105 ) M1M2_PR
NEW met2 ( 214800 2884890 ) via2_FR
NEW met3 ( 214560 2884890 ) M3M4_PR_M
NEW met3 ( 214560 2996260 ) M3M4_PR_M
NEW met3 ( 214800 2884890 ) RECT ( 0 -150 380 150 ) ;
- mprj_io_vtrip_sel\[31\] ( padframe mprj_io_vtrip_sel[31] ) ( gpio_control_in\[31\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met2 ( 210960 2636825 0 ) ( * 2639025 )
NEW met1 ( 210960 2639025 ) ( 212400 * )
NEW met3 ( 208560 2779070 ) ( 208800 * )
NEW met3 ( 208800 2779070 ) ( * 2780550 0 )
NEW met3 ( 208560 2706550 ) ( 213600 * )
NEW met4 ( 213600 2671770 ) ( * 2706550 )
NEW met3 ( 213600 2671770 ) ( 214800 * )
NEW met2 ( 214800 2653825 ) ( * 2671770 )
NEW met1 ( 212400 2653825 ) ( 214800 * )
NEW met2 ( 208560 2706550 ) ( * 2779070 )
NEW met2 ( 212400 2639025 ) ( * 2653825 )
NEW met1 ( 210960 2639025 ) M1M2_PR
NEW met1 ( 212400 2639025 ) M1M2_PR
NEW met2 ( 208560 2779070 ) via2_FR
NEW met2 ( 208560 2706550 ) via2_FR
NEW met3 ( 213600 2706550 ) M3M4_PR_M
NEW met3 ( 213600 2671770 ) M3M4_PR_M
NEW met2 ( 214800 2671770 ) via2_FR
NEW met1 ( 214800 2653825 ) M1M2_PR
NEW met1 ( 212400 2653825 ) M1M2_PR ;
- mprj_io_vtrip_sel\[32\] ( padframe mprj_io_vtrip_sel[32] ) ( gpio_control_in\[32\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 204960 2000590 ) ( 209040 * )
NEW met2 ( 209040 1998740 0 ) ( * 2000590 )
NEW met3 ( 204960 2140450 ) ( * 2142300 0 )
NEW met4 ( 204960 2000590 ) ( * 2140450 )
NEW met3 ( 204960 2000590 ) M3M4_PR_M
NEW met2 ( 209040 2000590 ) via2_FR
NEW met3 ( 204960 2140450 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[33\] ( padframe mprj_io_vtrip_sel[33] ) ( gpio_control_in\[33\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 204960 1784510 ) ( 209040 * )
NEW met2 ( 209040 1782825 0 ) ( * 1784510 )
NEW met3 ( 204960 1924370 ) ( * 1926590 0 )
NEW met4 ( 204960 1784510 ) ( * 1924370 )
NEW met3 ( 204960 1784510 ) M3M4_PR_M
NEW met2 ( 209040 1784510 ) via2_FR
NEW met3 ( 204960 1924370 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[34\] ( padframe mprj_io_vtrip_sel[34] ) ( gpio_control_in\[34\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 204000 1568430 ) ( 209520 * )
NEW met2 ( 209520 1566950 0 ) ( * 1568430 )
NEW met3 ( 204000 1709030 ) ( * 1710510 0 )
NEW met4 ( 204000 1568430 ) ( * 1709030 )
NEW met3 ( 204000 1568430 ) M3M4_PR_M
NEW met2 ( 209520 1568430 ) via2_FR
NEW met3 ( 204000 1709030 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[35\] ( padframe mprj_io_vtrip_sel[35] ) ( gpio_control_in\[35\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 204000 1353090 ) ( 209040 * )
NEW met2 ( 209040 1350870 0 ) ( * 1353090 )
NEW met3 ( 204000 1492950 ) ( * 1494430 0 )
NEW met4 ( 204000 1353090 ) ( * 1492950 )
NEW met3 ( 204000 1353090 ) M3M4_PR_M
NEW met2 ( 209040 1353090 ) via2_FR
NEW met3 ( 204000 1492950 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[36\] ( padframe mprj_io_vtrip_sel[36] ) ( gpio_control_in\[36\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 203040 1276870 ) ( * 1278350 0 )
NEW met3 ( 203040 1136270 ) ( 209040 * )
NEW met2 ( 209040 1134790 0 ) ( * 1136270 )
NEW met4 ( 203040 1136270 ) ( * 1276870 )
NEW met3 ( 203040 1276870 ) M3M4_PR_M
NEW met3 ( 203040 1136270 ) M3M4_PR_M
NEW met2 ( 209040 1136270 ) via2_FR ;
- mprj_io_vtrip_sel\[37\] ( padframe mprj_io_vtrip_sel[37] ) ( gpio_control_in\[37\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 203040 920930 ) ( 209040 * )
NEW met2 ( 209040 918710 0 ) ( * 920930 )
NEW met3 ( 203040 1060790 ) ( * 1062270 0 )
NEW met4 ( 203040 920930 ) ( * 1060790 )
NEW met3 ( 203040 920930 ) M3M4_PR_M
NEW met2 ( 209040 920930 ) via2_FR
NEW met3 ( 203040 1060790 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[3\] ( padframe mprj_io_vtrip_sel[3] ) ( gpio_control_in\[3\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met1 ( 3372720 1263735 ) ( 3375600 * )
NEW met2 ( 3375600 1246160 ) ( * 1263735 )
NEW met2 ( 3375600 1246160 ) ( 3377040 * 0 )
NEW met2 ( 3372720 1282790 ) ( 3373200 * )
NEW met2 ( 3373200 1282790 ) ( * 1330150 )
NEW met3 ( 3373200 1330150 ) ( 3373920 * )
NEW met3 ( 3373920 1330150 ) ( * 1331260 0 )
NEW met2 ( 3372720 1263735 ) ( * 1282790 )
NEW met1 ( 3372720 1263735 ) M1M2_PR
NEW met1 ( 3375600 1263735 ) M1M2_PR
NEW met2 ( 3373200 1330150 ) via2_FR ;
- mprj_io_vtrip_sel\[4\] ( padframe mprj_io_vtrip_sel[4] ) ( gpio_control_in\[4\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met1 ( 3369840 1472785 ) ( 3377040 * )
NEW met2 ( 3377040 1471120 0 ) ( * 1472785 )
NEW met3 ( 3369840 1556590 ) ( 3373920 * 0 )
NEW met2 ( 3369840 1472785 ) ( * 1556590 )
NEW met1 ( 3369840 1472785 ) M1M2_PR
NEW met1 ( 3377040 1472785 ) M1M2_PR
NEW met2 ( 3369840 1556590 ) via2_FR ;
- mprj_io_vtrip_sel\[5\] ( padframe mprj_io_vtrip_sel[5] ) ( gpio_control_in\[5\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met1 ( 3372240 1697745 ) ( 3377040 * )
NEW met2 ( 3377040 1696080 0 ) ( * 1697745 )
NEW met3 ( 3372240 1781550 ) ( 3373920 * 0 )
NEW met2 ( 3372240 1697745 ) ( * 1781550 )
NEW met1 ( 3372240 1697745 ) M1M2_PR
NEW met1 ( 3377040 1697745 ) M1M2_PR
NEW met2 ( 3372240 1781550 ) via2_FR ;
- mprj_io_vtrip_sel\[6\] ( padframe mprj_io_vtrip_sel[6] ) ( gpio_control_in\[6\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3374640 2006510 ) ( 3374880 * )
NEW met3 ( 3374880 2006510 ) ( * 2007250 0 )
NEW met1 ( 3374640 1924185 ) ( 3377040 * )
NEW met2 ( 3377040 1922150 0 ) ( * 1924185 )
NEW met2 ( 3374640 1924185 ) ( * 2006510 )
NEW met2 ( 3374640 2006510 ) via2_FR
NEW met1 ( 3374640 1924185 ) M1M2_PR
NEW met1 ( 3377040 1924185 ) M1M2_PR ;
- mprj_io_vtrip_sel\[7\] ( padframe mprj_io_vtrip_sel[7] ) ( gpio_control_in\[7\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3375840 2807190 ) ( 3377040 * )
NEW met2 ( 3377040 2807190 ) ( * 2808300 0 )
NEW met3 ( 3375840 2448290 0 ) ( * 2449770 )
NEW met4 ( 3375840 2449770 ) ( * 2807190 )
NEW met3 ( 3375840 2807190 ) M3M4_PR_M
NEW met2 ( 3377040 2807190 ) via2_FR
NEW met3 ( 3375840 2449770 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[8\] ( padframe mprj_io_vtrip_sel[8] ) ( gpio_control_in\[8\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3376800 3032150 ) ( 3377520 * )
NEW met2 ( 3377520 3032150 ) ( * 3034175 0 )
NEW met3 ( 3376800 2668070 0 ) ( * 2669550 )
NEW met4 ( 3376800 2669550 ) ( * 3032150 )
NEW met3 ( 3376800 3032150 ) M3M4_PR_M
NEW met2 ( 3377520 3032150 ) via2_FR
NEW met3 ( 3376800 2669550 ) M3M4_PR_M ;
- mprj_io_vtrip_sel\[9\] ( padframe mprj_io_vtrip_sel[9] ) ( gpio_control_in\[9\] pad_gpio_vtrip_sel ) + USE SIGNAL
+ ROUTED met3 ( 3374880 2954450 ) ( 3383520 * )
NEW met3 ( 3383520 2893030 0 ) ( * 2894510 )
NEW met4 ( 3383520 2894510 ) ( * 2954450 )
NEW met3 ( 3374880 3103930 ) ( 3375120 * )
NEW met2 ( 3375120 3103930 ) ( * 3153510 )
NEW met3 ( 3375120 3153510 ) ( 3375840 * )
NEW met4 ( 3374880 2954450 ) ( * 3103930 )
NEW met3 ( 3375840 3257110 ) ( 3377520 * )
NEW met2 ( 3377520 3257110 ) ( * 3259175 0 )
NEW met4 ( 3375840 3153510 ) ( * 3257110 )
NEW met3 ( 3374880 2954450 ) M3M4_PR_M
NEW met3 ( 3383520 2954450 ) M3M4_PR_M
NEW met3 ( 3383520 2894510 ) M3M4_PR_M
NEW met3 ( 3374880 3103930 ) M3M4_PR_M
NEW met2 ( 3375120 3103930 ) via2_FR
NEW met2 ( 3375120 3153510 ) via2_FR
NEW met3 ( 3375840 3153510 ) M3M4_PR_M
NEW met3 ( 3375840 3257110 ) M3M4_PR_M
NEW met2 ( 3377520 3257110 ) via2_FR
NEW met3 ( 3374880 3103930 ) RECT ( -380 -150 0 150 ) ;
- mprj_reset ( mprj wb_rst_i ) ( mgmt_buffers user_reset ) + USE SIGNAL
+ ROUTED met2 ( 335280 1361415 ) ( * 1389350 0 )
NEW met2 ( 985680 1361415 ) ( * 1364375 )
NEW met1 ( 335280 1361415 ) ( 985680 * )
NEW met1 ( 985680 1364375 ) ( 1066320 * )
NEW met2 ( 1066320 1325710 0 ) ( * 1364375 )
NEW met1 ( 335280 1361415 ) M1M2_PR
NEW met1 ( 985680 1361415 ) M1M2_PR
NEW met1 ( 985680 1364375 ) M1M2_PR
NEW met1 ( 1066320 1364375 ) M1M2_PR ;
- mprj_sel_o_core\[0\] ( soc mprj_sel_o[0] ) ( mgmt_buffers mprj_sel_o_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 1910640 1167350 ) ( 1911600 * 0 )
NEW met2 ( 1910640 1167350 ) ( * 1232470 )
NEW met2 ( 1909440 1232470 0 ) ( 1910640 * ) ;
- mprj_sel_o_core\[1\] ( soc mprj_sel_o[1] ) ( mgmt_buffers mprj_sel_o_core[1] ) + USE SIGNAL
+ ROUTED met2 ( 1913520 1167350 0 ) ( 1914960 * )
NEW met2 ( 1914960 1167350 ) ( * 1195470 )
NEW met2 ( 1914960 1195470 ) ( 1915440 * )
NEW met2 ( 1915440 1195470 ) ( * 1232470 )
NEW met2 ( 1915440 1232470 ) ( 1915920 * 0 ) ;
- mprj_sel_o_core\[2\] ( soc mprj_sel_o[2] ) ( mgmt_buffers mprj_sel_o_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1915440 1167350 0 ) ( 1916400 * )
NEW met2 ( 1916400 1167350 ) ( * 1198615 )
NEW met1 ( 1916400 1198615 ) ( 1922160 * )
NEW met2 ( 1922160 1198615 ) ( * 1232470 0 )
NEW met1 ( 1916400 1198615 ) M1M2_PR
NEW met1 ( 1922160 1198615 ) M1M2_PR ;
- mprj_sel_o_core\[3\] ( soc mprj_sel_o[3] ) ( mgmt_buffers mprj_sel_o_core[3] ) + USE SIGNAL
+ ROUTED met2 ( 1917120 1167350 0 ) ( 1918320 * )
NEW met2 ( 1918320 1167350 ) ( * 1198245 )
NEW met1 ( 1918320 1198245 ) ( 1927920 * )
NEW met2 ( 1927920 1198245 ) ( * 1232470 )
NEW met2 ( 1927920 1232470 ) ( 1929120 * 0 )
NEW met1 ( 1918320 1198245 ) M1M2_PR
NEW met1 ( 1927920 1198245 ) M1M2_PR ;
- mprj_sel_o_user\[0\] ( mprj wbs_sel_i[0] ) ( mgmt_buffers mprj_sel_o_user[0] ) + USE SIGNAL
+ ROUTED met2 ( 382800 1363450 ) ( * 1389350 0 )
NEW met2 ( 1873680 1363450 ) ( * 1366410 )
NEW met3 ( 382800 1363450 ) ( 1873680 * )
NEW met2 ( 1919280 1325710 ) ( * 1366410 )
NEW met2 ( 1918080 1325710 0 ) ( 1919280 * )
NEW met3 ( 1873680 1366410 ) ( 1919280 * )
NEW met2 ( 382800 1363450 ) via2_FR
NEW met2 ( 1873680 1363450 ) via2_FR
NEW met2 ( 1873680 1366410 ) via2_FR
NEW met2 ( 1919280 1366410 ) via2_FR ;
- mprj_sel_o_user\[1\] ( mprj wbs_sel_i[1] ) ( mgmt_buffers mprj_sel_o_user[1] ) + USE SIGNAL
+ ROUTED met2 ( 406800 1364930 ) ( * 1389350 0 )
NEW met2 ( 1914000 1367150 ) ( * 1367890 )
NEW met2 ( 1914000 1367150 ) ( 1915440 * )
NEW met2 ( 1915440 1364190 ) ( * 1367150 )
NEW met3 ( 1915440 1364190 ) ( 1924560 * )
NEW met2 ( 1924560 1325710 0 ) ( * 1364190 )
NEW met4 ( 1872480 1364930 ) ( * 1367890 )
NEW met3 ( 406800 1364930 ) ( 1872480 * )
NEW met3 ( 1872480 1367890 ) ( 1914000 * )
NEW met2 ( 406800 1364930 ) via2_FR
NEW met2 ( 1914000 1367890 ) via2_FR
NEW met2 ( 1915440 1364190 ) via2_FR
NEW met2 ( 1924560 1364190 ) via2_FR
NEW met3 ( 1872480 1364930 ) M3M4_PR_M
NEW met3 ( 1872480 1367890 ) M3M4_PR_M ;
- mprj_sel_o_user\[2\] ( mprj wbs_sel_i[2] ) ( mgmt_buffers mprj_sel_o_user[2] ) + USE SIGNAL
+ ROUTED met2 ( 430320 1366410 ) ( * 1389350 0 )
NEW met3 ( 1872480 1366410 ) ( * 1367150 )
NEW met3 ( 1872480 1367150 ) ( 1886640 * )
NEW met2 ( 1886640 1364930 ) ( * 1367150 )
NEW met3 ( 1886640 1364930 ) ( 1900560 * )
NEW met2 ( 1900560 1363450 ) ( * 1364930 )
NEW met2 ( 1900560 1363450 ) ( 1902480 * )
NEW met2 ( 1902480 1363450 ) ( * 1364930 )
NEW met2 ( 1902480 1364930 ) ( 1906320 * )
NEW met2 ( 1906320 1364190 ) ( * 1364930 )
NEW met2 ( 1906320 1364190 ) ( 1906800 * )
NEW met3 ( 1906800 1364190 ) ( 1912800 * )
NEW met3 ( 1912800 1363450 ) ( * 1364190 )
NEW met3 ( 1912800 1363450 ) ( 1925280 * )
NEW met3 ( 1925280 1363450 ) ( * 1364190 )
NEW met3 ( 1925280 1364190 ) ( 1929840 * )
NEW met3 ( 430320 1366410 ) ( 1872480 * )
NEW met2 ( 1929840 1325710 ) ( 1931040 * 0 )
NEW met2 ( 1929840 1325710 ) ( * 1364190 )
NEW met2 ( 430320 1366410 ) via2_FR
NEW met2 ( 1886640 1367150 ) via2_FR
NEW met2 ( 1886640 1364930 ) via2_FR
NEW met2 ( 1900560 1364930 ) via2_FR
NEW met2 ( 1906800 1364190 ) via2_FR
NEW met2 ( 1929840 1364190 ) via2_FR ;
- mprj_sel_o_user\[3\] ( mprj wbs_sel_i[3] ) ( mgmt_buffers mprj_sel_o_user[3] ) + USE SIGNAL
+ ROUTED met2 ( 454320 1359750 ) ( * 1389350 0 )
NEW met2 ( 1874640 1359750 ) ( * 1360490 )
NEW met2 ( 1874640 1360490 ) ( 1876080 * )
NEW met3 ( 1876080 1360490 ) ( 1890960 * )
NEW met2 ( 1890960 1356050 ) ( * 1360490 )
NEW met3 ( 1890960 1356050 ) ( 1938960 * )
NEW met3 ( 454320 1359750 ) ( 1874640 * )
NEW met2 ( 1938000 1325710 0 ) ( 1938960 * )
NEW met2 ( 1938960 1325710 ) ( * 1356050 )
NEW met2 ( 454320 1359750 ) via2_FR
NEW met2 ( 1874640 1359750 ) via2_FR
NEW met2 ( 1876080 1360490 ) via2_FR
NEW met2 ( 1890960 1360490 ) via2_FR
NEW met2 ( 1890960 1356050 ) via2_FR
NEW met2 ( 1938960 1356050 ) via2_FR ;
- mprj_stb_o_core ( soc mprj_stb_o ) ( mgmt_buffers mprj_stb_o_core ) + USE SIGNAL
+ ROUTED met1 ( 1900560 1197875 ) ( 1918800 * )
NEW met2 ( 1900560 1197875 ) ( * 1232470 0 )
NEW met2 ( 1919040 1167350 0 ) ( * 1168830 )
NEW met2 ( 1918800 1168830 ) ( 1919040 * )
NEW met2 ( 1918800 1168830 ) ( * 1197875 )
NEW met1 ( 1918800 1197875 ) M1M2_PR
NEW met1 ( 1900560 1197875 ) M1M2_PR ;
- mprj_stb_o_user ( mprj wbs_stb_i ) ( mgmt_buffers mprj_stb_o_user ) + USE SIGNAL
+ ROUTED met2 ( 381840 1358270 ) ( * 1363450 )
NEW met3 ( 381840 1358270 ) ( 474000 * )
NEW met2 ( 474000 1358270 ) ( * 1362710 )
NEW met2 ( 576720 1353830 ) ( * 1362710 )
NEW met3 ( 576720 1353830 ) ( 676320 * )
NEW met4 ( 676320 1353830 ) ( * 1362710 )
NEW met2 ( 353040 1363450 ) ( * 1389350 0 )
NEW met3 ( 353040 1363450 ) ( 381840 * )
NEW met3 ( 474000 1362710 ) ( 576720 * )
NEW met2 ( 785040 1353830 ) ( * 1362710 )
NEW met3 ( 676320 1362710 ) ( 785040 * )
NEW met2 ( 987120 1353830 ) ( * 1362710 )
NEW met2 ( 1746000 1361970 ) ( * 1362710 )
NEW met2 ( 1746000 1361970 ) ( 1747440 * )
NEW met3 ( 1747440 1361970 ) ( 1791600 * )
NEW met2 ( 1791600 1361970 ) ( * 1362710 )
NEW met2 ( 1791600 1362710 ) ( 1793040 * )
NEW met2 ( 1793040 1360490 ) ( * 1362710 )
NEW met2 ( 1793040 1360490 ) ( 1793520 * )
NEW met2 ( 885840 1353830 ) ( * 1362710 )
NEW met3 ( 785040 1353830 ) ( 885840 * )
NEW met3 ( 885840 1362710 ) ( 987120 * )
NEW met2 ( 1087440 1353830 ) ( * 1362710 )
NEW met3 ( 987120 1353830 ) ( 1087440 * )
NEW met3 ( 1087440 1362710 ) ( 1746000 * )
NEW met3 ( 1875360 1359750 ) ( * 1360490 )
NEW met3 ( 1875360 1359750 ) ( 1908240 * )
NEW met3 ( 1793520 1360490 ) ( 1875360 * )
NEW met2 ( 1908240 1325710 ) ( 1909440 * 0 )
NEW met2 ( 1908240 1325710 ) ( * 1359750 )
NEW met2 ( 381840 1363450 ) via2_FR
NEW met2 ( 381840 1358270 ) via2_FR
NEW met2 ( 474000 1358270 ) via2_FR
NEW met2 ( 474000 1362710 ) via2_FR
NEW met2 ( 576720 1362710 ) via2_FR
NEW met2 ( 576720 1353830 ) via2_FR
NEW met3 ( 676320 1353830 ) M3M4_PR_M
NEW met3 ( 676320 1362710 ) M3M4_PR_M
NEW met2 ( 353040 1363450 ) via2_FR
NEW met2 ( 785040 1362710 ) via2_FR
NEW met2 ( 785040 1353830 ) via2_FR
NEW met2 ( 987120 1362710 ) via2_FR
NEW met2 ( 987120 1353830 ) via2_FR
NEW met2 ( 1746000 1362710 ) via2_FR
NEW met2 ( 1747440 1361970 ) via2_FR
NEW met2 ( 1791600 1361970 ) via2_FR
NEW met2 ( 1793520 1360490 ) via2_FR
NEW met2 ( 885840 1353830 ) via2_FR
NEW met2 ( 885840 1362710 ) via2_FR
NEW met2 ( 1087440 1353830 ) via2_FR
NEW met2 ( 1087440 1362710 ) via2_FR
NEW met2 ( 1908240 1359750 ) via2_FR ;
- mprj_vcc_pwrgood ( soc mprj_vcc_pwrgood ) ( mgmt_buffers user1_vcc_powergood ) + USE SIGNAL
+ ROUTED met2 ( 1920960 1167350 0 ) ( 1922160 * )
NEW met2 ( 1922160 1167350 ) ( * 1189365 )
NEW met2 ( 2052240 1189365 ) ( * 1232470 )
NEW met2 ( 2052240 1232470 ) ( 2053440 * 0 )
NEW met1 ( 1922160 1189365 ) ( 2052240 * )
NEW met1 ( 1922160 1189365 ) M1M2_PR
NEW met1 ( 2052240 1189365 ) M1M2_PR ;
- mprj_vdd_pwrgood ( soc mprj_vdd_pwrgood ) ( mgmt_buffers user1_vdd_powergood ) + USE SIGNAL
+ ROUTED met2 ( 1922640 1167350 0 ) ( * 1188625 )
NEW met2 ( 2055120 1188625 ) ( * 1232470 0 )
NEW met1 ( 1922640 1188625 ) ( 2055120 * )
NEW met1 ( 1922640 1188625 ) M1M2_PR
NEW met1 ( 2055120 1188625 ) M1M2_PR ;
- mprj_we_o_core ( soc mprj_we_o ) ( mgmt_buffers mprj_we_o_core ) + USE SIGNAL
+ ROUTED met2 ( 1924560 1167350 0 ) ( * 1198985 )
NEW met1 ( 1902960 1198985 ) ( 1924560 * )
NEW met2 ( 1902960 1198985 ) ( * 1232470 0 )
NEW met1 ( 1924560 1198985 ) M1M2_PR
NEW met1 ( 1902960 1198985 ) M1M2_PR ;
- mprj_we_o_user ( mprj wbs_we_i ) ( mgmt_buffers mprj_we_o_user ) + USE SIGNAL
+ ROUTED met2 ( 358800 1360490 ) ( * 1389350 0 )
NEW met2 ( 1792560 1360490 ) ( * 1361970 )
NEW met3 ( 358800 1360490 ) ( 1792560 * )
NEW met3 ( 1877280 1361970 ) ( * 1363450 )
NEW met3 ( 1877280 1363450 ) ( 1911600 * )
NEW met3 ( 1792560 1361970 ) ( 1877280 * )
NEW met2 ( 1911600 1325710 0 ) ( * 1363450 )
NEW met2 ( 358800 1360490 ) via2_FR
NEW met2 ( 1792560 1360490 ) via2_FR
NEW met2 ( 1792560 1361970 ) via2_FR
NEW met2 ( 1911600 1363450 ) via2_FR ;
- one_loop\[10\] ( gpio_control_in\[10\] one ) ( gpio_control_in\[10\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 3076550 ) ( * 3078770 0 )
NEW met3 ( 3384480 3076550 ) ( 3384720 * )
NEW met2 ( 3384720 3074330 ) ( * 3076550 )
NEW met3 ( 3384480 3074330 ) ( 3384720 * )
NEW met3 ( 3384480 3072850 0 ) ( * 3074330 )
NEW met2 ( 3384720 3076550 ) via2_FR
NEW met2 ( 3384720 3074330 ) via2_FR ;
- one_loop\[11\] ( gpio_control_in\[11\] one ) ( gpio_control_in\[11\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3375840 3302250 ) ( * 3303730 0 )
NEW met3 ( 3375600 3302250 ) ( 3375840 * )
NEW met2 ( 3375600 3299290 ) ( * 3302250 )
NEW met3 ( 3375600 3299290 ) ( 3375840 * )
NEW met3 ( 3375840 3297810 0 ) ( * 3299290 )
NEW met2 ( 3375600 3302250 ) via2_FR
NEW met2 ( 3375600 3299290 ) via2_FR ;
- one_loop\[12\] ( gpio_control_in\[12\] one ) ( gpio_control_in\[12\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 3527950 ) ( * 3529430 0 )
NEW met3 ( 3384240 3527950 ) ( 3384480 * )
NEW met2 ( 3384240 3525730 ) ( * 3527950 )
NEW met3 ( 3384240 3525730 ) ( 3384480 * )
NEW met3 ( 3384480 3524250 0 ) ( * 3525730 )
NEW met2 ( 3384240 3527950 ) via2_FR
NEW met2 ( 3384240 3525730 ) via2_FR ;
- one_loop\[13\] ( gpio_control_in\[13\] one ) ( gpio_control_in\[13\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 3752910 ) ( * 3754390 0 )
NEW met3 ( 3384240 3752910 ) ( 3384480 * )
NEW met2 ( 3384240 3750690 ) ( * 3752910 )
NEW met3 ( 3384240 3750690 ) ( 3384480 * )
NEW met3 ( 3384480 3749210 0 ) ( * 3750690 )
NEW met2 ( 3384240 3752910 ) via2_FR
NEW met2 ( 3384240 3750690 ) via2_FR ;
- one_loop\[14\] ( gpio_control_in\[14\] one ) ( gpio_control_in\[14\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 4644610 ) ( * 4646460 0 )
NEW met3 ( 3384240 4644610 ) ( 3384480 * )
NEW met2 ( 3384240 4642390 ) ( * 4644610 )
NEW met3 ( 3384240 4642390 ) ( 3384480 * )
NEW met3 ( 3384480 4640910 0 ) ( * 4642390 )
NEW met2 ( 3384240 4644610 ) via2_FR
NEW met2 ( 3384240 4642390 ) via2_FR ;
- one_loop\[15\] ( gpio_control_in\[15\] one ) ( gpio_control_in\[15\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 2752560 4979090 ) ( 2754720 * 0 )
NEW met2 ( 2752560 4977610 ) ( * 4979090 )
NEW met3 ( 2748960 4977610 ) ( 2752560 * )
NEW met3 ( 2748960 4977610 ) ( * 4979090 0 )
NEW met2 ( 2752560 4979090 ) via2_FR
NEW met2 ( 2752560 4977610 ) via2_FR ;
- one_loop\[16\] ( gpio_control_in\[16\] one ) ( gpio_control_in\[16\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 2497440 4978350 ) ( * 4979090 0 )
NEW met3 ( 2492160 4978350 ) ( 2497440 * )
NEW met3 ( 2492160 4978350 ) ( * 4979090 0 ) ;
- one_loop\[17\] ( gpio_control_in\[17\] one ) ( gpio_control_in\[17\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 2112480 5078990 0 ) ( * 5079730 )
NEW met3 ( 2107200 5079730 ) ( 2112480 * )
NEW met3 ( 2107200 5078990 0 ) ( * 5079730 ) ;
- one_loop\[18\] ( gpio_control_in\[18\] one ) ( gpio_control_in\[18\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 1775520 4978350 ) ( * 4979090 0 )
NEW met3 ( 1771680 4978350 ) ( 1775520 * )
NEW met3 ( 1771680 4978350 ) ( * 4979090 )
NEW met3 ( 1769760 4979090 0 ) ( 1771680 * ) ;
- one_loop\[19\] ( gpio_control_in\[19\] one ) ( gpio_control_in\[19\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 1521840 4979830 ) ( 1523520 * 0 )
NEW met2 ( 1519920 4979830 ) ( 1521840 * )
NEW met3 ( 1518240 4979830 0 ) ( 1519920 * )
NEW met2 ( 1521840 4979830 ) via2_FR
NEW met2 ( 1519920 4979830 ) via2_FR ;
- one_loop\[20\] ( gpio_control_in\[20\] one ) ( gpio_control_in\[20\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 1263840 5078990 ) ( 1265760 * 0 )
NEW met3 ( 1263840 5078990 ) ( * 5079730 )
NEW met3 ( 1260000 5079730 ) ( 1263840 * )
NEW met3 ( 1260000 5078990 0 ) ( * 5079730 ) ;
- one_loop\[21\] ( gpio_control_in\[21\] one ) ( gpio_control_in\[21\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 1008480 5078990 0 ) ( * 5079730 )
NEW met3 ( 1003200 5079730 ) ( 1008480 * )
NEW met3 ( 1003200 5078990 0 ) ( * 5079730 ) ;
- one_loop\[22\] ( gpio_control_in\[22\] one ) ( gpio_control_in\[22\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 750240 4979830 ) ( 751200 * 0 )
NEW met3 ( 750240 4978350 ) ( * 4979830 )
NEW met3 ( 745920 4978350 ) ( 750240 * )
NEW met3 ( 745920 4978350 ) ( * 4979090 0 ) ;
- one_loop\[23\] ( gpio_control_in\[23\] one ) ( gpio_control_in\[23\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 494400 4978350 ) ( * 4979090 0 )
NEW met3 ( 489120 4978350 ) ( 494400 * )
NEW met3 ( 489120 4978350 ) ( * 4979090 0 ) ;
- one_loop\[24\] ( gpio_control_in\[24\] one ) ( gpio_control_in\[24\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 4663110 ) ( * 4664590 0 )
NEW met3 ( 175920 4663110 ) ( 176160 * )
NEW met2 ( 175920 4660890 ) ( * 4663110 )
NEW met3 ( 175920 4660890 ) ( 176160 * )
NEW met3 ( 176160 4659410 0 ) ( * 4660890 )
NEW met2 ( 175920 4663110 ) via2_FR
NEW met2 ( 175920 4660890 ) via2_FR ;
- one_loop\[25\] ( gpio_control_in\[25\] one ) ( gpio_control_in\[25\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 4034110 ) ( * 4035590 0 )
NEW met3 ( 175920 4034110 ) ( 176160 * )
NEW met2 ( 175920 4031890 ) ( * 4034110 )
NEW met3 ( 175920 4031890 ) ( 176160 * )
NEW met3 ( 176160 4030040 0 ) ( * 4031890 )
NEW met2 ( 175920 4034110 ) via2_FR
NEW met2 ( 175920 4031890 ) via2_FR ;
- one_loop\[26\] ( gpio_control_in\[26\] one ) ( gpio_control_in\[26\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 3818030 ) ( * 3819510 0 )
NEW met3 ( 175920 3818030 ) ( 176160 * )
NEW met2 ( 175920 3815810 ) ( * 3818030 )
NEW met3 ( 175920 3815810 ) ( 176160 * )
NEW met3 ( 176160 3814330 0 ) ( * 3815810 )
NEW met2 ( 175920 3818030 ) via2_FR
NEW met2 ( 175920 3815810 ) via2_FR ;
- one_loop\[27\] ( gpio_control_in\[27\] one ) ( gpio_control_in\[27\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 3601950 ) ( * 3603430 0 )
NEW met3 ( 175920 3601950 ) ( 176160 * )
NEW met2 ( 175920 3599730 ) ( * 3601950 )
NEW met3 ( 175920 3599730 ) ( 176160 * )
NEW met3 ( 176160 3598250 0 ) ( * 3599730 )
NEW met2 ( 175920 3601950 ) via2_FR
NEW met2 ( 175920 3599730 ) via2_FR ;
- one_loop\[28\] ( gpio_control_in\[28\] one ) ( gpio_control_in\[28\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 3385870 ) ( * 3387350 0 )
NEW met3 ( 175920 3385870 ) ( 176160 * )
NEW met2 ( 175920 3383650 ) ( * 3385870 )
NEW met3 ( 175920 3383650 ) ( 176160 * )
NEW met3 ( 176160 3382170 0 ) ( * 3383650 )
NEW met2 ( 175920 3385870 ) via2_FR
NEW met2 ( 175920 3383650 ) via2_FR ;
- one_loop\[29\] ( gpio_control_in\[29\] one ) ( gpio_control_in\[29\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 3169790 ) ( * 3171270 0 )
NEW met3 ( 175920 3169790 ) ( 176160 * )
NEW met2 ( 175920 3167570 ) ( * 3169790 )
NEW met3 ( 175920 3167570 ) ( 176160 * )
NEW met3 ( 176160 3166090 0 ) ( * 3167570 )
NEW met2 ( 175920 3169790 ) via2_FR
NEW met2 ( 175920 3167570 ) via2_FR ;
- one_loop\[2\] ( gpio_control_in\[2\] one ) ( gpio_control_in\[2\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 1063010 ) ( * 1064490 0 )
NEW met3 ( 3384240 1063010 ) ( 3384480 * )
NEW met2 ( 3384240 1060790 ) ( * 1063010 )
NEW met3 ( 3384240 1060790 ) ( 3384480 * )
NEW met3 ( 3384480 1059310 0 ) ( * 1060790 )
NEW met2 ( 3384240 1063010 ) via2_FR
NEW met2 ( 3384240 1060790 ) via2_FR ;
- one_loop\[30\] ( gpio_control_in\[30\] one ) ( gpio_control_in\[30\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 153120 2953710 ) ( * 2955560 0 )
NEW met3 ( 153120 2951490 ) ( 154080 * )
NEW met3 ( 154080 2950010 0 ) ( * 2951490 )
NEW met4 ( 153120 2951490 ) ( * 2953710 )
NEW met3 ( 153120 2953710 ) M3M4_PR_M
NEW met3 ( 153120 2951490 ) M3M4_PR_M ;
- one_loop\[31\] ( gpio_control_in\[31\] one ) ( gpio_control_in\[31\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 2737630 ) ( * 2739480 0 )
NEW met3 ( 175920 2737630 ) ( 176160 * )
NEW met2 ( 175920 2735410 ) ( * 2737630 )
NEW met3 ( 175920 2735410 ) ( 176160 * )
NEW met3 ( 176160 2733930 0 ) ( * 2735410 )
NEW met2 ( 175920 2737630 ) via2_FR
NEW met2 ( 175920 2735410 ) via2_FR ;
- one_loop\[32\] ( gpio_control_in\[32\] one ) ( gpio_control_in\[32\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 2099750 ) ( * 2101230 0 )
NEW met3 ( 175920 2099750 ) ( 176160 * )
NEW met2 ( 175920 2097530 ) ( * 2099750 )
NEW met3 ( 175920 2097530 ) ( 176160 * )
NEW met3 ( 176160 2096050 0 ) ( * 2097530 )
NEW met2 ( 175920 2099750 ) via2_FR
NEW met2 ( 175920 2097530 ) via2_FR ;
- one_loop\[33\] ( gpio_control_in\[33\] one ) ( gpio_control_in\[33\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 1883670 ) ( * 1885520 0 )
NEW met3 ( 175920 1883670 ) ( 176160 * )
NEW met2 ( 175920 1881450 ) ( * 1883670 )
NEW met3 ( 175920 1881450 ) ( 176160 * )
NEW met3 ( 176160 1879970 0 ) ( * 1881450 )
NEW met2 ( 175920 1883670 ) via2_FR
NEW met2 ( 175920 1881450 ) via2_FR ;
- one_loop\[34\] ( gpio_control_in\[34\] one ) ( gpio_control_in\[34\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 1667590 ) ( * 1669440 0 )
NEW met3 ( 175920 1667590 ) ( 176160 * )
NEW met2 ( 175920 1665370 ) ( * 1667590 )
NEW met3 ( 175920 1665370 ) ( 176160 * )
NEW met3 ( 176160 1663890 0 ) ( * 1665370 )
NEW met2 ( 175920 1667590 ) via2_FR
NEW met2 ( 175920 1665370 ) via2_FR ;
- one_loop\[35\] ( gpio_control_in\[35\] one ) ( gpio_control_in\[35\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 1452250 ) ( * 1453730 0 )
NEW met3 ( 175920 1452250 ) ( 176160 * )
NEW met2 ( 175920 1449290 ) ( * 1452250 )
NEW met3 ( 175920 1449290 ) ( 176160 * )
NEW met3 ( 176160 1447810 0 ) ( * 1449290 )
NEW met2 ( 175920 1452250 ) via2_FR
NEW met2 ( 175920 1449290 ) via2_FR ;
- one_loop\[36\] ( gpio_control_in\[36\] one ) ( gpio_control_in\[36\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 1236170 ) ( * 1237650 0 )
NEW met3 ( 175920 1236170 ) ( 176160 * )
NEW met2 ( 175920 1233950 ) ( * 1236170 )
NEW met3 ( 175920 1233950 ) ( 176160 * )
NEW met3 ( 176160 1232100 0 ) ( * 1233950 )
NEW met2 ( 175920 1236170 ) via2_FR
NEW met2 ( 175920 1233950 ) via2_FR ;
- one_loop\[37\] ( gpio_control_in\[37\] one ) ( gpio_control_in\[37\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 176160 1020090 ) ( * 1021570 0 )
NEW met3 ( 175920 1020090 ) ( 176160 * )
NEW met2 ( 175920 1017870 ) ( * 1020090 )
NEW met3 ( 175920 1017870 ) ( 176160 * )
NEW met3 ( 176160 1016020 0 ) ( * 1017870 )
NEW met2 ( 175920 1020090 ) via2_FR
NEW met2 ( 175920 1017870 ) via2_FR ;
- one_loop\[3\] ( gpio_control_in\[3\] one ) ( gpio_control_in\[3\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 1288710 ) ( * 1290560 0 )
NEW met3 ( 3384480 1288710 ) ( 3384720 * )
NEW met2 ( 3384720 1286490 ) ( * 1288710 )
NEW met3 ( 3384480 1286490 ) ( 3384720 * )
NEW met3 ( 3384480 1285010 0 ) ( * 1286490 )
NEW met2 ( 3384720 1288710 ) via2_FR
NEW met2 ( 3384720 1286490 ) via2_FR ;
- one_loop\[4\] ( gpio_control_in\[4\] one ) ( gpio_control_in\[4\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 1513670 ) ( * 1515520 0 )
NEW met3 ( 3384240 1513670 ) ( 3384480 * )
NEW met2 ( 3384240 1511450 ) ( * 1513670 )
NEW met3 ( 3384240 1511450 ) ( 3384480 * )
NEW met3 ( 3384480 1509970 0 ) ( * 1511450 )
NEW met2 ( 3384240 1513670 ) via2_FR
NEW met2 ( 3384240 1511450 ) via2_FR ;
- one_loop\[5\] ( gpio_control_in\[5\] one ) ( gpio_control_in\[5\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 1738630 ) ( * 1740480 0 )
NEW met3 ( 3384480 1738630 ) ( 3384720 * )
NEW met2 ( 3384720 1736410 ) ( * 1738630 )
NEW met3 ( 3384480 1736410 ) ( 3384720 * )
NEW met3 ( 3384480 1734930 0 ) ( * 1736410 )
NEW met2 ( 3384720 1738630 ) via2_FR
NEW met2 ( 3384720 1736410 ) via2_FR ;
- one_loop\[6\] ( gpio_control_in\[6\] one ) ( gpio_control_in\[6\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 1965070 ) ( * 1966550 0 )
NEW met3 ( 3384480 1965070 ) ( 3384720 * )
NEW met2 ( 3384720 1962850 ) ( * 1965070 )
NEW met3 ( 3384480 1962850 ) ( 3384720 * )
NEW met3 ( 3384480 1961000 0 ) ( * 1962850 )
NEW met2 ( 3384720 1965070 ) via2_FR
NEW met2 ( 3384720 1962850 ) via2_FR ;
- one_loop\[7\] ( gpio_control_in\[7\] one ) ( gpio_control_in\[7\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 2406110 ) ( * 2407590 0 )
NEW met3 ( 3384240 2406110 ) ( 3384480 * )
NEW met2 ( 3384240 2403890 ) ( * 2406110 )
NEW met3 ( 3384240 2403890 ) ( 3384480 * )
NEW met3 ( 3384480 2402040 0 ) ( * 2403890 )
NEW met2 ( 3384240 2406110 ) via2_FR
NEW met2 ( 3384240 2403890 ) via2_FR ;
- one_loop\[8\] ( gpio_control_in\[8\] one ) ( gpio_control_in\[8\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384480 2625890 ) ( * 2627370 0 )
NEW met3 ( 3384240 2625890 ) ( 3384480 * )
NEW met2 ( 3384240 2623670 ) ( * 2625890 )
NEW met3 ( 3384240 2623670 ) ( 3384480 * )
NEW met3 ( 3384480 2622190 0 ) ( * 2623670 )
NEW met2 ( 3384240 2625890 ) via2_FR
NEW met2 ( 3384240 2623670 ) via2_FR ;
- one_loop\[9\] ( gpio_control_in\[9\] one ) ( gpio_control_in\[9\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3384240 2850850 ) ( 3384480 * )
NEW met2 ( 3384240 2848630 ) ( * 2850850 )
NEW met3 ( 3384240 2848630 ) ( 3384480 * )
NEW met3 ( 3384480 2847150 0 ) ( * 2848630 )
NEW met3 ( 3384480 2850850 ) ( * 2852330 0 )
NEW met2 ( 3384240 2850850 ) via2_FR
NEW met2 ( 3384240 2848630 ) via2_FR ;
- por_l ( por por_l ) ( padframe por ) + USE SIGNAL
+ ROUTED met3 ( 1987680 202390 ) ( * 203870 )
NEW met3 ( 2692320 202390 ) ( * 203870 )
NEW met2 ( 3117360 202390 ) ( * 237725 )
NEW met3 ( 2692320 202390 ) ( 3117360 * )
NEW met1 ( 3117360 237725 ) ( 3203760 * )
NEW met2 ( 970320 209050 0 ) ( 971760 * )
NEW met3 ( 2549280 202390 ) ( * 203130 )
NEW met3 ( 2549280 203130 ) ( 2649120 * )
NEW met3 ( 2649120 203130 ) ( * 203870 )
NEW met3 ( 2649120 203870 ) ( 2692320 * )
NEW met2 ( 3203760 237725 ) ( * 381285 )
NEW met1 ( 3203760 381285 ) ( 3256560 * )
NEW met3 ( 1338720 203130 ) ( * 204610 )
NEW met3 ( 1943520 202390 ) ( * 203870 )
NEW met3 ( 1943520 202390 ) ( 1987680 * )
NEW met3 ( 2045280 203130 ) ( * 203870 )
NEW met3 ( 1987680 203870 ) ( 2045280 * )
NEW met3 ( 2448480 203130 ) ( * 203870 )
NEW met3 ( 2448480 203130 ) ( 2548320 * )
NEW met3 ( 2548320 202390 ) ( * 203130 )
NEW met3 ( 2548320 202390 ) ( 2549280 * )
NEW met1 ( 3256560 468605 ) ( 3297840 * )
NEW met2 ( 3297840 468605 ) ( * 522255 )
NEW met1 ( 3297840 522255 ) ( 3326640 * )
NEW met2 ( 3326640 522255 ) ( * 525770 )
NEW met3 ( 3326640 525770 ) ( 3326880 * )
NEW met3 ( 3326880 525770 ) ( * 526805 0 )
NEW met2 ( 3256560 381285 ) ( * 468605 )
NEW met2 ( 1058160 203870 ) ( * 209050 )
NEW met3 ( 971760 209050 ) ( 1058160 * )
NEW met2 ( 1238160 203870 ) ( 1239120 * )
NEW met2 ( 1239120 203130 ) ( * 203870 )
NEW met3 ( 1058160 203870 ) ( 1238160 * )
NEW met3 ( 1239120 203130 ) ( 1338720 * )
NEW met3 ( 1511760 203870 ) ( * 204610 )
NEW met3 ( 1338720 204610 ) ( 1511760 * )
NEW met2 ( 2267760 201650 ) ( * 203130 )
NEW met3 ( 1512240 203130 ) ( * 203870 )
NEW met3 ( 1511760 203870 ) ( 1512240 * )
NEW met2 ( 2217360 201650 ) ( * 203130 )
NEW met3 ( 2045280 203130 ) ( 2217360 * )
NEW met3 ( 2217360 201650 ) ( 2267760 * )
NEW met4 ( 2346720 203130 ) ( * 204795 )
NEW met4 ( 2346720 204795 ) ( 2347680 * )
NEW met4 ( 2347680 203870 ) ( * 204795 )
NEW met3 ( 2267760 203130 ) ( 2346720 * )
NEW met3 ( 2347680 203870 ) ( 2448480 * )
NEW met2 ( 1569840 202390 ) ( * 203130 )
NEW met2 ( 1569840 202390 ) ( 1570320 * )
NEW met2 ( 1570320 202390 ) ( * 203870 )
NEW met3 ( 1570320 203870 ) ( 1615200 * )
NEW met3 ( 1615200 203870 ) ( * 204610 )
NEW met3 ( 1512240 203130 ) ( 1569840 * )
NEW met3 ( 1771680 204610 ) ( * 206090 )
NEW met3 ( 1771680 206090 ) ( 1871760 * )
NEW met2 ( 1871760 203870 ) ( * 206090 )
NEW met3 ( 1871760 203870 ) ( 1943520 * )
NEW met2 ( 1670640 204425 ) ( * 204610 )
NEW met1 ( 1670640 204425 ) ( 1721040 * )
NEW met2 ( 1721040 204425 ) ( * 204610 )
NEW met3 ( 1615200 204610 ) ( 1670640 * )
NEW met3 ( 1721040 204610 ) ( 1771680 * )
NEW met1 ( 3203760 237725 ) M1M2_PR
NEW met1 ( 3256560 381285 ) M1M2_PR
NEW met2 ( 3117360 202390 ) via2_FR
NEW met1 ( 3117360 237725 ) M1M2_PR
NEW met2 ( 971760 209050 ) via2_FR
NEW met1 ( 3203760 381285 ) M1M2_PR
NEW met1 ( 3256560 468605 ) M1M2_PR
NEW met1 ( 3297840 468605 ) M1M2_PR
NEW met1 ( 3297840 522255 ) M1M2_PR
NEW met1 ( 3326640 522255 ) M1M2_PR
NEW met2 ( 3326640 525770 ) via2_FR
NEW met2 ( 1058160 209050 ) via2_FR
NEW met2 ( 1058160 203870 ) via2_FR
NEW met2 ( 1238160 203870 ) via2_FR
NEW met2 ( 1239120 203130 ) via2_FR
NEW met2 ( 2267760 201650 ) via2_FR
NEW met2 ( 2267760 203130 ) via2_FR
NEW met2 ( 2217360 203130 ) via2_FR
NEW met2 ( 2217360 201650 ) via2_FR
NEW met3 ( 2346720 203130 ) M3M4_PR_M
NEW met3 ( 2347680 203870 ) M3M4_PR_M
NEW met2 ( 1569840 203130 ) via2_FR
NEW met2 ( 1570320 203870 ) via2_FR
NEW met2 ( 1871760 206090 ) via2_FR
NEW met2 ( 1871760 203870 ) via2_FR
NEW met2 ( 1670640 204610 ) via2_FR
NEW met1 ( 1670640 204425 ) M1M2_PR
NEW met1 ( 1721040 204425 ) M1M2_PR
NEW met2 ( 1721040 204610 ) via2_FR ;
- porb_l ( soc porb ) ( por porb_l ) + USE SIGNAL
+ ROUTED met3 ( 3202080 521330 0 ) ( 3227280 * )
NEW met2 ( 3227280 521330 ) ( * 522625 )
NEW met2 ( 3326160 522625 ) ( * 522810 )
NEW met3 ( 3325920 522810 ) ( 3326160 * )
NEW met3 ( 3325920 522810 ) ( * 524980 0 )
NEW met1 ( 3227280 522625 ) ( 3326160 * )
NEW met2 ( 3227280 521330 ) via2_FR
NEW met1 ( 3227280 522625 ) M1M2_PR
NEW met1 ( 3326160 522625 ) M1M2_PR
NEW met2 ( 3326160 522810 ) via2_FR ;
- rstb_h ( rstb_level A ) ( padframe resetb_core_h ) + USE SIGNAL
+ ROUTED met3 ( 708960 199430 0 ) ( * 200910 )
NEW met3 ( 708960 200910 ) ( 710640 * )
NEW met2 ( 710640 200910 ) ( * 233470 )
NEW met2 ( 710640 233470 ) ( 712080 * 0 )
NEW met2 ( 710640 200910 ) via2_FR ;
- rstb_l ( soc resetb ) ( rstb_level X ) + USE SIGNAL
+ ROUTED met2 ( 726960 249010 ) ( * 249195 )
NEW met2 ( 725520 249010 0 ) ( 726960 * )
NEW met2 ( 1220880 249195 ) ( * 268250 0 )
NEW met1 ( 726960 249195 ) ( 1220880 * )
NEW met1 ( 726960 249195 ) M1M2_PR
NEW met1 ( 1220880 249195 ) M1M2_PR ;
- sdo_out ( soc sdo_out ) ( gpio_control_bidir\[1\] mgmt_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3202080 828430 0 ) ( * 829910 )
NEW met3 ( 3202080 829910 ) ( 3234480 * )
NEW met2 ( 3234480 829910 ) ( * 837125 )
NEW met2 ( 3373680 837125 ) ( * 841010 )
NEW met3 ( 3373680 841010 ) ( 3373920 * )
NEW met3 ( 3373920 841010 ) ( * 842490 0 )
NEW met1 ( 3234480 837125 ) ( 3373680 * )
NEW met2 ( 3234480 829910 ) via2_FR
NEW met1 ( 3234480 837125 ) M1M2_PR
NEW met1 ( 3373680 837125 ) M1M2_PR
NEW met2 ( 3373680 841010 ) via2_FR ;
- sdo_outenb ( soc sdo_outenb ) ( gpio_control_bidir\[1\] mgmt_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3201120 834350 0 ) ( * 835090 )
NEW met3 ( 3201120 835090 ) ( 3239760 * )
NEW met2 ( 3239760 835090 ) ( * 835645 )
NEW met2 ( 3373200 835645 ) ( * 838050 )
NEW met3 ( 3373200 838050 ) ( 3373920 * )
NEW met3 ( 3373920 838050 ) ( * 839530 0 )
NEW met1 ( 3239760 835645 ) ( 3373200 * )
NEW met2 ( 3239760 835090 ) via2_FR
NEW met1 ( 3239760 835645 ) M1M2_PR
NEW met1 ( 3373200 835645 ) M1M2_PR
NEW met2 ( 3373200 838050 ) via2_FR ;
- user_analog_io\[0\] ( padframe mprj_analog_io[0] ) ( mprj analog_io[0] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 1423390 0 ) ( 3270480 * )
NEW met2 ( 3270480 1423390 ) ( * 1423945 )
NEW met1 ( 3270480 1423945 ) ( 3304560 * )
NEW met2 ( 3304560 1423945 ) ( * 2779255 )
NEW met2 ( 3375600 2758165 ) ( * 2779255 )
NEW met1 ( 3375600 2758165 ) ( 3377040 * )
NEW met2 ( 3377040 2756130 0 ) ( * 2758165 )
NEW met1 ( 3304560 2779255 ) ( 3375600 * )
NEW met2 ( 3270480 1423390 ) via2_FR
NEW met1 ( 3270480 1423945 ) M1M2_PR
NEW met1 ( 3304560 1423945 ) M1M2_PR
NEW met1 ( 3304560 2779255 ) M1M2_PR
NEW met1 ( 3375600 2779255 ) M1M2_PR
NEW met1 ( 3375600 2758165 ) M1M2_PR
NEW met1 ( 3377040 2758165 ) M1M2_PR ;
- user_analog_io\[10\] ( padframe mprj_analog_io[10] ) ( mprj analog_io[10] ) + USE SIGNAL
+ ROUTED met2 ( 2428080 4972430 ) ( * 4977610 )
NEW met2 ( 2426880 4977610 0 ) ( 2428080 * )
NEW met3 ( 3250080 3772150 ) ( 3250320 * )
NEW met3 ( 3250080 3769190 0 ) ( * 3772150 )
NEW met2 ( 3250320 3772150 ) ( * 4972430 )
NEW met3 ( 2428080 4972430 ) ( 3250320 * )
NEW met2 ( 2428080 4972430 ) via2_FR
NEW met2 ( 3250320 3772150 ) via2_FR
NEW met2 ( 3250320 4972430 ) via2_FR ;
- user_analog_io\[11\] ( padframe mprj_analog_io[11] ) ( mprj analog_io[11] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4003770 0 ) ( * 4006730 )
NEW met3 ( 3251040 4006730 ) ( 3251280 * )
NEW met2 ( 3251280 4006730 ) ( * 4933395 )
NEW met2 ( 1981680 4971690 ) ( * 4977610 0 )
NEW met1 ( 3099120 4933395 ) ( 3251280 * )
NEW met2 ( 3029040 4958185 ) ( * 4959665 )
NEW met1 ( 3029040 4958185 ) ( 3099120 * )
NEW met2 ( 3099120 4933395 ) ( * 4958185 )
NEW met2 ( 3023760 4959295 ) ( * 4959665 )
NEW met2 ( 3023280 4959295 ) ( 3023760 * )
NEW met2 ( 3023280 4959295 ) ( * 4971690 )
NEW met3 ( 1981680 4971690 ) ( 3023280 * )
NEW met1 ( 3023760 4959665 ) ( 3029040 * )
NEW met2 ( 3251280 4006730 ) via2_FR
NEW met1 ( 3251280 4933395 ) M1M2_PR
NEW met2 ( 1981680 4971690 ) via2_FR
NEW met1 ( 3099120 4933395 ) M1M2_PR
NEW met1 ( 3029040 4959665 ) M1M2_PR
NEW met1 ( 3029040 4958185 ) M1M2_PR
NEW met1 ( 3099120 4958185 ) M1M2_PR
NEW met1 ( 3023760 4959665 ) M1M2_PR
NEW met2 ( 3023280 4971690 ) via2_FR ;
- user_analog_io\[12\] ( padframe mprj_analog_io[12] ) ( mprj analog_io[12] ) + USE SIGNAL
+ ROUTED met3 ( 3248880 4241310 ) ( 3249120 * )
NEW met3 ( 3249120 4238350 0 ) ( * 4241310 )
NEW met2 ( 3248880 4241310 ) ( * 4970950 )
NEW met2 ( 1472880 4970950 ) ( * 4977610 0 )
NEW met3 ( 1472880 4970950 ) ( 3248880 * )
NEW met2 ( 3248880 4241310 ) via2_FR
NEW met2 ( 3248880 4970950 ) via2_FR
NEW met2 ( 1472880 4970950 ) via2_FR ;
- user_analog_io\[13\] ( padframe mprj_analog_io[13] ) ( mprj analog_io[13] ) + USE SIGNAL
+ ROUTED met3 ( 3250800 4475890 ) ( 3251040 * )
NEW met3 ( 3251040 4472930 0 ) ( * 4475890 )
NEW met2 ( 1214880 4979090 0 ) ( 1216080 * )
NEW met3 ( 1216080 4979090 ) ( 1216800 * )
NEW met3 ( 1216800 4979090 ) ( * 4979830 )
NEW met3 ( 1216800 4979830 ) ( 1234800 * )
NEW met2 ( 1234800 4979830 ) ( * 4997035 )
NEW met2 ( 1396560 4975205 ) ( * 4997035 )
NEW met2 ( 3250800 4475890 ) ( * 4933025 )
NEW met2 ( 3045360 4933025 ) ( * 4975205 )
NEW met1 ( 1234800 4997035 ) ( 1396560 * )
NEW met1 ( 1396560 4975205 ) ( 3045360 * )
NEW met1 ( 3045360 4933025 ) ( 3250800 * )
NEW met2 ( 3250800 4475890 ) via2_FR
NEW met2 ( 1216080 4979090 ) via2_FR
NEW met2 ( 1234800 4979830 ) via2_FR
NEW met1 ( 1234800 4997035 ) M1M2_PR
NEW met1 ( 1396560 4997035 ) M1M2_PR
NEW met1 ( 1396560 4975205 ) M1M2_PR
NEW met1 ( 3045360 4975205 ) M1M2_PR
NEW met1 ( 3250800 4933025 ) M1M2_PR
NEW met1 ( 3045360 4933025 ) M1M2_PR ;
- user_analog_io\[14\] ( padframe mprj_analog_io[14] ) ( mprj analog_io[14] ) + USE SIGNAL
+ ROUTED met3 ( 3248160 4708990 ) ( 3248400 * )
NEW met3 ( 3248160 4707510 0 ) ( * 4708990 )
NEW met2 ( 3248400 4708990 ) ( * 4970210 )
NEW met2 ( 957840 4970210 ) ( * 4977610 0 )
NEW met3 ( 957840 4970210 ) ( 3248400 * )
NEW met2 ( 3248400 4708990 ) via2_FR
NEW met2 ( 3248400 4970210 ) via2_FR
NEW met2 ( 957840 4970210 ) via2_FR ;
- user_analog_io\[15\] ( padframe mprj_analog_io[15] ) ( mprj analog_io[15] ) + USE SIGNAL
+ ROUTED met2 ( 3205680 4917670 0 ) ( * 4968730 )
NEW met2 ( 702000 4968730 ) ( * 4977610 )
NEW met2 ( 700800 4977610 0 ) ( 702000 * )
NEW met3 ( 702000 4968730 ) ( 3205680 * )
NEW met2 ( 3205680 4968730 ) via2_FR
NEW met2 ( 702000 4968730 ) via2_FR ;
- user_analog_io\[16\] ( padframe mprj_analog_io[16] ) ( mprj analog_io[16] ) + USE SIGNAL
+ ROUTED met2 ( 443760 4969470 ) ( * 4977610 0 )
NEW met3 ( 443760 4969470 ) ( 2881680 * )
NEW met2 ( 2881680 4917670 0 ) ( * 4969470 )
NEW met2 ( 2881680 4969470 ) via2_FR
NEW met2 ( 443760 4969470 ) via2_FR ;
- user_analog_io\[17\] ( padframe mprj_analog_io[17] ) ( mprj analog_io[17] ) + USE SIGNAL
+ ROUTED met2 ( 2557200 4917670 0 ) ( * 4939315 )
NEW met2 ( 210960 4833680 0 ) ( * 4836455 )
NEW met1 ( 210960 4836455 ) ( 215760 * )
NEW met2 ( 215760 4836455 ) ( * 4939315 )
NEW met1 ( 215760 4939315 ) ( 2557200 * )
NEW met1 ( 215760 4939315 ) M1M2_PR
NEW met1 ( 2557200 4939315 ) M1M2_PR
NEW met1 ( 210960 4836455 ) M1M2_PR
NEW met1 ( 215760 4836455 ) M1M2_PR ;
- user_analog_io\[18\] ( padframe mprj_analog_io[18] ) ( mprj analog_io[18] ) + USE SIGNAL
+ ROUTED met2 ( 2232720 4917670 0 ) ( * 4939685 )
NEW met1 ( 225360 4939685 ) ( 2232720 * )
NEW met2 ( 210480 3984900 0 ) ( * 3986565 )
NEW met1 ( 210480 3986565 ) ( 225360 * )
NEW met2 ( 225360 3986565 ) ( * 4939685 )
NEW met1 ( 225360 4939685 ) M1M2_PR
NEW met1 ( 2232720 4939685 ) M1M2_PR
NEW met1 ( 210480 3986565 ) M1M2_PR
NEW met1 ( 225360 3986565 ) M1M2_PR ;
- user_analog_io\[19\] ( padframe mprj_analog_io[19] ) ( mprj analog_io[19] ) + USE SIGNAL
+ ROUTED met2 ( 210960 3768820 0 ) ( * 3770485 )
NEW met1 ( 210960 3770485 ) ( 214800 * )
NEW met1 ( 214800 3770485 ) ( * 3771225 )
NEW met2 ( 214800 3771225 ) ( * 3787135 )
NEW met1 ( 214800 3787135 ) ( * 3787505 )
NEW met1 ( 214800 3787505 ) ( 224880 * )
NEW met2 ( 224880 3787505 ) ( * 4940055 )
NEW met2 ( 1908240 4917670 0 ) ( * 4940055 )
NEW met1 ( 224880 4940055 ) ( 1908240 * )
NEW met1 ( 224880 4940055 ) M1M2_PR
NEW met1 ( 210960 3770485 ) M1M2_PR
NEW met1 ( 214800 3771225 ) M1M2_PR
NEW met1 ( 214800 3787135 ) M1M2_PR
NEW met1 ( 224880 3787505 ) M1M2_PR
NEW met1 ( 1908240 4940055 ) M1M2_PR ;
- user_analog_io\[1\] ( padframe mprj_analog_io[1] ) ( mprj analog_io[1] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 1657970 0 ) ( 3272400 * )
NEW met2 ( 3272400 1657970 ) ( * 1661485 )
NEW met1 ( 3272400 1661485 ) ( 3318960 * )
NEW met2 ( 3318960 1661485 ) ( * 3009765 )
NEW met2 ( 3375600 2984235 ) ( * 3009765 )
NEW met1 ( 3375600 2984235 ) ( 3377040 * )
NEW met2 ( 3377040 2982200 0 ) ( * 2984235 )
NEW met1 ( 3318960 3009765 ) ( 3375600 * )
NEW met2 ( 3272400 1657970 ) via2_FR
NEW met1 ( 3272400 1661485 ) M1M2_PR
NEW met1 ( 3318960 1661485 ) M1M2_PR
NEW met1 ( 3318960 3009765 ) M1M2_PR
NEW met1 ( 3375600 3009765 ) M1M2_PR
NEW met1 ( 3375600 2984235 ) M1M2_PR
NEW met1 ( 3377040 2984235 ) M1M2_PR ;
- user_analog_io\[20\] ( padframe mprj_analog_io[20] ) ( mprj analog_io[20] ) + USE SIGNAL
+ ROUTED met2 ( 215760 3557550 ) ( 217200 * )
NEW met2 ( 215760 3557550 ) ( * 3585485 )
NEW met1 ( 215760 3585485 ) ( 224400 * )
NEW met2 ( 210960 3552740 0 ) ( * 3554405 )
NEW met1 ( 210960 3554405 ) ( 217200 * )
NEW met2 ( 217200 3554405 ) ( * 3557550 )
NEW met2 ( 224400 3585485 ) ( * 4940425 )
NEW met2 ( 1583760 4917670 0 ) ( * 4940425 )
NEW met1 ( 224400 4940425 ) ( 1583760 * )
NEW met1 ( 224400 4940425 ) M1M2_PR
NEW met1 ( 215760 3585485 ) M1M2_PR
NEW met1 ( 224400 3585485 ) M1M2_PR
NEW met1 ( 210960 3554405 ) M1M2_PR
NEW met1 ( 217200 3554405 ) M1M2_PR
NEW met1 ( 1583760 4940425 ) M1M2_PR ;
- user_analog_io\[21\] ( padframe mprj_analog_io[21] ) ( mprj analog_io[21] ) + USE SIGNAL
+ ROUTED met2 ( 1259280 4917670 0 ) ( * 4940795 )
NEW met1 ( 223920 4940795 ) ( 1259280 * )
NEW met1 ( 217200 3369405 ) ( 223920 * )
NEW met2 ( 210960 3336805 0 ) ( * 3339435 )
NEW met1 ( 210960 3339435 ) ( 217680 * )
NEW met2 ( 217680 3339435 ) ( * 3354790 )
NEW met2 ( 217200 3354790 ) ( 217680 * )
NEW met2 ( 217200 3354790 ) ( * 3369405 )
NEW met2 ( 223920 3369405 ) ( * 4940795 )
NEW met1 ( 223920 4940795 ) M1M2_PR
NEW met1 ( 1259280 4940795 ) M1M2_PR
NEW met1 ( 217200 3369405 ) M1M2_PR
NEW met1 ( 223920 3369405 ) M1M2_PR
NEW met1 ( 210960 3339435 ) M1M2_PR
NEW met1 ( 217680 3339435 ) M1M2_PR ;
- user_analog_io\[22\] ( padframe mprj_analog_io[22] ) ( mprj analog_io[22] ) + USE SIGNAL
+ ROUTED met2 ( 238320 3139635 ) ( * 4941165 )
NEW met2 ( 934800 4917670 0 ) ( * 4941165 )
NEW met1 ( 238320 4941165 ) ( 934800 * )
NEW met2 ( 210960 3120805 0 ) ( * 3123355 )
NEW met1 ( 210960 3123355 ) ( 212400 * )
NEW met2 ( 212400 3123355 ) ( * 3139635 )
NEW met1 ( 212400 3139635 ) ( 238320 * )
NEW met1 ( 238320 3139635 ) M1M2_PR
NEW met1 ( 238320 4941165 ) M1M2_PR
NEW met1 ( 934800 4941165 ) M1M2_PR
NEW met1 ( 210960 3123355 ) M1M2_PR
NEW met1 ( 212400 3123355 ) M1M2_PR
NEW met1 ( 212400 3139635 ) M1M2_PR ;
- user_analog_io\[23\] ( padframe mprj_analog_io[23] ) ( mprj analog_io[23] ) + USE SIGNAL
+ ROUTED met2 ( 610320 4917670 0 ) ( * 4941535 )
NEW met1 ( 252240 4941535 ) ( 610320 * )
NEW met2 ( 210480 2904870 0 ) ( * 2907275 )
NEW met1 ( 210480 2907275 ) ( 212400 * )
NEW met1 ( 212400 2920595 ) ( 252240 * )
NEW met2 ( 212400 2907275 ) ( * 2920595 )
NEW met2 ( 252240 2920595 ) ( * 4941535 )
NEW met1 ( 252240 4941535 ) M1M2_PR
NEW met1 ( 610320 4941535 ) M1M2_PR
NEW met1 ( 210480 2907275 ) M1M2_PR
NEW met1 ( 212400 2907275 ) M1M2_PR
NEW met1 ( 212400 2920595 ) M1M2_PR
NEW met1 ( 252240 2920595 ) M1M2_PR ;
- user_analog_io\[24\] ( padframe mprj_analog_io[24] ) ( mprj analog_io[24] ) + USE SIGNAL
+ ROUTED met2 ( 268560 2707475 ) ( * 4867535 )
NEW met2 ( 297360 4867535 ) ( * 4876970 )
NEW met3 ( 297360 4876970 ) ( 322080 * 0 )
NEW met1 ( 268560 4867535 ) ( 297360 * )
NEW met2 ( 210960 2688790 0 ) ( * 2691565 )
NEW met1 ( 210960 2691565 ) ( 214800 * )
NEW met2 ( 214800 2691565 ) ( * 2707475 )
NEW met1 ( 214800 2707475 ) ( 268560 * )
NEW met1 ( 268560 4867535 ) M1M2_PR
NEW met1 ( 268560 2707475 ) M1M2_PR
NEW met1 ( 297360 4867535 ) M1M2_PR
NEW met2 ( 297360 4876970 ) via2_FR
NEW met1 ( 210960 2691565 ) M1M2_PR
NEW met1 ( 214800 2691565 ) M1M2_PR
NEW met1 ( 214800 2707475 ) M1M2_PR ;
- user_analog_io\[25\] ( padframe mprj_analog_io[25] ) ( mprj analog_io[25] ) + USE SIGNAL
+ ROUTED met2 ( 237360 2052575 ) ( * 4579305 )
NEW met2 ( 297840 4579305 ) ( * 4589110 )
NEW met3 ( 297840 4589110 ) ( 322080 * 0 )
NEW met1 ( 237360 4579305 ) ( 297840 * )
NEW met2 ( 210480 2050910 0 ) ( * 2052575 )
NEW met1 ( 210480 2052575 ) ( 237360 * )
NEW met1 ( 237360 2052575 ) M1M2_PR
NEW met1 ( 237360 4579305 ) M1M2_PR
NEW met1 ( 297840 4579305 ) M1M2_PR
NEW met2 ( 297840 4589110 ) via2_FR
NEW met1 ( 210480 2052575 ) M1M2_PR ;
- user_analog_io\[26\] ( padframe mprj_analog_io[26] ) ( mprj analog_io[26] ) + USE SIGNAL
+ ROUTED met2 ( 251760 1836865 ) ( * 4291445 )
NEW met2 ( 293040 4291445 ) ( * 4301990 )
NEW met3 ( 293040 4301990 ) ( 322080 * 0 )
NEW met1 ( 251760 4291445 ) ( 293040 * )
NEW met2 ( 210480 1834830 0 ) ( * 1836865 )
NEW met1 ( 210480 1836865 ) ( 251760 * )
NEW met1 ( 251760 1836865 ) M1M2_PR
NEW met1 ( 251760 4291445 ) M1M2_PR
NEW met1 ( 293040 4291445 ) M1M2_PR
NEW met2 ( 293040 4301990 ) via2_FR
NEW met1 ( 210480 1836865 ) M1M2_PR ;
- user_analog_io\[27\] ( padframe mprj_analog_io[27] ) ( mprj analog_io[27] ) + USE SIGNAL
+ ROUTED met2 ( 210960 1618750 0 ) ( * 1620785 )
NEW met1 ( 210960 1620785 ) ( 223440 * )
NEW met2 ( 297840 4003215 ) ( * 4014130 )
NEW met3 ( 297840 4014130 ) ( 322080 * 0 )
NEW met1 ( 223440 4003215 ) ( 297840 * )
NEW met2 ( 223440 1620785 ) ( * 4003215 )
NEW met1 ( 210960 1620785 ) M1M2_PR
NEW met1 ( 223440 1620785 ) M1M2_PR
NEW met1 ( 297840 4003215 ) M1M2_PR
NEW met2 ( 297840 4014130 ) via2_FR
NEW met1 ( 223440 4003215 ) M1M2_PR ;
- user_analog_io\[28\] ( padframe mprj_analog_io[28] ) ( mprj analog_io[28] ) + USE SIGNAL
+ ROUTED met2 ( 267600 1405445 ) ( * 3715355 )
NEW met2 ( 297840 3715355 ) ( * 3727750 )
NEW met3 ( 297840 3727750 ) ( 322080 * 0 )
NEW met1 ( 267600 3715355 ) ( 297840 * )
NEW met2 ( 210960 1402670 0 ) ( * 1405445 )
NEW met1 ( 210960 1405445 ) ( 267600 * )
NEW met1 ( 267600 1405445 ) M1M2_PR
NEW met1 ( 267600 3715355 ) M1M2_PR
NEW met1 ( 297840 3715355 ) M1M2_PR
NEW met2 ( 297840 3727750 ) via2_FR
NEW met1 ( 210960 1405445 ) M1M2_PR ;
- user_analog_io\[29\] ( padframe mprj_analog_io[29] ) ( mprj analog_io[29] ) + USE SIGNAL
+ ROUTED met2 ( 297840 3427495 ) ( * 3439890 )
NEW met3 ( 297840 3439890 ) ( 322080 * 0 )
NEW met1 ( 237840 3427495 ) ( 297840 * )
NEW met2 ( 210960 1186805 0 ) ( * 1189365 )
NEW met1 ( 210960 1189365 ) ( 237840 * )
NEW met2 ( 237840 1189365 ) ( * 3427495 )
NEW met1 ( 237840 3427495 ) M1M2_PR
NEW met1 ( 297840 3427495 ) M1M2_PR
NEW met2 ( 297840 3439890 ) via2_FR
NEW met1 ( 210960 1189365 ) M1M2_PR
NEW met1 ( 237840 1189365 ) M1M2_PR ;
- user_analog_io\[2\] ( padframe mprj_analog_io[2] ) ( mprj analog_io[2] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 1892550 0 ) ( 3272400 * )
NEW met2 ( 3272400 1892550 ) ( * 1900505 )
NEW met1 ( 3272400 1900505 ) ( 3333840 * )
NEW met2 ( 3333840 1900505 ) ( * 3225845 )
NEW met2 ( 3375600 3209195 ) ( * 3225845 )
NEW met1 ( 3375600 3209195 ) ( 3377040 * )
NEW met2 ( 3377040 3207160 0 ) ( * 3209195 )
NEW met1 ( 3333840 3225845 ) ( 3375600 * )
NEW met2 ( 3272400 1892550 ) via2_FR
NEW met1 ( 3272400 1900505 ) M1M2_PR
NEW met1 ( 3333840 3225845 ) M1M2_PR
NEW met1 ( 3333840 1900505 ) M1M2_PR
NEW met1 ( 3375600 3225845 ) M1M2_PR
NEW met1 ( 3375600 3209195 ) M1M2_PR
NEW met1 ( 3377040 3209195 ) M1M2_PR ;
- user_analog_io\[30\] ( padframe mprj_analog_io[30] ) ( mprj analog_io[30] ) + USE SIGNAL
+ ROUTED met2 ( 297840 3139265 ) ( * 3152770 )
NEW met3 ( 297840 3152770 ) ( 322080 * 0 )
NEW met2 ( 210480 970880 0 ) ( * 972545 )
NEW met1 ( 210480 972545 ) ( 223920 * )
NEW met2 ( 223920 972545 ) ( * 3139265 )
NEW met1 ( 223920 3139265 ) ( 297840 * )
NEW met1 ( 297840 3139265 ) M1M2_PR
NEW met2 ( 297840 3152770 ) via2_FR
NEW met1 ( 210480 972545 ) M1M2_PR
NEW met1 ( 223920 972545 ) M1M2_PR
NEW met1 ( 223920 3139265 ) M1M2_PR ;
- user_analog_io\[3\] ( padframe mprj_analog_io[3] ) ( mprj analog_io[3] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2127130 0 ) ( 3272400 * )
NEW met2 ( 3272400 2127130 ) ( * 2131015 )
NEW met1 ( 3272400 2131015 ) ( 3348240 * )
NEW met2 ( 3348240 2131015 ) ( * 3431195 )
NEW met2 ( 3377040 3431195 ) ( * 3433230 0 )
NEW met1 ( 3348240 3431195 ) ( 3377040 * )
NEW met2 ( 3272400 2127130 ) via2_FR
NEW met1 ( 3272400 2131015 ) M1M2_PR
NEW met1 ( 3348240 3431195 ) M1M2_PR
NEW met1 ( 3348240 2131015 ) M1M2_PR
NEW met1 ( 3377040 3431195 ) M1M2_PR ;
- user_analog_io\[4\] ( padframe mprj_analog_io[4] ) ( mprj analog_io[4] ) + USE SIGNAL
+ ROUTED met2 ( 3272400 2360970 ) ( * 2361155 )
NEW met3 ( 3251040 2360970 0 ) ( 3272400 * )
NEW met1 ( 3272400 2361155 ) ( 3305040 * )
NEW met2 ( 3305040 2361155 ) ( * 3686495 )
NEW met2 ( 3375600 3660225 ) ( * 3686495 )
NEW met1 ( 3375600 3660225 ) ( 3377040 * )
NEW met2 ( 3377040 3658190 0 ) ( * 3660225 )
NEW met1 ( 3305040 3686495 ) ( 3375600 * )
NEW met1 ( 3272400 2361155 ) M1M2_PR
NEW met2 ( 3272400 2360970 ) via2_FR
NEW met1 ( 3305040 3686495 ) M1M2_PR
NEW met1 ( 3305040 2361155 ) M1M2_PR
NEW met1 ( 3375600 3686495 ) M1M2_PR
NEW met1 ( 3375600 3660225 ) M1M2_PR
NEW met1 ( 3377040 3660225 ) M1M2_PR ;
- user_analog_io\[5\] ( padframe mprj_analog_io[5] ) ( mprj analog_io[5] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2596290 0 ) ( 3272400 * )
NEW met2 ( 3272400 2596290 ) ( * 2596475 )
NEW met1 ( 3272400 2596475 ) ( 3319440 * )
NEW met1 ( 3375600 3885185 ) ( 3377040 * )
NEW met2 ( 3377040 3883150 0 ) ( * 3885185 )
NEW met1 ( 3319440 3902575 ) ( 3375600 * )
NEW met2 ( 3319440 2596475 ) ( * 3902575 )
NEW met2 ( 3375600 3885185 ) ( * 3902575 )
NEW met2 ( 3272400 2596290 ) via2_FR
NEW met1 ( 3272400 2596475 ) M1M2_PR
NEW met1 ( 3319440 2596475 ) M1M2_PR
NEW met1 ( 3375600 3885185 ) M1M2_PR
NEW met1 ( 3377040 3885185 ) M1M2_PR
NEW met1 ( 3319440 3902575 ) M1M2_PR
NEW met1 ( 3375600 3902575 ) M1M2_PR ;
- user_analog_io\[6\] ( padframe mprj_analog_io[6] ) ( mprj analog_io[6] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2830870 0 ) ( 3272400 * )
NEW met2 ( 3272400 2830870 ) ( * 2836605 )
NEW met1 ( 3272400 2836605 ) ( 3333360 * )
NEW met1 ( 3333360 4320305 ) ( 3376080 * )
NEW met2 ( 3376080 4320305 ) ( * 4329195 )
NEW met2 ( 3376080 4329195 ) ( 3377040 * 0 )
NEW met2 ( 3333360 2836605 ) ( * 4320305 )
NEW met2 ( 3272400 2830870 ) via2_FR
NEW met1 ( 3272400 2836605 ) M1M2_PR
NEW met1 ( 3333360 2836605 ) M1M2_PR
NEW met1 ( 3333360 4320305 ) M1M2_PR
NEW met1 ( 3376080 4320305 ) M1M2_PR ;
- user_analog_io\[7\] ( padframe mprj_analog_io[7] ) ( mprj analog_io[7] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3065450 0 ) ( 3271920 * )
NEW met2 ( 3271920 3065450 ) ( * 3067115 )
NEW met1 ( 3271920 3067115 ) ( 3347760 * )
NEW met1 ( 3347760 4773555 ) ( 3377040 * )
NEW met2 ( 3377040 4773555 ) ( * 4775220 0 )
NEW met2 ( 3347760 3067115 ) ( * 4773555 )
NEW met2 ( 3271920 3065450 ) via2_FR
NEW met1 ( 3271920 3067115 ) M1M2_PR
NEW met1 ( 3347760 3067115 ) M1M2_PR
NEW met1 ( 3347760 4773555 ) M1M2_PR
NEW met1 ( 3377040 4773555 ) M1M2_PR ;
- user_analog_io\[8\] ( padframe mprj_analog_io[8] ) ( mprj analog_io[8] ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3300030 0 ) ( * 3302990 )
NEW met3 ( 3251040 3302990 ) ( 3251760 * )
NEW met2 ( 3211440 4967435 ) ( * 4969470 )
NEW met1 ( 3211440 4967435 ) ( 3251760 * )
NEW met2 ( 3251760 3302990 ) ( * 4967435 )
NEW met2 ( 3192720 4969470 ) ( * 4977610 0 )
NEW met3 ( 3192720 4969470 ) ( 3211440 * )
NEW met2 ( 3251760 3302990 ) via2_FR
NEW met2 ( 3211440 4969470 ) via2_FR
NEW met1 ( 3211440 4967435 ) M1M2_PR
NEW met1 ( 3251760 4967435 ) M1M2_PR
NEW met2 ( 3192720 4969470 ) via2_FR ;
- user_analog_io\[9\] ( padframe mprj_analog_io[9] ) ( mprj analog_io[9] ) + USE SIGNAL
+ ROUTED met3 ( 3249120 3537570 ) ( 3249360 * )
NEW met3 ( 3249120 3534610 0 ) ( * 3537570 )
NEW met2 ( 3249360 3537570 ) ( * 4946345 )
NEW met2 ( 3171600 4946345 ) ( * 4973170 )
NEW met1 ( 3171600 4946345 ) ( 3249360 * )
NEW met2 ( 2683920 4973170 ) ( * 4977610 0 )
NEW met3 ( 2683920 4973170 ) ( 3171600 * )
NEW met2 ( 3249360 3537570 ) via2_FR
NEW met1 ( 3249360 4946345 ) M1M2_PR
NEW met1 ( 3171600 4946345 ) M1M2_PR
NEW met2 ( 3171600 4973170 ) via2_FR
NEW met2 ( 2683920 4973170 ) via2_FR ;
- user_io_in\[0\] ( mprj io_in[0] ) ( gpio_control_bidir\[0\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3272880 1469085 ) ( * 1481110 )
NEW met3 ( 3251040 1481110 0 ) ( 3272880 * )
NEW met1 ( 3318960 668035 ) ( 3372240 * )
NEW met2 ( 3372240 667850 ) ( * 668035 )
NEW met3 ( 3372240 667850 ) ( 3373920 * 0 )
NEW met1 ( 3272880 1469085 ) ( 3318960 * )
NEW met2 ( 3318960 668035 ) ( * 1469085 )
NEW met1 ( 3272880 1469085 ) M1M2_PR
NEW met2 ( 3272880 1481110 ) via2_FR
NEW met1 ( 3318960 668035 ) M1M2_PR
NEW met1 ( 3372240 668035 ) M1M2_PR
NEW met2 ( 3372240 667850 ) via2_FR
NEW met1 ( 3318960 1469085 ) M1M2_PR ;
- user_io_in\[10\] ( mprj io_in[10] ) ( gpio_control_in\[10\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3827650 0 ) ( 3270000 * )
NEW met2 ( 3270000 3816365 ) ( * 3827650 )
NEW met1 ( 3270000 3816365 ) ( 3306000 * )
NEW met2 ( 3306000 3134455 ) ( * 3816365 )
NEW met2 ( 3373200 3134270 ) ( * 3134455 )
NEW met3 ( 3373200 3134270 ) ( 3373920 * )
NEW met3 ( 3373920 3132790 0 ) ( * 3134270 )
NEW met1 ( 3306000 3134455 ) ( 3373200 * )
NEW met2 ( 3270000 3827650 ) via2_FR
NEW met1 ( 3270000 3816365 ) M1M2_PR
NEW met1 ( 3306000 3816365 ) M1M2_PR
NEW met1 ( 3306000 3134455 ) M1M2_PR
NEW met1 ( 3373200 3134455 ) M1M2_PR
NEW met2 ( 3373200 3134270 ) via2_FR ;
- user_io_in\[11\] ( mprj io_in[11] ) ( gpio_control_in\[11\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4062970 0 ) ( 3272400 * )
NEW met2 ( 3272400 4060935 ) ( * 4062970 )
NEW met1 ( 3272400 4060935 ) ( 3320400 * )
NEW met2 ( 3320400 3357935 ) ( * 4060935 )
NEW met2 ( 3372240 3357750 ) ( * 3357935 )
NEW met3 ( 3372240 3357750 ) ( 3373920 * 0 )
NEW met1 ( 3320400 3357935 ) ( 3372240 * )
NEW met2 ( 3272400 4062970 ) via2_FR
NEW met1 ( 3272400 4060935 ) M1M2_PR
NEW met1 ( 3320400 4060935 ) M1M2_PR
NEW met1 ( 3320400 3357935 ) M1M2_PR
NEW met1 ( 3372240 3357935 ) M1M2_PR
NEW met2 ( 3372240 3357750 ) via2_FR ;
- user_io_in\[12\] ( mprj io_in[12] ) ( gpio_control_in\[12\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4297550 0 ) ( 3270960 * )
NEW met2 ( 3270960 4291445 ) ( * 4297550 )
NEW met1 ( 3270960 4291445 ) ( 3305520 * )
NEW met2 ( 3305520 3584375 ) ( * 4291445 )
NEW met2 ( 3372240 3584190 ) ( * 3584375 )
NEW met3 ( 3372240 3584190 ) ( 3373920 * 0 )
NEW met1 ( 3305520 3584375 ) ( 3372240 * )
NEW met2 ( 3270960 4297550 ) via2_FR
NEW met1 ( 3270960 4291445 ) M1M2_PR
NEW met1 ( 3305520 4291445 ) M1M2_PR
NEW met1 ( 3305520 3584375 ) M1M2_PR
NEW met1 ( 3372240 3584375 ) M1M2_PR
NEW met2 ( 3372240 3584190 ) via2_FR ;
- user_io_in\[13\] ( mprj io_in[13] ) ( gpio_control_in\[13\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4532130 0 ) ( 3272400 * )
NEW met2 ( 3272400 4521955 ) ( * 4532130 )
NEW met1 ( 3319920 3810075 ) ( 3373200 * )
NEW met2 ( 3373200 3809890 ) ( * 3810075 )
NEW met3 ( 3373200 3809890 ) ( 3373920 * )
NEW met3 ( 3373920 3809150 0 ) ( * 3809890 )
NEW met1 ( 3272400 4521955 ) ( 3319920 * )
NEW met2 ( 3319920 3810075 ) ( * 4521955 )
NEW met2 ( 3272400 4532130 ) via2_FR
NEW met1 ( 3272400 4521955 ) M1M2_PR
NEW met1 ( 3319920 3810075 ) M1M2_PR
NEW met1 ( 3373200 3810075 ) M1M2_PR
NEW met2 ( 3373200 3809890 ) via2_FR
NEW met1 ( 3319920 4521955 ) M1M2_PR ;
- user_io_in\[14\] ( mprj io_in[14] ) ( gpio_control_in\[14\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4766710 0 ) ( 3276720 * )
NEW met2 ( 3276720 4696965 ) ( * 4766710 )
NEW met2 ( 3373200 4696965 ) ( * 4699370 )
NEW met3 ( 3373200 4699370 ) ( 3373920 * )
NEW met3 ( 3373920 4699370 ) ( * 4700850 0 )
NEW met1 ( 3276720 4696965 ) ( 3373200 * )
NEW met1 ( 3276720 4696965 ) M1M2_PR
NEW met2 ( 3276720 4766710 ) via2_FR
NEW met1 ( 3373200 4696965 ) M1M2_PR
NEW met2 ( 3373200 4699370 ) via2_FR ;
- user_io_in\[15\] ( mprj io_in[15] ) ( gpio_control_in\[15\] user_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 2809680 4979645 ) ( 2849040 * )
NEW met2 ( 2809680 4979645 ) ( * 4979830 )
NEW met3 ( 2808960 4979830 0 ) ( 2809680 * )
NEW met2 ( 2849040 4946345 ) ( * 4979645 )
NEW met2 ( 3125040 4917670 0 ) ( * 4946345 )
NEW met1 ( 2849040 4946345 ) ( 3125040 * )
NEW met1 ( 2849040 4946345 ) M1M2_PR
NEW met1 ( 2849040 4979645 ) M1M2_PR
NEW met1 ( 2809680 4979645 ) M1M2_PR
NEW met2 ( 2809680 4979830 ) via2_FR
NEW met1 ( 3125040 4946345 ) M1M2_PR ;
- user_io_in\[16\] ( mprj io_in[16] ) ( gpio_control_in\[16\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 2800560 4917670 0 ) ( * 4946345 )
NEW met2 ( 2578800 4946345 ) ( * 4979645 )
NEW met1 ( 2552880 4979645 ) ( 2578800 * )
NEW met2 ( 2552880 4979645 ) ( * 4979830 )
NEW met3 ( 2552160 4979830 0 ) ( 2552880 * )
NEW met1 ( 2578800 4946345 ) ( 2800560 * )
NEW met1 ( 2800560 4946345 ) M1M2_PR
NEW met1 ( 2578800 4946345 ) M1M2_PR
NEW met1 ( 2578800 4979645 ) M1M2_PR
NEW met1 ( 2552880 4979645 ) M1M2_PR
NEW met2 ( 2552880 4979830 ) via2_FR ;
- user_io_in\[17\] ( mprj io_in[17] ) ( gpio_control_in\[17\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 2476080 4917670 0 ) ( * 4946345 )
NEW met3 ( 2167200 4977610 ) ( 2190000 * )
NEW met3 ( 2167200 4977610 ) ( * 4978350 )
NEW met3 ( 2166240 4978350 ) ( 2167200 * )
NEW met3 ( 2166240 4978350 ) ( * 4979090 )
NEW met3 ( 2166240 4979090 ) ( 2167200 * 0 )
NEW met2 ( 2190000 4946345 ) ( * 4977610 )
NEW met1 ( 2190000 4946345 ) ( 2476080 * )
NEW met1 ( 2476080 4946345 ) M1M2_PR
NEW met2 ( 2190000 4977610 ) via2_FR
NEW met1 ( 2190000 4946345 ) M1M2_PR ;
- user_io_in\[18\] ( mprj io_in[18] ) ( gpio_control_in\[18\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 1830960 4978535 ) ( * 4979090 )
NEW met3 ( 1829760 4979090 0 ) ( 1830960 * )
NEW met2 ( 2151600 4917670 0 ) ( * 4946345 )
NEW met1 ( 1830960 4978535 ) ( 1858800 * )
NEW met2 ( 1858800 4946345 ) ( * 4978535 )
NEW met1 ( 1858800 4946345 ) ( 2151600 * )
NEW met1 ( 1830960 4978535 ) M1M2_PR
NEW met2 ( 1830960 4979090 ) via2_FR
NEW met1 ( 2151600 4946345 ) M1M2_PR
NEW met1 ( 1858800 4978535 ) M1M2_PR
NEW met1 ( 1858800 4946345 ) M1M2_PR ;
- user_io_in\[19\] ( mprj io_in[19] ) ( gpio_control_in\[19\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 1577760 4978350 ) ( 1598640 * )
NEW met3 ( 1577760 4978350 ) ( * 4979090 0 )
NEW met2 ( 1598640 4946345 ) ( * 4978350 )
NEW met2 ( 1827120 4917670 0 ) ( * 4946345 )
NEW met1 ( 1598640 4946345 ) ( 1827120 * )
NEW met2 ( 1598640 4978350 ) via2_FR
NEW met1 ( 1598640 4946345 ) M1M2_PR
NEW met1 ( 1827120 4946345 ) M1M2_PR ;
- user_io_in\[1\] ( mprj io_in[1] ) ( gpio_control_bidir\[1\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3272400 1713655 ) ( * 1715690 )
NEW met3 ( 3251040 1715690 0 ) ( 3272400 * )
NEW met1 ( 3272400 1713655 ) ( 3333360 * )
NEW met2 ( 3333360 894105 ) ( * 1713655 )
NEW met2 ( 3372240 893920 ) ( * 894105 )
NEW met3 ( 3372240 893920 ) ( 3373920 * 0 )
NEW met1 ( 3333360 894105 ) ( 3372240 * )
NEW met1 ( 3333360 1713655 ) M1M2_PR
NEW met1 ( 3272400 1713655 ) M1M2_PR
NEW met2 ( 3272400 1715690 ) via2_FR
NEW met1 ( 3333360 894105 ) M1M2_PR
NEW met1 ( 3372240 894105 ) M1M2_PR
NEW met2 ( 3372240 893920 ) via2_FR ;
- user_io_in\[20\] ( mprj io_in[20] ) ( gpio_control_in\[20\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 1339440 4946345 ) ( * 4977610 )
NEW met2 ( 1502640 4917670 0 ) ( * 4946345 )
NEW met1 ( 1339440 4946345 ) ( 1502640 * )
NEW met3 ( 1320000 4977610 ) ( * 4979090 0 )
NEW met3 ( 1320000 4977610 ) ( 1339440 * )
NEW met1 ( 1339440 4946345 ) M1M2_PR
NEW met2 ( 1339440 4977610 ) via2_FR
NEW met1 ( 1502640 4946345 ) M1M2_PR ;
- user_io_in\[21\] ( mprj io_in[21] ) ( gpio_control_in\[21\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 1064160 4978350 ) ( * 4979830 )
NEW met3 ( 1063200 4979830 0 ) ( 1064160 * )
NEW met2 ( 1080240 4946345 ) ( * 4978350 )
NEW met1 ( 1080240 4946345 ) ( 1178160 * )
NEW met2 ( 1178160 4917670 0 ) ( * 4946345 )
NEW met3 ( 1064160 4978350 ) ( 1080240 * )
NEW met2 ( 1080240 4978350 ) via2_FR
NEW met1 ( 1080240 4946345 ) M1M2_PR
NEW met1 ( 1178160 4946345 ) M1M2_PR ;
- user_io_in\[22\] ( mprj io_in[22] ) ( gpio_control_in\[22\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 849840 4917670 ) ( 853680 * 0 )
NEW met3 ( 807840 5015905 ) ( * 5027190 )
NEW met3 ( 807600 5015905 ) ( 807840 * )
NEW met2 ( 807600 5014055 ) ( * 5015905 )
NEW met1 ( 807600 5014055 ) ( 849840 * )
NEW met3 ( 805920 5027190 0 ) ( 807840 * )
NEW met2 ( 849840 4917670 ) ( * 5014055 )
NEW met2 ( 807600 5015905 ) via2_FR
NEW met1 ( 807600 5014055 ) M1M2_PR
NEW met1 ( 849840 5014055 ) M1M2_PR ;
- user_io_in\[23\] ( mprj io_in[23] ) ( gpio_control_in\[23\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 529200 4917670 0 ) ( * 4952265 )
NEW met1 ( 529200 4952265 ) ( 547920 * )
NEW met2 ( 547920 4952265 ) ( * 4979830 )
NEW met3 ( 547920 4979830 ) ( 548640 * 0 )
NEW met1 ( 529200 4952265 ) M1M2_PR
NEW met1 ( 547920 4952265 ) M1M2_PR
NEW met2 ( 547920 4979830 ) via2_FR ;
- user_io_in\[24\] ( mprj io_in[24] ) ( gpio_control_in\[24\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 297840 4795385 ) ( * 4804450 )
NEW met3 ( 297840 4804450 ) ( 322080 * 0 )
NEW met1 ( 213840 4795385 ) ( 297840 * )
NEW met3 ( 212640 4718980 0 ) ( 213840 * )
NEW met2 ( 213840 4718980 ) ( * 4795385 )
NEW met1 ( 297840 4795385 ) M1M2_PR
NEW met2 ( 297840 4804450 ) via2_FR
NEW met1 ( 213840 4795385 ) M1M2_PR
NEW met2 ( 213840 4718980 ) via2_FR ;
- user_io_in\[25\] ( mprj io_in[25] ) ( gpio_control_in\[25\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 266640 4090535 ) ( * 4507525 )
NEW met2 ( 297840 4507525 ) ( * 4517330 )
NEW met3 ( 297840 4517330 ) ( 322080 * 0 )
NEW met1 ( 266640 4507525 ) ( 297840 * )
NEW met3 ( 212640 4089610 0 ) ( * 4090350 )
NEW met3 ( 212640 4090350 ) ( 213360 * )
NEW met2 ( 213360 4090350 ) ( * 4090535 )
NEW met1 ( 213360 4090535 ) ( 266640 * )
NEW met1 ( 266640 4090535 ) M1M2_PR
NEW met1 ( 266640 4507525 ) M1M2_PR
NEW met1 ( 297840 4507525 ) M1M2_PR
NEW met2 ( 297840 4517330 ) via2_FR
NEW met2 ( 213360 4090350 ) via2_FR
NEW met1 ( 213360 4090535 ) M1M2_PR ;
- user_io_in\[26\] ( mprj io_in[26] ) ( gpio_control_in\[26\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 3873900 0 ) ( 214320 * )
NEW met2 ( 214320 3873900 ) ( * 3874085 )
NEW met1 ( 214320 3874085 ) ( 267120 * )
NEW met2 ( 267120 3874085 ) ( * 4219295 )
NEW met2 ( 297840 4219295 ) ( * 4230210 )
NEW met3 ( 297840 4230210 ) ( 322080 * 0 )
NEW met1 ( 267120 4219295 ) ( 297840 * )
NEW met2 ( 214320 3873900 ) via2_FR
NEW met1 ( 214320 3874085 ) M1M2_PR
NEW met1 ( 267120 3874085 ) M1M2_PR
NEW met1 ( 267120 4219295 ) M1M2_PR
NEW met1 ( 297840 4219295 ) M1M2_PR
NEW met2 ( 297840 4230210 ) via2_FR ;
- user_io_in\[27\] ( mprj io_in[27] ) ( gpio_control_in\[27\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 297840 3931435 ) ( * 3943090 )
NEW met3 ( 297840 3943090 ) ( 322080 * 0 )
NEW met1 ( 225360 3931435 ) ( 297840 * )
NEW met3 ( 211680 3658190 0 ) ( * 3658930 )
NEW met3 ( 211680 3658930 ) ( 211920 * )
NEW met2 ( 211920 3658930 ) ( * 3659115 )
NEW met1 ( 211920 3659115 ) ( 225360 * )
NEW met2 ( 225360 3659115 ) ( * 3931435 )
NEW met1 ( 225360 3931435 ) M1M2_PR
NEW met1 ( 297840 3931435 ) M1M2_PR
NEW met2 ( 297840 3943090 ) via2_FR
NEW met2 ( 211920 3658930 ) via2_FR
NEW met1 ( 211920 3659115 ) M1M2_PR
NEW met1 ( 225360 3659115 ) M1M2_PR ;
- user_io_in\[28\] ( mprj io_in[28] ) ( gpio_control_in\[28\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 237840 3442295 ) ( * 3643205 )
NEW met2 ( 297840 3643205 ) ( * 3655230 )
NEW met3 ( 297840 3655230 ) ( 322080 * 0 )
NEW met1 ( 237840 3643205 ) ( 297840 * )
NEW met3 ( 212640 3442110 0 ) ( 214320 * )
NEW met2 ( 214320 3442110 ) ( * 3442295 )
NEW met1 ( 214320 3442295 ) ( 237840 * )
NEW met1 ( 237840 3442295 ) M1M2_PR
NEW met1 ( 237840 3643205 ) M1M2_PR
NEW met1 ( 297840 3643205 ) M1M2_PR
NEW met2 ( 297840 3655230 ) via2_FR
NEW met2 ( 214320 3442110 ) via2_FR
NEW met1 ( 214320 3442295 ) M1M2_PR ;
- user_io_in\[29\] ( mprj io_in[29] ) ( gpio_control_in\[29\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 297840 3355345 ) ( * 3368110 )
NEW met3 ( 297840 3368110 ) ( 322080 * 0 )
NEW met3 ( 212640 3226030 0 ) ( 216240 * )
NEW met2 ( 216240 3226030 ) ( * 3238795 )
NEW met1 ( 216240 3238795 ) ( 224400 * )
NEW met1 ( 224400 3355345 ) ( 297840 * )
NEW met2 ( 224400 3238795 ) ( * 3355345 )
NEW met1 ( 297840 3355345 ) M1M2_PR
NEW met2 ( 297840 3368110 ) via2_FR
NEW met2 ( 216240 3226030 ) via2_FR
NEW met1 ( 216240 3238795 ) M1M2_PR
NEW met1 ( 224400 3238795 ) M1M2_PR
NEW met1 ( 224400 3355345 ) M1M2_PR ;
- user_io_in\[2\] ( mprj io_in[2] ) ( gpio_control_in\[2\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3272400 1944165 ) ( * 1950270 )
NEW met3 ( 3251040 1950270 0 ) ( 3272400 * )
NEW met1 ( 3347760 1120175 ) ( 3373680 * )
NEW met2 ( 3373680 1119990 ) ( * 1120175 )
NEW met3 ( 3373680 1119990 ) ( 3373920 * )
NEW met3 ( 3373920 1118880 0 ) ( * 1119990 )
NEW met1 ( 3272400 1944165 ) ( 3347760 * )
NEW met2 ( 3347760 1120175 ) ( * 1944165 )
NEW met1 ( 3272400 1944165 ) M1M2_PR
NEW met2 ( 3272400 1950270 ) via2_FR
NEW met1 ( 3347760 1120175 ) M1M2_PR
NEW met1 ( 3373680 1120175 ) M1M2_PR
NEW met2 ( 3373680 1119990 ) via2_FR
NEW met1 ( 3347760 1944165 ) M1M2_PR ;
- user_io_in\[30\] ( mprj io_in[30] ) ( gpio_control_in\[30\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 297840 3067485 ) ( * 3080990 )
NEW met3 ( 297840 3080990 ) ( 322080 * 0 )
NEW met3 ( 211680 3010690 ) ( 211920 * )
NEW met3 ( 211680 3009950 0 ) ( * 3010690 )
NEW met2 ( 211920 3010690 ) ( * 3067485 )
NEW met1 ( 211920 3067485 ) ( 297840 * )
NEW met1 ( 297840 3067485 ) M1M2_PR
NEW met2 ( 297840 3080990 ) via2_FR
NEW met2 ( 211920 3010690 ) via2_FR
NEW met1 ( 211920 3067485 ) M1M2_PR ;
- user_io_in\[31\] ( mprj io_in[31] ) ( gpio_control_in\[31\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 2793870 0 ) ( 214800 * )
NEW met2 ( 297840 2851405 ) ( * 2864910 )
NEW met3 ( 297840 2864910 ) ( 322080 * 0 )
NEW met2 ( 214800 2793870 ) ( * 2851405 )
NEW met1 ( 214800 2851405 ) ( 297840 * )
NEW met2 ( 214800 2793870 ) via2_FR
NEW met1 ( 297840 2851405 ) M1M2_PR
NEW met2 ( 297840 2864910 ) via2_FR
NEW met1 ( 214800 2851405 ) M1M2_PR ;
- user_io_in\[32\] ( mprj io_in[32] ) ( gpio_control_in\[32\] user_gpio_in ) + USE SIGNAL
+ ROUTED met1 ( 211920 2620895 ) ( 214320 * )
NEW met3 ( 211680 2156730 ) ( 211920 * )
NEW met3 ( 211680 2155990 0 ) ( * 2156730 )
NEW met2 ( 211920 2156730 ) ( * 2620895 )
NEW met2 ( 297840 2649755 ) ( * 2650310 )
NEW met3 ( 297840 2650310 ) ( 322080 * 0 )
NEW met2 ( 214320 2620895 ) ( * 2649755 )
NEW met1 ( 214320 2649755 ) ( 297840 * )
NEW met1 ( 211920 2620895 ) M1M2_PR
NEW met1 ( 214320 2620895 ) M1M2_PR
NEW met2 ( 211920 2156730 ) via2_FR
NEW met1 ( 297840 2649755 ) M1M2_PR
NEW met2 ( 297840 2650310 ) via2_FR
NEW met1 ( 214320 2649755 ) M1M2_PR ;
- user_io_in\[33\] ( mprj io_in[33] ) ( gpio_control_in\[33\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 252240 1940095 ) ( * 2433675 )
NEW met2 ( 293040 2433675 ) ( * 2434230 )
NEW met3 ( 293040 2434230 ) ( 322080 * 0 )
NEW met1 ( 252240 2433675 ) ( 293040 * )
NEW met3 ( 212640 1939910 0 ) ( 213840 * )
NEW met2 ( 213840 1939910 ) ( * 1940095 )
NEW met1 ( 213840 1940095 ) ( 252240 * )
NEW met1 ( 252240 2433675 ) M1M2_PR
NEW met1 ( 252240 1940095 ) M1M2_PR
NEW met1 ( 293040 2433675 ) M1M2_PR
NEW met2 ( 293040 2434230 ) via2_FR
NEW met2 ( 213840 1939910 ) via2_FR
NEW met1 ( 213840 1940095 ) M1M2_PR ;
- user_io_in\[34\] ( mprj io_in[34] ) ( gpio_control_in\[34\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 268560 1724015 ) ( * 2217965 )
NEW met2 ( 297840 2217965 ) ( * 2218890 )
NEW met3 ( 297840 2218890 ) ( 322080 * 0 )
NEW met1 ( 268560 2217965 ) ( 297840 * )
NEW met3 ( 212640 1723830 0 ) ( 214800 * )
NEW met2 ( 214800 1723830 ) ( * 1724015 )
NEW met1 ( 214800 1724015 ) ( 268560 * )
NEW met1 ( 268560 2217965 ) M1M2_PR
NEW met1 ( 268560 1724015 ) M1M2_PR
NEW met1 ( 297840 2217965 ) M1M2_PR
NEW met2 ( 297840 2218890 ) via2_FR
NEW met2 ( 214800 1723830 ) via2_FR
NEW met1 ( 214800 1724015 ) M1M2_PR ;
- user_io_in\[35\] ( mprj io_in[35] ) ( gpio_control_in\[35\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 292080 2001885 ) ( * 2003550 )
NEW met3 ( 292080 2003550 ) ( 322080 * 0 )
NEW met1 ( 225360 2001885 ) ( 292080 * )
NEW met3 ( 212640 1507750 0 ) ( * 1509230 )
NEW met3 ( 212640 1509230 ) ( 212880 * )
NEW met2 ( 212880 1509230 ) ( * 1509415 )
NEW met1 ( 212880 1509415 ) ( 225360 * )
NEW met2 ( 225360 1509415 ) ( * 2001885 )
NEW met1 ( 225360 2001885 ) M1M2_PR
NEW met1 ( 292080 2001885 ) M1M2_PR
NEW met2 ( 292080 2003550 ) via2_FR
NEW met2 ( 212880 1509230 ) via2_FR
NEW met1 ( 212880 1509415 ) M1M2_PR
NEW met1 ( 225360 1509415 ) M1M2_PR ;
- user_io_in\[36\] ( mprj io_in[36] ) ( gpio_control_in\[36\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 212640 1291670 0 ) ( * 1293150 )
NEW met3 ( 212400 1293150 ) ( 212640 * )
NEW met2 ( 212400 1293150 ) ( * 1293335 )
NEW met1 ( 212400 1293335 ) ( 237360 * )
NEW met2 ( 237360 1293335 ) ( * 1785805 )
NEW met2 ( 297840 1785805 ) ( * 1788210 )
NEW met3 ( 297840 1788210 ) ( 322080 * 0 )
NEW met1 ( 237360 1785805 ) ( 297840 * )
NEW met1 ( 237360 1785805 ) M1M2_PR
NEW met2 ( 212400 1293150 ) via2_FR
NEW met1 ( 212400 1293335 ) M1M2_PR
NEW met1 ( 237360 1293335 ) M1M2_PR
NEW met1 ( 297840 1785805 ) M1M2_PR
NEW met2 ( 297840 1788210 ) via2_FR ;
- user_io_in\[37\] ( mprj io_in[37] ) ( gpio_control_in\[37\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 290160 1569725 ) ( * 1572870 )
NEW met3 ( 290160 1572870 ) ( 322080 * 0 )
NEW met1 ( 223440 1569725 ) ( 290160 * )
NEW met3 ( 212640 1075960 0 ) ( 214320 * )
NEW met2 ( 214320 1075960 ) ( * 1076145 )
NEW met1 ( 214320 1076145 ) ( 223440 * )
NEW met2 ( 223440 1076145 ) ( * 1569725 )
NEW met1 ( 223440 1569725 ) M1M2_PR
NEW met1 ( 290160 1569725 ) M1M2_PR
NEW met2 ( 290160 1572870 ) via2_FR
NEW met2 ( 214320 1075960 ) via2_FR
NEW met1 ( 214320 1076145 ) M1M2_PR
NEW met1 ( 223440 1076145 ) M1M2_PR ;
- user_io_in\[3\] ( mprj io_in[3] ) ( gpio_control_in\[3\] user_gpio_in ) + USE SIGNAL
+ ROUTED met2 ( 3272400 2174675 ) ( * 2184850 )
NEW met3 ( 3251040 2184850 0 ) ( 3272400 * )
NEW met1 ( 3319920 1345875 ) ( 3373680 * )
NEW met2 ( 3373680 1345690 ) ( * 1345875 )
NEW met3 ( 3373680 1345690 ) ( 3373920 * )
NEW met3 ( 3373920 1344950 0 ) ( * 1345690 )
NEW met1 ( 3272400 2174675 ) ( 3319920 * )
NEW met2 ( 3319920 1345875 ) ( * 2174675 )
NEW met1 ( 3272400 2174675 ) M1M2_PR
NEW met2 ( 3272400 2184850 ) via2_FR
NEW met1 ( 3319920 1345875 ) M1M2_PR
NEW met1 ( 3373680 1345875 ) M1M2_PR
NEW met2 ( 3373680 1345690 ) via2_FR
NEW met1 ( 3319920 2174675 ) M1M2_PR ;
- user_io_in\[4\] ( mprj io_in[4] ) ( gpio_control_in\[4\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2420170 0 ) ( 3271440 * )
NEW met2 ( 3271440 2419245 ) ( * 2420170 )
NEW met1 ( 3271440 2419245 ) ( 3334800 * )
NEW met1 ( 3334800 1570095 ) ( 3372240 * )
NEW met2 ( 3372240 1569910 ) ( * 1570095 )
NEW met3 ( 3372240 1569910 ) ( 3373920 * 0 )
NEW met2 ( 3334800 1570095 ) ( * 2419245 )
NEW met2 ( 3271440 2420170 ) via2_FR
NEW met1 ( 3271440 2419245 ) M1M2_PR
NEW met1 ( 3334800 2419245 ) M1M2_PR
NEW met1 ( 3334800 1570095 ) M1M2_PR
NEW met1 ( 3372240 1570095 ) M1M2_PR
NEW met2 ( 3372240 1569910 ) via2_FR ;
- user_io_in\[5\] ( mprj io_in[5] ) ( gpio_control_in\[5\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2654750 0 ) ( 3270480 * )
NEW met2 ( 3270480 2649755 ) ( * 2654750 )
NEW met1 ( 3363120 1795055 ) ( 3372240 * )
NEW met2 ( 3372240 1794870 ) ( * 1795055 )
NEW met3 ( 3372240 1794870 ) ( 3373920 * 0 )
NEW met1 ( 3270480 2649755 ) ( 3363120 * )
NEW met2 ( 3363120 1795055 ) ( * 2649755 )
NEW met2 ( 3270480 2654750 ) via2_FR
NEW met1 ( 3270480 2649755 ) M1M2_PR
NEW met1 ( 3363120 1795055 ) M1M2_PR
NEW met1 ( 3372240 1795055 ) M1M2_PR
NEW met2 ( 3372240 1794870 ) via2_FR
NEW met1 ( 3363120 2649755 ) M1M2_PR ;
- user_io_in\[6\] ( mprj io_in[6] ) ( gpio_control_in\[6\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2889330 0 ) ( 3272400 * )
NEW met2 ( 3272400 2880265 ) ( * 2889330 )
NEW met1 ( 3272400 2880265 ) ( 3348720 * )
NEW met2 ( 3348720 2022235 ) ( * 2880265 )
NEW met2 ( 3373680 2022050 ) ( * 2022235 )
NEW met3 ( 3373680 2022050 ) ( 3373920 * )
NEW met3 ( 3373920 2020940 0 ) ( * 2022050 )
NEW met1 ( 3348720 2022235 ) ( 3373680 * )
NEW met2 ( 3272400 2889330 ) via2_FR
NEW met1 ( 3272400 2880265 ) M1M2_PR
NEW met1 ( 3348720 2022235 ) M1M2_PR
NEW met1 ( 3348720 2880265 ) M1M2_PR
NEW met1 ( 3373680 2022235 ) M1M2_PR
NEW met2 ( 3373680 2022050 ) via2_FR ;
- user_io_in\[7\] ( mprj io_in[7] ) ( gpio_control_in\[7\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3123910 0 ) ( 3270480 * )
NEW met2 ( 3270480 3110775 ) ( * 3123910 )
NEW met1 ( 3363600 2462165 ) ( 3369360 * )
NEW met2 ( 3369360 2461610 ) ( * 2462165 )
NEW met3 ( 3369360 2461610 ) ( 3373920 * 0 )
NEW met1 ( 3270480 3110775 ) ( 3363600 * )
NEW met2 ( 3363600 2462165 ) ( * 3110775 )
NEW met2 ( 3270480 3123910 ) via2_FR
NEW met1 ( 3270480 3110775 ) M1M2_PR
NEW met1 ( 3363600 2462165 ) M1M2_PR
NEW met1 ( 3369360 2462165 ) M1M2_PR
NEW met2 ( 3369360 2461610 ) via2_FR
NEW met1 ( 3363600 3110775 ) M1M2_PR ;
- user_io_in\[8\] ( mprj io_in[8] ) ( gpio_control_in\[8\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3358490 0 ) ( 3272400 * )
NEW met2 ( 3272400 3355345 ) ( * 3358490 )
NEW met1 ( 3272400 3355345 ) ( 3305520 * )
NEW met2 ( 3305520 2683055 ) ( * 3355345 )
NEW met2 ( 3373200 2682870 ) ( * 2683055 )
NEW met3 ( 3373200 2682870 ) ( 3373920 * )
NEW met3 ( 3373920 2682130 0 ) ( * 2682870 )
NEW met1 ( 3305520 2683055 ) ( 3373200 * )
NEW met2 ( 3272400 3358490 ) via2_FR
NEW met1 ( 3272400 3355345 ) M1M2_PR
NEW met1 ( 3305520 2683055 ) M1M2_PR
NEW met1 ( 3305520 3355345 ) M1M2_PR
NEW met1 ( 3373200 2683055 ) M1M2_PR
NEW met2 ( 3373200 2682870 ) via2_FR ;
- user_io_in\[9\] ( mprj io_in[9] ) ( gpio_control_in\[9\] user_gpio_in ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3593070 0 ) ( 3271440 * )
NEW met2 ( 3271440 3585855 ) ( * 3593070 )
NEW met1 ( 3271440 3585855 ) ( 3334800 * )
NEW met2 ( 3334800 2908015 ) ( * 3585855 )
NEW met2 ( 3373200 2907830 ) ( * 2908015 )
NEW met3 ( 3373200 2907830 ) ( 3373920 * )
NEW met3 ( 3373920 2907090 0 ) ( * 2907830 )
NEW met1 ( 3334800 2908015 ) ( 3373200 * )
NEW met2 ( 3271440 3593070 ) via2_FR
NEW met1 ( 3271440 3585855 ) M1M2_PR
NEW met1 ( 3334800 2908015 ) M1M2_PR
NEW met1 ( 3334800 3585855 ) M1M2_PR
NEW met1 ( 3373200 2908015 ) M1M2_PR
NEW met2 ( 3373200 2907830 ) via2_FR ;
- user_io_oeb\[0\] ( mprj io_oeb[0] ) ( gpio_control_bidir\[0\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 1598770 0 ) ( 3275760 * )
NEW met2 ( 3275760 663225 ) ( * 1598770 )
NEW met2 ( 3384240 663225 ) ( * 669330 )
NEW met3 ( 3384240 669330 ) ( 3384480 * )
NEW met3 ( 3384480 669330 ) ( * 670810 0 )
NEW met1 ( 3275760 663225 ) ( 3384240 * )
NEW met1 ( 3275760 663225 ) M1M2_PR
NEW met2 ( 3275760 1598770 ) via2_FR
NEW met1 ( 3384240 663225 ) M1M2_PR
NEW met2 ( 3384240 669330 ) via2_FR ;
- user_io_oeb\[10\] ( mprj io_oeb[10] ) ( gpio_control_in\[10\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3945310 0 ) ( 3270480 * )
NEW met2 ( 3270480 3931435 ) ( * 3945310 )
NEW met1 ( 3270480 3931435 ) ( 3348720 * )
NEW met2 ( 3348720 3136675 ) ( * 3931435 )
NEW met2 ( 3373200 3136490 ) ( * 3136675 )
NEW met3 ( 3373200 3136490 ) ( 3373920 * )
NEW met3 ( 3373920 3135750 0 ) ( * 3136490 )
NEW met1 ( 3348720 3136675 ) ( 3373200 * )
NEW met2 ( 3270480 3945310 ) via2_FR
NEW met1 ( 3270480 3931435 ) M1M2_PR
NEW met1 ( 3348720 3136675 ) M1M2_PR
NEW met1 ( 3348720 3931435 ) M1M2_PR
NEW met1 ( 3373200 3136675 ) M1M2_PR
NEW met2 ( 3373200 3136490 ) via2_FR ;
- user_io_oeb\[11\] ( mprj io_oeb[11] ) ( gpio_control_in\[11\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4179890 0 ) ( 3270000 * )
NEW met2 ( 3270000 4176005 ) ( * 4179890 )
NEW met1 ( 3270000 4176005 ) ( 3334320 * )
NEW met2 ( 3334320 3360895 ) ( * 4176005 )
NEW met2 ( 3372240 3360710 ) ( * 3360895 )
NEW met3 ( 3372240 3360710 ) ( 3373920 * 0 )
NEW met1 ( 3334320 3360895 ) ( 3372240 * )
NEW met2 ( 3270000 4179890 ) via2_FR
NEW met1 ( 3270000 4176005 ) M1M2_PR
NEW met1 ( 3334320 3360895 ) M1M2_PR
NEW met1 ( 3334320 4176005 ) M1M2_PR
NEW met1 ( 3372240 3360895 ) M1M2_PR
NEW met2 ( 3372240 3360710 ) via2_FR ;
- user_io_oeb\[12\] ( mprj io_oeb[12] ) ( gpio_control_in\[12\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4414470 0 ) ( 3270000 * )
NEW met2 ( 3270000 4406515 ) ( * 4414470 )
NEW met1 ( 3270000 4406515 ) ( 3304560 * )
NEW met2 ( 3304560 3586595 ) ( * 4406515 )
NEW met2 ( 3372240 3586410 ) ( * 3586595 )
NEW met3 ( 3372240 3586410 ) ( 3373920 * 0 )
NEW met1 ( 3304560 3586595 ) ( 3372240 * )
NEW met2 ( 3270000 4414470 ) via2_FR
NEW met1 ( 3270000 4406515 ) M1M2_PR
NEW met1 ( 3304560 4406515 ) M1M2_PR
NEW met1 ( 3304560 3586595 ) M1M2_PR
NEW met1 ( 3372240 3586595 ) M1M2_PR
NEW met2 ( 3372240 3586410 ) via2_FR ;
- user_io_oeb\[13\] ( mprj io_oeb[13] ) ( gpio_control_in\[13\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4649050 0 ) ( 3272400 * )
NEW met2 ( 3272400 4637395 ) ( * 4649050 )
NEW met1 ( 3333840 3811555 ) ( 3371760 * )
NEW met2 ( 3371760 3811370 ) ( * 3811555 )
NEW met3 ( 3371760 3811370 ) ( 3373920 * 0 )
NEW met1 ( 3272400 4637395 ) ( 3333840 * )
NEW met2 ( 3333840 3811555 ) ( * 4637395 )
NEW met2 ( 3272400 4649050 ) via2_FR
NEW met1 ( 3272400 4637395 ) M1M2_PR
NEW met1 ( 3333840 3811555 ) M1M2_PR
NEW met1 ( 3371760 3811555 ) M1M2_PR
NEW met2 ( 3371760 3811370 ) via2_FR
NEW met1 ( 3333840 4637395 ) M1M2_PR ;
- user_io_oeb\[14\] ( mprj io_oeb[14] ) ( gpio_control_in\[14\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4883630 0 ) ( 3275760 * )
NEW met2 ( 3275760 4695485 ) ( * 4883630 )
NEW met2 ( 3384720 4695485 ) ( * 4702330 )
NEW met3 ( 3384480 4702330 ) ( 3384720 * )
NEW met3 ( 3384480 4702330 ) ( * 4703810 0 )
NEW met1 ( 3275760 4695485 ) ( 3384720 * )
NEW met1 ( 3275760 4695485 ) M1M2_PR
NEW met2 ( 3275760 4883630 ) via2_FR
NEW met1 ( 3384720 4695485 ) M1M2_PR
NEW met2 ( 3384720 4702330 ) via2_FR ;
- user_io_oeb\[15\] ( mprj io_oeb[15] ) ( gpio_control_in\[15\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 2813280 4978350 ) ( 2848560 * )
NEW met3 ( 2813280 4978350 ) ( * 4979090 )
NEW met3 ( 2811360 4979090 0 ) ( 2813280 * )
NEW met2 ( 2848560 4947085 ) ( * 4978350 )
NEW met2 ( 2962320 4917670 0 ) ( * 4947085 )
NEW met1 ( 2848560 4947085 ) ( 2962320 * )
NEW met1 ( 2848560 4947085 ) M1M2_PR
NEW met2 ( 2848560 4978350 ) via2_FR
NEW met1 ( 2962320 4947085 ) M1M2_PR ;
- user_io_oeb\[16\] ( mprj io_oeb[16] ) ( gpio_control_in\[16\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 2638320 4917670 0 ) ( * 4947085 )
NEW met2 ( 2578320 4947085 ) ( * 4978905 )
NEW met1 ( 2555760 4978905 ) ( 2578320 * )
NEW met2 ( 2555760 4978905 ) ( * 4979090 )
NEW met3 ( 2554560 4979090 0 ) ( 2555760 * )
NEW met1 ( 2578320 4947085 ) ( 2638320 * )
NEW met1 ( 2638320 4947085 ) M1M2_PR
NEW met1 ( 2578320 4947085 ) M1M2_PR
NEW met1 ( 2578320 4978905 ) M1M2_PR
NEW met1 ( 2555760 4978905 ) M1M2_PR
NEW met2 ( 2555760 4979090 ) via2_FR ;
- user_io_oeb\[17\] ( mprj io_oeb[17] ) ( gpio_control_in\[17\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 2169600 4978350 ) ( 2189040 * )
NEW met3 ( 2169600 4978350 ) ( * 4979090 0 )
NEW met2 ( 2189040 4947085 ) ( * 4978350 )
NEW met2 ( 2313840 4917670 0 ) ( * 4947085 )
NEW met1 ( 2189040 4947085 ) ( 2313840 * )
NEW met2 ( 2189040 4978350 ) via2_FR
NEW met1 ( 2189040 4947085 ) M1M2_PR
NEW met1 ( 2313840 4947085 ) M1M2_PR ;
- user_io_oeb\[18\] ( mprj io_oeb[18] ) ( gpio_control_in\[18\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 1833840 4978905 ) ( * 4979090 )
NEW met3 ( 1832640 4979090 0 ) ( 1833840 * )
NEW met2 ( 1989360 4917670 0 ) ( * 4947085 )
NEW met1 ( 1833840 4978905 ) ( 1858320 * )
NEW met2 ( 1858320 4947085 ) ( * 4978905 )
NEW met1 ( 1858320 4947085 ) ( 1989360 * )
NEW met1 ( 1833840 4978905 ) M1M2_PR
NEW met2 ( 1833840 4979090 ) via2_FR
NEW met1 ( 1989360 4947085 ) M1M2_PR
NEW met1 ( 1858320 4978905 ) M1M2_PR
NEW met1 ( 1858320 4947085 ) M1M2_PR ;
- user_io_oeb\[19\] ( mprj io_oeb[19] ) ( gpio_control_in\[19\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 1662960 4917670 ) ( 1664880 * 0 )
NEW met2 ( 1662960 4917670 ) ( * 4941535 )
NEW met1 ( 1656240 4941535 ) ( 1662960 * )
NEW met2 ( 1656240 4941535 ) ( * 5026265 )
NEW met2 ( 1584240 5016090 ) ( * 5026265 )
NEW met2 ( 1582320 5016090 ) ( 1584240 * )
NEW met3 ( 1580640 5016090 0 ) ( 1582320 * )
NEW met1 ( 1584240 5026265 ) ( 1656240 * )
NEW met1 ( 1656240 5026265 ) M1M2_PR
NEW met1 ( 1662960 4941535 ) M1M2_PR
NEW met1 ( 1656240 4941535 ) M1M2_PR
NEW met1 ( 1584240 5026265 ) M1M2_PR
NEW met2 ( 1582320 5016090 ) via2_FR ;
- user_io_oeb\[1\] ( mprj io_oeb[1] ) ( gpio_control_bidir\[1\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 3272400 1829095 ) ( * 1832610 )
NEW met3 ( 3251040 1832610 0 ) ( 3272400 * )
NEW met1 ( 3272400 1829095 ) ( 3305520 * )
NEW met2 ( 3305520 896695 ) ( * 1829095 )
NEW met2 ( 3372240 896510 ) ( * 896695 )
NEW met3 ( 3372240 896510 ) ( 3373920 * 0 )
NEW met1 ( 3305520 896695 ) ( 3372240 * )
NEW met1 ( 3272400 1829095 ) M1M2_PR
NEW met2 ( 3272400 1832610 ) via2_FR
NEW met1 ( 3305520 1829095 ) M1M2_PR
NEW met1 ( 3305520 896695 ) M1M2_PR
NEW met1 ( 3372240 896695 ) M1M2_PR
NEW met2 ( 3372240 896510 ) via2_FR ;
- user_io_oeb\[20\] ( mprj io_oeb[20] ) ( gpio_control_in\[20\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 1339920 4917670 ) ( 1340400 * 0 )
NEW met2 ( 1339920 4917670 ) ( * 4978350 )
NEW met3 ( 1323360 4978350 ) ( * 4979090 )
NEW met3 ( 1322400 4979090 0 ) ( 1323360 * )
NEW met3 ( 1323360 4978350 ) ( 1339920 * )
NEW met2 ( 1339920 4978350 ) via2_FR ;
- user_io_oeb\[21\] ( mprj io_oeb[21] ) ( gpio_control_in\[21\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 1015920 4917670 0 ) ( * 4946715 )
NEW met1 ( 1015920 4946715 ) ( 1066800 * )
NEW met2 ( 1066800 4946715 ) ( * 4979090 )
NEW met3 ( 1065600 4979090 0 ) ( 1066800 * )
NEW met1 ( 1015920 4946715 ) M1M2_PR
NEW met1 ( 1066800 4946715 ) M1M2_PR
NEW met2 ( 1066800 4979090 ) via2_FR ;
- user_io_oeb\[22\] ( mprj io_oeb[22] ) ( gpio_control_in\[22\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 691440 4917670 0 ) ( * 4946345 )
NEW met2 ( 807600 4946345 ) ( * 4979090 )
NEW met3 ( 807600 4979090 ) ( 808800 * 0 )
NEW met1 ( 691440 4946345 ) ( 807600 * )
NEW met1 ( 691440 4946345 ) M1M2_PR
NEW met1 ( 807600 4946345 ) M1M2_PR
NEW met2 ( 807600 4979090 ) via2_FR ;
- user_io_oeb\[23\] ( mprj io_oeb[23] ) ( gpio_control_in\[23\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 367440 4917670 0 ) ( * 4960775 )
NEW met2 ( 551280 4960775 ) ( * 4976130 )
NEW met3 ( 551280 4976130 ) ( 551520 * )
NEW met3 ( 551520 4976130 ) ( * 4979090 0 )
NEW met1 ( 367440 4960775 ) ( 551280 * )
NEW met1 ( 367440 4960775 ) M1M2_PR
NEW met1 ( 551280 4960775 ) M1M2_PR
NEW met2 ( 551280 4976130 ) via2_FR ;
- user_io_oeb\[24\] ( mprj io_oeb[24] ) ( gpio_control_in\[24\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 244560 4652565 ) ( * 4721385 )
NEW met2 ( 297840 4652565 ) ( * 4660890 )
NEW met3 ( 297840 4660890 ) ( 322080 * 0 )
NEW met1 ( 244560 4652565 ) ( 297840 * )
NEW met3 ( 212640 4721570 0 ) ( 213360 * )
NEW met2 ( 213360 4721385 ) ( * 4721570 )
NEW met1 ( 213360 4721385 ) ( 244560 * )
NEW met1 ( 244560 4652565 ) M1M2_PR
NEW met1 ( 244560 4721385 ) M1M2_PR
NEW met1 ( 297840 4652565 ) M1M2_PR
NEW met2 ( 297840 4660890 ) via2_FR
NEW met2 ( 213360 4721570 ) via2_FR
NEW met1 ( 213360 4721385 ) M1M2_PR ;
- user_io_oeb\[25\] ( mprj io_oeb[25] ) ( gpio_control_in\[25\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 297840 4363225 ) ( * 4373770 )
NEW met3 ( 297840 4373770 ) ( 322080 * 0 )
NEW met1 ( 208560 4363225 ) ( 297840 * )
NEW met3 ( 208560 4094050 ) ( 208800 * )
NEW met3 ( 208800 4092570 0 ) ( * 4094050 )
NEW met2 ( 208560 4094050 ) ( * 4363225 )
NEW met1 ( 297840 4363225 ) M1M2_PR
NEW met2 ( 297840 4373770 ) via2_FR
NEW met1 ( 208560 4363225 ) M1M2_PR
NEW met2 ( 208560 4094050 ) via2_FR ;
- user_io_oeb\[26\] ( mprj io_oeb[26] ) ( gpio_control_in\[26\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 212640 3876490 0 ) ( 214320 * )
NEW met2 ( 214320 3876490 ) ( * 3876675 )
NEW met1 ( 214320 3876675 ) ( 237840 * )
NEW met2 ( 237840 3876675 ) ( * 4075365 )
NEW met2 ( 297840 4075365 ) ( * 4086650 )
NEW met3 ( 297840 4086650 ) ( 322080 * 0 )
NEW met1 ( 237840 4075365 ) ( 297840 * )
NEW met2 ( 214320 3876490 ) via2_FR
NEW met1 ( 214320 3876675 ) M1M2_PR
NEW met1 ( 237840 3876675 ) M1M2_PR
NEW met1 ( 237840 4075365 ) M1M2_PR
NEW met1 ( 297840 4075365 ) M1M2_PR
NEW met2 ( 297840 4086650 ) via2_FR ;
- user_io_oeb\[27\] ( mprj io_oeb[27] ) ( gpio_control_in\[27\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 268080 3660595 ) ( * 3787505 )
NEW met2 ( 297840 3787505 ) ( * 3798790 )
NEW met3 ( 297840 3798790 ) ( 322080 * 0 )
NEW met1 ( 268080 3787505 ) ( 297840 * )
NEW met3 ( 212640 3660410 0 ) ( 213840 * )
NEW met2 ( 213840 3660410 ) ( * 3660595 )
NEW met1 ( 213840 3660595 ) ( 268080 * )
NEW met1 ( 268080 3660595 ) M1M2_PR
NEW met1 ( 268080 3787505 ) M1M2_PR
NEW met1 ( 297840 3787505 ) M1M2_PR
NEW met2 ( 297840 3798790 ) via2_FR
NEW met2 ( 213840 3660410 ) via2_FR
NEW met1 ( 213840 3660595 ) M1M2_PR ;
- user_io_oeb\[28\] ( mprj io_oeb[28] ) ( gpio_control_in\[28\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 297840 3499275 ) ( * 3511670 )
NEW met3 ( 297840 3511670 ) ( 322080 * 0 )
NEW met3 ( 212640 3444330 0 ) ( * 3445070 )
NEW met3 ( 212640 3445070 ) ( 215760 * )
NEW met2 ( 215760 3445070 ) ( * 3499275 )
NEW met1 ( 215760 3499275 ) ( 297840 * )
NEW met1 ( 297840 3499275 ) M1M2_PR
NEW met2 ( 297840 3511670 ) via2_FR
NEW met2 ( 215760 3445070 ) via2_FR
NEW met1 ( 215760 3499275 ) M1M2_PR ;
- user_io_oeb\[29\] ( mprj io_oeb[29] ) ( gpio_control_in\[29\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 297840 3224550 ) ( * 3225475 )
NEW met3 ( 297840 3224550 ) ( 322080 * 0 )
NEW met3 ( 211680 3227510 ) ( * 3228620 0 )
NEW met3 ( 211680 3227510 ) ( 211920 * )
NEW met2 ( 211920 3225475 ) ( * 3227510 )
NEW met1 ( 211920 3225475 ) ( 297840 * )
NEW met1 ( 297840 3225475 ) M1M2_PR
NEW met2 ( 297840 3224550 ) via2_FR
NEW met2 ( 211920 3227510 ) via2_FR
NEW met1 ( 211920 3225475 ) M1M2_PR ;
- user_io_oeb\[2\] ( mprj io_oeb[2] ) ( gpio_control_in\[2\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2067930 0 ) ( 3269520 * )
NEW met2 ( 3269520 2059235 ) ( * 2067930 )
NEW met1 ( 3269520 2059235 ) ( 3348240 * )
NEW met1 ( 3348240 1121655 ) ( 3372240 * )
NEW met2 ( 3372240 1121470 ) ( * 1121655 )
NEW met3 ( 3372240 1121470 ) ( 3373920 * 0 )
NEW met2 ( 3348240 1121655 ) ( * 2059235 )
NEW met2 ( 3269520 2067930 ) via2_FR
NEW met1 ( 3269520 2059235 ) M1M2_PR
NEW met1 ( 3348240 2059235 ) M1M2_PR
NEW met1 ( 3348240 1121655 ) M1M2_PR
NEW met1 ( 3372240 1121655 ) M1M2_PR
NEW met2 ( 3372240 1121470 ) via2_FR ;
- user_io_oeb\[30\] ( mprj io_oeb[30] ) ( gpio_control_in\[30\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 294000 2924665 ) ( * 2937430 )
NEW met3 ( 294000 2937430 ) ( 322080 * 0 )
NEW met1 ( 216240 3009765 ) ( 230160 * )
NEW met2 ( 216240 3009765 ) ( * 3012170 )
NEW met3 ( 212640 3012170 ) ( 216240 * )
NEW met3 ( 212640 3012170 ) ( * 3012910 0 )
NEW met2 ( 230160 2924665 ) ( * 3009765 )
NEW met1 ( 230160 2924665 ) ( 294000 * )
NEW met1 ( 294000 2924665 ) M1M2_PR
NEW met2 ( 294000 2937430 ) via2_FR
NEW met1 ( 230160 3009765 ) M1M2_PR
NEW met1 ( 216240 3009765 ) M1M2_PR
NEW met2 ( 216240 3012170 ) via2_FR
NEW met1 ( 230160 2924665 ) M1M2_PR ;
- user_io_oeb\[31\] ( mprj io_oeb[31] ) ( gpio_control_in\[31\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met1 ( 212400 2795165 ) ( 251280 * )
NEW met2 ( 212400 2795165 ) ( * 2795350 )
NEW met3 ( 212400 2795350 ) ( 212640 * )
NEW met3 ( 212640 2795350 ) ( * 2796830 0 )
NEW met2 ( 251280 2722645 ) ( * 2795165 )
NEW met2 ( 297840 2722090 ) ( * 2722645 )
NEW met3 ( 297840 2722090 ) ( 322080 * 0 )
NEW met1 ( 251280 2722645 ) ( 297840 * )
NEW met1 ( 251280 2795165 ) M1M2_PR
NEW met1 ( 212400 2795165 ) M1M2_PR
NEW met2 ( 212400 2795350 ) via2_FR
NEW met1 ( 251280 2722645 ) M1M2_PR
NEW met1 ( 297840 2722645 ) M1M2_PR
NEW met2 ( 297840 2722090 ) via2_FR ;
- user_io_oeb\[32\] ( mprj io_oeb[32] ) ( gpio_control_in\[32\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 212640 2158580 0 ) ( 214320 * )
NEW met2 ( 214320 2158580 ) ( * 2158765 )
NEW met1 ( 214320 2158765 ) ( 269520 * )
NEW met2 ( 269520 2158765 ) ( * 2505825 )
NEW met2 ( 297840 2505825 ) ( * 2506010 )
NEW met3 ( 297840 2506010 ) ( 322080 * 0 )
NEW met1 ( 269520 2505825 ) ( 297840 * )
NEW met2 ( 214320 2158580 ) via2_FR
NEW met1 ( 214320 2158765 ) M1M2_PR
NEW met1 ( 269520 2158765 ) M1M2_PR
NEW met1 ( 269520 2505825 ) M1M2_PR
NEW met1 ( 297840 2505825 ) M1M2_PR
NEW met2 ( 297840 2506010 ) via2_FR ;
- user_io_oeb\[33\] ( mprj io_oeb[33] ) ( gpio_control_in\[33\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 239280 1943795 ) ( * 2289745 )
NEW met2 ( 297840 2289745 ) ( * 2290670 )
NEW met3 ( 297840 2290670 ) ( 322080 * 0 )
NEW met1 ( 239280 2289745 ) ( 297840 * )
NEW met3 ( 211680 1942870 0 ) ( * 1943610 )
NEW met3 ( 211680 1943610 ) ( 211920 * )
NEW met2 ( 211920 1943610 ) ( * 1943795 )
NEW met1 ( 211920 1943795 ) ( 239280 * )
NEW met1 ( 239280 1943795 ) M1M2_PR
NEW met1 ( 239280 2289745 ) M1M2_PR
NEW met1 ( 297840 2289745 ) M1M2_PR
NEW met2 ( 297840 2290670 ) via2_FR
NEW met2 ( 211920 1943610 ) via2_FR
NEW met1 ( 211920 1943795 ) M1M2_PR ;
- user_io_oeb\[34\] ( mprj io_oeb[34] ) ( gpio_control_in\[34\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 252720 1727715 ) ( * 2073665 )
NEW met2 ( 292080 2073665 ) ( * 2075330 )
NEW met3 ( 292080 2075330 ) ( 322080 * 0 )
NEW met1 ( 252720 2073665 ) ( 292080 * )
NEW met3 ( 212640 1726790 0 ) ( * 1727530 )
NEW met3 ( 212400 1727530 ) ( 212640 * )
NEW met2 ( 212400 1727530 ) ( * 1727715 )
NEW met1 ( 212400 1727715 ) ( 252720 * )
NEW met1 ( 252720 2073665 ) M1M2_PR
NEW met1 ( 252720 1727715 ) M1M2_PR
NEW met1 ( 292080 2073665 ) M1M2_PR
NEW met2 ( 292080 2075330 ) via2_FR
NEW met2 ( 212400 1727530 ) via2_FR
NEW met1 ( 212400 1727715 ) M1M2_PR ;
- user_io_oeb\[35\] ( mprj io_oeb[35] ) ( gpio_control_in\[35\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 252240 1511635 ) ( * 1857955 )
NEW met2 ( 297840 1857955 ) ( * 1859250 )
NEW met3 ( 297840 1859250 ) ( 322080 * 0 )
NEW met1 ( 252240 1857955 ) ( 297840 * )
NEW met3 ( 212640 1510710 0 ) ( * 1511450 )
NEW met3 ( 212640 1511450 ) ( 212880 * )
NEW met2 ( 212880 1511450 ) ( * 1511635 )
NEW met1 ( 212880 1511635 ) ( 252240 * )
NEW met1 ( 252240 1857955 ) M1M2_PR
NEW met1 ( 252240 1511635 ) M1M2_PR
NEW met1 ( 297840 1857955 ) M1M2_PR
NEW met2 ( 297840 1859250 ) via2_FR
NEW met2 ( 212880 1511450 ) via2_FR
NEW met1 ( 212880 1511635 ) M1M2_PR ;
- user_io_oeb\[36\] ( mprj io_oeb[36] ) ( gpio_control_in\[36\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 212640 1294630 0 ) ( 214320 * )
NEW met2 ( 214320 1294630 ) ( * 1294815 )
NEW met1 ( 214320 1294815 ) ( 251760 * )
NEW met2 ( 251760 1294815 ) ( * 1641875 )
NEW met2 ( 289200 1641875 ) ( * 1643910 )
NEW met3 ( 289200 1643910 ) ( 322080 * 0 )
NEW met1 ( 251760 1641875 ) ( 289200 * )
NEW met1 ( 251760 1641875 ) M1M2_PR
NEW met2 ( 214320 1294630 ) via2_FR
NEW met1 ( 214320 1294815 ) M1M2_PR
NEW met1 ( 251760 1294815 ) M1M2_PR
NEW met1 ( 289200 1641875 ) M1M2_PR
NEW met2 ( 289200 1643910 ) via2_FR ;
- user_io_oeb\[37\] ( mprj io_oeb[37] ) ( gpio_control_in\[37\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 290640 1425795 ) ( * 1429310 )
NEW met3 ( 290640 1429310 ) ( 322080 * 0 )
NEW met1 ( 225360 1425795 ) ( 290640 * )
NEW met3 ( 212640 1078550 0 ) ( 214320 * )
NEW met2 ( 214320 1078550 ) ( * 1078735 )
NEW met1 ( 214320 1078735 ) ( 225360 * )
NEW met2 ( 225360 1078735 ) ( * 1425795 )
NEW met1 ( 290640 1425795 ) M1M2_PR
NEW met2 ( 290640 1429310 ) via2_FR
NEW met1 ( 225360 1425795 ) M1M2_PR
NEW met2 ( 214320 1078550 ) via2_FR
NEW met1 ( 214320 1078735 ) M1M2_PR
NEW met1 ( 225360 1078735 ) M1M2_PR ;
- user_io_oeb\[3\] ( mprj io_oeb[3] ) ( gpio_control_in\[3\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 3272400 2289745 ) ( * 2302510 )
NEW met3 ( 3251040 2302510 0 ) ( 3272400 * )
NEW met1 ( 3305040 1348835 ) ( 3373680 * )
NEW met2 ( 3373680 1348650 ) ( * 1348835 )
NEW met3 ( 3373680 1348650 ) ( 3373920 * )
NEW met3 ( 3373920 1347910 0 ) ( * 1348650 )
NEW met1 ( 3272400 2289745 ) ( 3305040 * )
NEW met2 ( 3305040 1348835 ) ( * 2289745 )
NEW met1 ( 3272400 2289745 ) M1M2_PR
NEW met2 ( 3272400 2302510 ) via2_FR
NEW met1 ( 3305040 1348835 ) M1M2_PR
NEW met1 ( 3373680 1348835 ) M1M2_PR
NEW met2 ( 3373680 1348650 ) via2_FR
NEW met1 ( 3305040 2289745 ) M1M2_PR ;
- user_io_oeb\[4\] ( mprj io_oeb[4] ) ( gpio_control_in\[4\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met2 ( 3272400 2534685 ) ( * 2537090 )
NEW met3 ( 3251040 2537090 0 ) ( 3272400 * )
NEW met1 ( 3319440 1573795 ) ( 3373680 * )
NEW met2 ( 3373680 1573610 ) ( * 1573795 )
NEW met3 ( 3373680 1573610 ) ( 3373920 * )
NEW met3 ( 3373920 1572870 0 ) ( * 1573610 )
NEW met1 ( 3272400 2534685 ) ( 3319440 * )
NEW met2 ( 3319440 1573795 ) ( * 2534685 )
NEW met1 ( 3272400 2534685 ) M1M2_PR
NEW met2 ( 3272400 2537090 ) via2_FR
NEW met1 ( 3319440 1573795 ) M1M2_PR
NEW met1 ( 3373680 1573795 ) M1M2_PR
NEW met2 ( 3373680 1573610 ) via2_FR
NEW met1 ( 3319440 2534685 ) M1M2_PR ;
- user_io_oeb\[5\] ( mprj io_oeb[5] ) ( gpio_control_in\[5\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2772410 0 ) ( 3272400 * )
NEW met2 ( 3272400 2764825 ) ( * 2772410 )
NEW met1 ( 3333360 1798755 ) ( 3373680 * )
NEW met2 ( 3373680 1798570 ) ( * 1798755 )
NEW met3 ( 3373680 1798570 ) ( 3373920 * )
NEW met3 ( 3373920 1797830 0 ) ( * 1798570 )
NEW met1 ( 3272400 2764825 ) ( 3333360 * )
NEW met2 ( 3333360 1798755 ) ( * 2764825 )
NEW met2 ( 3272400 2772410 ) via2_FR
NEW met1 ( 3272400 2764825 ) M1M2_PR
NEW met1 ( 3333360 1798755 ) M1M2_PR
NEW met1 ( 3373680 1798755 ) M1M2_PR
NEW met2 ( 3373680 1798570 ) via2_FR
NEW met1 ( 3333360 2764825 ) M1M2_PR ;
- user_io_oeb\[6\] ( mprj io_oeb[6] ) ( gpio_control_in\[6\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3006990 0 ) ( 3272400 * )
NEW met2 ( 3272400 2995335 ) ( * 3006990 )
NEW met1 ( 3272400 2995335 ) ( 3347760 * )
NEW met2 ( 3347760 2023715 ) ( * 2995335 )
NEW met2 ( 3372240 2023530 ) ( * 2023715 )
NEW met3 ( 3372240 2023530 ) ( 3373920 * 0 )
NEW met1 ( 3347760 2023715 ) ( 3372240 * )
NEW met2 ( 3272400 3006990 ) via2_FR
NEW met1 ( 3272400 2995335 ) M1M2_PR
NEW met1 ( 3347760 2023715 ) M1M2_PR
NEW met1 ( 3347760 2995335 ) M1M2_PR
NEW met1 ( 3372240 2023715 ) M1M2_PR
NEW met2 ( 3372240 2023530 ) via2_FR ;
- user_io_oeb\[7\] ( mprj io_oeb[7] ) ( gpio_control_in\[7\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3241570 0 ) ( 3271440 * )
NEW met2 ( 3271440 3240275 ) ( * 3241570 )
NEW met1 ( 3271440 3240275 ) ( 3320400 * )
NEW met2 ( 3320400 2464755 ) ( * 3240275 )
NEW met2 ( 3371760 2464570 ) ( * 2464755 )
NEW met3 ( 3371760 2464570 ) ( 3373920 * 0 )
NEW met1 ( 3320400 2464755 ) ( 3371760 * )
NEW met2 ( 3271440 3241570 ) via2_FR
NEW met1 ( 3271440 3240275 ) M1M2_PR
NEW met1 ( 3320400 2464755 ) M1M2_PR
NEW met1 ( 3320400 3240275 ) M1M2_PR
NEW met1 ( 3371760 2464755 ) M1M2_PR
NEW met2 ( 3371760 2464570 ) via2_FR ;
- user_io_oeb\[8\] ( mprj io_oeb[8] ) ( gpio_control_in\[8\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3476150 0 ) ( 3272400 * )
NEW met2 ( 3272400 3470415 ) ( * 3476150 )
NEW met1 ( 3362640 2692675 ) ( 3368880 * )
NEW met2 ( 3368880 2684350 ) ( * 2692675 )
NEW met3 ( 3368880 2684350 ) ( 3373920 * 0 )
NEW met1 ( 3272400 3470415 ) ( 3362640 * )
NEW met2 ( 3362640 2692675 ) ( * 3470415 )
NEW met2 ( 3272400 3476150 ) via2_FR
NEW met1 ( 3272400 3470415 ) M1M2_PR
NEW met1 ( 3362640 2692675 ) M1M2_PR
NEW met1 ( 3368880 2692675 ) M1M2_PR
NEW met2 ( 3368880 2684350 ) via2_FR
NEW met1 ( 3362640 3470415 ) M1M2_PR ;
- user_io_oeb\[9\] ( mprj io_oeb[9] ) ( gpio_control_in\[9\] user_gpio_oeb ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3710730 0 ) ( 3270000 * )
NEW met2 ( 3270000 3700925 ) ( * 3710730 )
NEW met1 ( 3270000 3700925 ) ( 3319920 * )
NEW met2 ( 3319920 2910235 ) ( * 3700925 )
NEW met2 ( 3372240 2909680 ) ( * 2910235 )
NEW met3 ( 3372240 2909680 ) ( 3373920 * 0 )
NEW met1 ( 3319920 2910235 ) ( 3372240 * )
NEW met2 ( 3270000 3710730 ) via2_FR
NEW met1 ( 3270000 3700925 ) M1M2_PR
NEW met1 ( 3319920 2910235 ) M1M2_PR
NEW met1 ( 3319920 3700925 ) M1M2_PR
NEW met1 ( 3372240 2910235 ) M1M2_PR
NEW met2 ( 3372240 2909680 ) via2_FR ;
- user_io_out\[0\] ( mprj io_out[0] ) ( gpio_control_bidir\[0\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 1539570 0 ) ( 3276720 * )
NEW met2 ( 3276720 663965 ) ( * 1539570 )
NEW met2 ( 3384720 663965 ) ( * 671550 )
NEW met3 ( 3384480 671550 ) ( 3384720 * )
NEW met3 ( 3384480 671550 ) ( * 673400 0 )
NEW met1 ( 3276720 663965 ) ( 3384720 * )
NEW met1 ( 3276720 663965 ) M1M2_PR
NEW met2 ( 3276720 1539570 ) via2_FR
NEW met1 ( 3384720 663965 ) M1M2_PR
NEW met2 ( 3384720 671550 ) via2_FR ;
- user_io_out\[10\] ( mprj io_out[10] ) ( gpio_control_in\[10\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3886850 0 ) ( 3270480 * )
NEW met2 ( 3270480 3873715 ) ( * 3886850 )
NEW met1 ( 3270480 3873715 ) ( 3362160 * )
NEW met1 ( 3362160 3138895 ) ( 3369360 * )
NEW met2 ( 3369360 3138710 ) ( * 3138895 )
NEW met3 ( 3369360 3138710 ) ( 3373920 * )
NEW met3 ( 3373920 3138340 0 ) ( * 3138710 )
NEW met2 ( 3362160 3138895 ) ( * 3873715 )
NEW met2 ( 3270480 3886850 ) via2_FR
NEW met1 ( 3270480 3873715 ) M1M2_PR
NEW met1 ( 3362160 3873715 ) M1M2_PR
NEW met1 ( 3362160 3138895 ) M1M2_PR
NEW met1 ( 3369360 3138895 ) M1M2_PR
NEW met2 ( 3369360 3138710 ) via2_FR ;
- user_io_out\[11\] ( mprj io_out[11] ) ( gpio_control_in\[11\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4121430 0 ) ( 3270000 * )
NEW met2 ( 3270000 4118655 ) ( * 4121430 )
NEW met1 ( 3270000 4118655 ) ( 3349200 * )
NEW met2 ( 3349200 3363485 ) ( * 4118655 )
NEW met2 ( 3372240 3363300 ) ( * 3363485 )
NEW met3 ( 3372240 3363300 ) ( 3373920 * 0 )
NEW met1 ( 3349200 3363485 ) ( 3372240 * )
NEW met2 ( 3270000 4121430 ) via2_FR
NEW met1 ( 3270000 4118655 ) M1M2_PR
NEW met1 ( 3349200 3363485 ) M1M2_PR
NEW met1 ( 3349200 4118655 ) M1M2_PR
NEW met1 ( 3372240 3363485 ) M1M2_PR
NEW met2 ( 3372240 3363300 ) via2_FR ;
- user_io_out\[12\] ( mprj io_out[12] ) ( gpio_control_in\[12\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4356010 0 ) ( 3272400 * )
NEW met2 ( 3272400 4349165 ) ( * 4356010 )
NEW met1 ( 3272400 4349165 ) ( 3318960 * )
NEW met2 ( 3318960 3589555 ) ( * 4349165 )
NEW met2 ( 3372240 3589370 ) ( * 3589555 )
NEW met3 ( 3372240 3589370 ) ( 3373920 * 0 )
NEW met1 ( 3318960 3589555 ) ( 3372240 * )
NEW met2 ( 3272400 4356010 ) via2_FR
NEW met1 ( 3272400 4349165 ) M1M2_PR
NEW met1 ( 3318960 3589555 ) M1M2_PR
NEW met1 ( 3318960 4349165 ) M1M2_PR
NEW met1 ( 3372240 3589555 ) M1M2_PR
NEW met2 ( 3372240 3589370 ) via2_FR ;
- user_io_out\[13\] ( mprj io_out[13] ) ( gpio_control_in\[13\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4590590 0 ) ( 3270000 * )
NEW met2 ( 3270000 4579305 ) ( * 4590590 )
NEW met1 ( 3305040 3814515 ) ( 3371760 * )
NEW met2 ( 3371760 3814330 ) ( * 3814515 )
NEW met3 ( 3371760 3814330 ) ( 3373920 * 0 )
NEW met1 ( 3270000 4579305 ) ( 3305040 * )
NEW met2 ( 3305040 3814515 ) ( * 4579305 )
NEW met2 ( 3270000 4590590 ) via2_FR
NEW met1 ( 3270000 4579305 ) M1M2_PR
NEW met1 ( 3305040 3814515 ) M1M2_PR
NEW met1 ( 3371760 3814515 ) M1M2_PR
NEW met2 ( 3371760 3814330 ) via2_FR
NEW met1 ( 3305040 4579305 ) M1M2_PR ;
- user_io_out\[14\] ( mprj io_out[14] ) ( gpio_control_in\[14\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 4825170 0 ) ( 3276240 * )
NEW met2 ( 3276240 4696225 ) ( * 4825170 )
NEW met2 ( 3384240 4696225 ) ( * 4704550 )
NEW met3 ( 3384240 4704550 ) ( 3384480 * )
NEW met3 ( 3384480 4704550 ) ( * 4706400 0 )
NEW met1 ( 3276240 4696225 ) ( 3384240 * )
NEW met1 ( 3276240 4696225 ) M1M2_PR
NEW met2 ( 3276240 4825170 ) via2_FR
NEW met1 ( 3384240 4696225 ) M1M2_PR
NEW met2 ( 3384240 4704550 ) via2_FR ;
- user_io_out\[15\] ( mprj io_out[15] ) ( gpio_control_in\[15\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 2814240 4979090 0 ) ( 2849520 * )
NEW met2 ( 2849520 4946715 ) ( * 4979090 )
NEW met2 ( 3043920 4917670 0 ) ( * 4946715 )
NEW met1 ( 2849520 4946715 ) ( 3043920 * )
NEW met1 ( 2849520 4946715 ) M1M2_PR
NEW met2 ( 2849520 4979090 ) via2_FR
NEW met1 ( 3043920 4946715 ) M1M2_PR ;
- user_io_out\[16\] ( mprj io_out[16] ) ( gpio_control_in\[16\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 2577840 4946715 ) ( * 4979830 )
NEW met3 ( 2557440 4979830 0 ) ( 2577840 * )
NEW met2 ( 2718960 4917670 0 ) ( * 4946715 )
NEW met1 ( 2577840 4946715 ) ( 2718960 * )
NEW met1 ( 2577840 4946715 ) M1M2_PR
NEW met2 ( 2577840 4979830 ) via2_FR
NEW met1 ( 2718960 4946715 ) M1M2_PR ;
- user_io_out\[17\] ( mprj io_out[17] ) ( gpio_control_in\[17\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 2172480 4979830 0 ) ( 2189520 * )
NEW met2 ( 2189520 4946715 ) ( * 4979830 )
NEW met2 ( 2394960 4917670 0 ) ( * 4946715 )
NEW met1 ( 2189520 4946715 ) ( 2394960 * )
NEW met2 ( 2189520 4979830 ) via2_FR
NEW met1 ( 2189520 4946715 ) M1M2_PR
NEW met1 ( 2394960 4946715 ) M1M2_PR ;
- user_io_out\[18\] ( mprj io_out[18] ) ( gpio_control_in\[18\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 1835040 4979090 0 ) ( 1857840 * )
NEW met2 ( 1857840 4946715 ) ( * 4979090 )
NEW met2 ( 2070480 4917670 0 ) ( * 4946715 )
NEW met1 ( 1857840 4946715 ) ( 2070480 * )
NEW met2 ( 1857840 4979090 ) via2_FR
NEW met1 ( 1857840 4946715 ) M1M2_PR
NEW met1 ( 2070480 4946715 ) M1M2_PR ;
- user_io_out\[19\] ( mprj io_out[19] ) ( gpio_control_in\[19\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 1746000 4917670 0 ) ( * 4946715 )
NEW met2 ( 1598160 4946715 ) ( * 4979830 )
NEW met3 ( 1583520 4979830 0 ) ( 1598160 * )
NEW met1 ( 1598160 4946715 ) ( 1746000 * )
NEW met1 ( 1746000 4946715 ) M1M2_PR
NEW met1 ( 1598160 4946715 ) M1M2_PR
NEW met2 ( 1598160 4979830 ) via2_FR ;
- user_io_out\[1\] ( mprj io_out[1] ) ( gpio_control_bidir\[1\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 1774150 0 ) ( 3276240 * )
NEW met2 ( 3276240 893365 ) ( * 1774150 )
NEW met2 ( 3373200 893365 ) ( * 897990 )
NEW met3 ( 3373200 897990 ) ( 3373920 * )
NEW met3 ( 3373920 897990 ) ( * 899470 0 )
NEW met1 ( 3276240 893365 ) ( 3373200 * )
NEW met1 ( 3276240 893365 ) M1M2_PR
NEW met2 ( 3276240 1774150 ) via2_FR
NEW met1 ( 3373200 893365 ) M1M2_PR
NEW met2 ( 3373200 897990 ) via2_FR ;
- user_io_out\[20\] ( mprj io_out[20] ) ( gpio_control_in\[20\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 1421520 4917670 0 ) ( * 4946715 )
NEW met2 ( 1353840 4946715 ) ( * 4979090 )
NEW met1 ( 1353840 4946715 ) ( 1421520 * )
NEW met3 ( 1325280 4979090 0 ) ( 1353840 * )
NEW met1 ( 1421520 4946715 ) M1M2_PR
NEW met1 ( 1353840 4946715 ) M1M2_PR
NEW met2 ( 1353840 4979090 ) via2_FR ;
- user_io_out\[21\] ( mprj io_out[21] ) ( gpio_control_in\[21\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 1095600 4917670 ) ( * 4979830 )
NEW met2 ( 1095600 4917670 ) ( 1097040 * 0 )
NEW met3 ( 1068480 4979830 0 ) ( 1095600 * )
NEW met2 ( 1095600 4979830 ) via2_FR ;
- user_io_out\[22\] ( mprj io_out[22] ) ( gpio_control_in\[22\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 772560 4917670 0 ) ( * 4946715 )
NEW met2 ( 808560 4946715 ) ( * 4976130 )
NEW met3 ( 808560 4976130 ) ( 811200 * )
NEW met3 ( 811200 4976130 ) ( * 4979090 0 )
NEW met1 ( 772560 4946715 ) ( 808560 * )
NEW met1 ( 772560 4946715 ) M1M2_PR
NEW met1 ( 808560 4946715 ) M1M2_PR
NEW met2 ( 808560 4976130 ) via2_FR ;
- user_io_out\[23\] ( mprj io_out[23] ) ( gpio_control_in\[23\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 448080 4917670 0 ) ( * 4946345 )
NEW met2 ( 546960 4946345 ) ( * 4975390 )
NEW met3 ( 546960 4975390 ) ( 554400 * )
NEW met3 ( 554400 4975390 ) ( * 4979090 0 )
NEW met1 ( 448080 4946345 ) ( 546960 * )
NEW met1 ( 448080 4946345 ) M1M2_PR
NEW met1 ( 546960 4946345 ) M1M2_PR
NEW met2 ( 546960 4975390 ) via2_FR ;
- user_io_out\[24\] ( mprj io_out[24] ) ( gpio_control_in\[24\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 297840 4723235 ) ( * 4733410 )
NEW met3 ( 297840 4733410 ) ( 322080 * 0 )
NEW met3 ( 212640 4724530 0 ) ( 213360 * )
NEW met2 ( 213360 4723235 ) ( * 4724530 )
NEW met1 ( 213360 4723235 ) ( 297840 * )
NEW met1 ( 297840 4723235 ) M1M2_PR
NEW met2 ( 297840 4733410 ) via2_FR
NEW met2 ( 213360 4724530 ) via2_FR
NEW met1 ( 213360 4723235 ) M1M2_PR ;
- user_io_out\[25\] ( mprj io_out[25] ) ( gpio_control_in\[25\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 252720 4095715 ) ( * 4435745 )
NEW met2 ( 297840 4435745 ) ( * 4445550 )
NEW met3 ( 297840 4445550 ) ( 322080 * 0 )
NEW met1 ( 252720 4435745 ) ( 297840 * )
NEW met3 ( 212640 4095530 0 ) ( 213360 * )
NEW met2 ( 213360 4095530 ) ( * 4095715 )
NEW met1 ( 213360 4095715 ) ( 252720 * )
NEW met1 ( 252720 4095715 ) M1M2_PR
NEW met1 ( 252720 4435745 ) M1M2_PR
NEW met1 ( 297840 4435745 ) M1M2_PR
NEW met2 ( 297840 4445550 ) via2_FR
NEW met2 ( 213360 4095530 ) via2_FR
NEW met1 ( 213360 4095715 ) M1M2_PR ;
- user_io_out\[26\] ( mprj io_out[26] ) ( gpio_control_in\[26\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 3879450 0 ) ( * 3880190 )
NEW met3 ( 212400 3880190 ) ( 212640 * )
NEW met2 ( 212400 3880190 ) ( * 3880375 )
NEW met1 ( 212400 3880375 ) ( 253200 * )
NEW met2 ( 253200 3880375 ) ( * 4147515 )
NEW met2 ( 297840 4147515 ) ( * 4158430 )
NEW met3 ( 297840 4158430 ) ( 322080 * 0 )
NEW met1 ( 253200 4147515 ) ( 297840 * )
NEW met2 ( 212400 3880190 ) via2_FR
NEW met1 ( 212400 3880375 ) M1M2_PR
NEW met1 ( 253200 3880375 ) M1M2_PR
NEW met1 ( 253200 4147515 ) M1M2_PR
NEW met1 ( 297840 4147515 ) M1M2_PR
NEW met2 ( 297840 4158430 ) via2_FR ;
- user_io_out\[27\] ( mprj io_out[27] ) ( gpio_control_in\[27\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 267120 3663555 ) ( * 3859285 )
NEW met2 ( 297840 3859285 ) ( * 3870570 )
NEW met3 ( 297840 3870570 ) ( 322080 * 0 )
NEW met1 ( 267120 3859285 ) ( 297840 * )
NEW met3 ( 212640 3663370 0 ) ( 213840 * )
NEW met2 ( 213840 3663370 ) ( * 3663555 )
NEW met1 ( 213840 3663555 ) ( 267120 * )
NEW met1 ( 267120 3663555 ) M1M2_PR
NEW met1 ( 267120 3859285 ) M1M2_PR
NEW met1 ( 297840 3859285 ) M1M2_PR
NEW met2 ( 297840 3870570 ) via2_FR
NEW met2 ( 213840 3663370 ) via2_FR
NEW met1 ( 213840 3663555 ) M1M2_PR ;
- user_io_out\[28\] ( mprj io_out[28] ) ( gpio_control_in\[28\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 297840 3571425 ) ( * 3583450 )
NEW met3 ( 297840 3583450 ) ( 322080 * 0 )
NEW met3 ( 212640 3447290 0 ) ( 216240 * )
NEW met2 ( 216240 3447290 ) ( * 3447475 )
NEW met1 ( 216240 3447475 ) ( 224880 * )
NEW met1 ( 224880 3571425 ) ( 297840 * )
NEW met2 ( 224880 3447475 ) ( * 3571425 )
NEW met1 ( 297840 3571425 ) M1M2_PR
NEW met2 ( 297840 3583450 ) via2_FR
NEW met2 ( 216240 3447290 ) via2_FR
NEW met1 ( 216240 3447475 ) M1M2_PR
NEW met1 ( 224880 3447475 ) M1M2_PR
NEW met1 ( 224880 3571425 ) M1M2_PR ;
- user_io_out\[29\] ( mprj io_out[29] ) ( gpio_control_in\[29\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 297840 3283565 ) ( * 3296330 )
NEW met3 ( 297840 3296330 ) ( 322080 * 0 )
NEW met3 ( 212640 3231210 0 ) ( 214800 * )
NEW met2 ( 214800 3231210 ) ( * 3283565 )
NEW met1 ( 214800 3283565 ) ( 297840 * )
NEW met1 ( 297840 3283565 ) M1M2_PR
NEW met2 ( 297840 3296330 ) via2_FR
NEW met2 ( 214800 3231210 ) via2_FR
NEW met1 ( 214800 3283565 ) M1M2_PR ;
- user_io_out\[2\] ( mprj io_out[2] ) ( gpio_control_in\[2\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 3272400 2001885 ) ( * 2008730 )
NEW met3 ( 3251040 2008730 0 ) ( 3272400 * )
NEW met1 ( 3272400 2001885 ) ( 3334320 * )
NEW met1 ( 3334320 1125355 ) ( 3373680 * )
NEW met2 ( 3373680 1125170 ) ( * 1125355 )
NEW met3 ( 3373680 1125170 ) ( 3373920 * )
NEW met3 ( 3373920 1124430 0 ) ( * 1125170 )
NEW met2 ( 3334320 1125355 ) ( * 2001885 )
NEW met1 ( 3272400 2001885 ) M1M2_PR
NEW met2 ( 3272400 2008730 ) via2_FR
NEW met1 ( 3334320 2001885 ) M1M2_PR
NEW met1 ( 3334320 1125355 ) M1M2_PR
NEW met1 ( 3373680 1125355 ) M1M2_PR
NEW met2 ( 3373680 1125170 ) via2_FR ;
- user_io_out\[30\] ( mprj io_out[30] ) ( gpio_control_in\[30\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 297840 3009210 ) ( * 3009395 )
NEW met3 ( 297840 3009210 ) ( 322080 * 0 )
NEW met3 ( 212640 3014390 ) ( * 3015130 0 )
NEW met3 ( 212400 3014390 ) ( 212640 * )
NEW met2 ( 212400 3009395 ) ( * 3014390 )
NEW met1 ( 212400 3009395 ) ( 297840 * )
NEW met1 ( 297840 3009395 ) M1M2_PR
NEW met2 ( 297840 3009210 ) via2_FR
NEW met2 ( 212400 3014390 ) via2_FR
NEW met1 ( 212400 3009395 ) M1M2_PR ;
- user_io_out\[31\] ( mprj io_out[31] ) ( gpio_control_in\[31\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 2797570 ) ( * 2799050 0 )
NEW met3 ( 212640 2797570 ) ( 212880 * )
NEW met2 ( 212880 2794425 ) ( * 2797570 )
NEW met2 ( 297840 2794425 ) ( * 2794610 )
NEW met3 ( 297840 2794610 ) ( 322080 * 0 )
NEW met1 ( 212880 2794425 ) ( 297840 * )
NEW met2 ( 212880 2797570 ) via2_FR
NEW met1 ( 212880 2794425 ) M1M2_PR
NEW met1 ( 297840 2794425 ) M1M2_PR
NEW met2 ( 297840 2794610 ) via2_FR ;
- user_io_out\[32\] ( mprj io_out[32] ) ( gpio_control_in\[32\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 2161170 0 ) ( 214320 * )
NEW met2 ( 214320 2161170 ) ( * 2161355 )
NEW met1 ( 214320 2161355 ) ( 252720 * )
NEW met2 ( 252720 2161355 ) ( * 2577605 )
NEW met2 ( 297840 2577605 ) ( * 2578530 )
NEW met3 ( 297840 2578530 ) ( 322080 * 0 )
NEW met1 ( 252720 2577605 ) ( 297840 * )
NEW met2 ( 214320 2161170 ) via2_FR
NEW met1 ( 214320 2161355 ) M1M2_PR
NEW met1 ( 252720 2161355 ) M1M2_PR
NEW met1 ( 252720 2577605 ) M1M2_PR
NEW met1 ( 297840 2577605 ) M1M2_PR
NEW met2 ( 297840 2578530 ) via2_FR ;
- user_io_out\[33\] ( mprj io_out[33] ) ( gpio_control_in\[33\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 211680 1945090 0 ) ( * 1946570 )
NEW met3 ( 211680 1946570 ) ( 211920 * )
NEW met2 ( 211920 1946570 ) ( * 1946755 )
NEW met1 ( 211920 1946755 ) ( 224880 * )
NEW met2 ( 297840 2361895 ) ( * 2362450 )
NEW met3 ( 297840 2362450 ) ( 322080 * 0 )
NEW met1 ( 224880 2361895 ) ( 297840 * )
NEW met2 ( 224880 1946755 ) ( * 2361895 )
NEW met2 ( 211920 1946570 ) via2_FR
NEW met1 ( 211920 1946755 ) M1M2_PR
NEW met1 ( 224880 1946755 ) M1M2_PR
NEW met1 ( 224880 2361895 ) M1M2_PR
NEW met1 ( 297840 2361895 ) M1M2_PR
NEW met2 ( 297840 2362450 ) via2_FR ;
- user_io_out\[34\] ( mprj io_out[34] ) ( gpio_control_in\[34\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 238320 1730675 ) ( * 2145815 )
NEW met2 ( 297840 2145815 ) ( * 2147110 )
NEW met3 ( 297840 2147110 ) ( 322080 * 0 )
NEW met1 ( 238320 2145815 ) ( 297840 * )
NEW met3 ( 212640 1729380 0 ) ( * 1730490 )
NEW met3 ( 212400 1730490 ) ( 212640 * )
NEW met2 ( 212400 1730490 ) ( * 1730675 )
NEW met1 ( 212400 1730675 ) ( 238320 * )
NEW met1 ( 238320 1730675 ) M1M2_PR
NEW met1 ( 238320 2145815 ) M1M2_PR
NEW met1 ( 297840 2145815 ) M1M2_PR
NEW met2 ( 297840 2147110 ) via2_FR
NEW met2 ( 212400 1730490 ) via2_FR
NEW met1 ( 212400 1730675 ) M1M2_PR ;
- user_io_out\[35\] ( mprj io_out[35] ) ( gpio_control_in\[35\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 238800 1514595 ) ( * 1929735 )
NEW met2 ( 296400 1929735 ) ( * 1931770 )
NEW met3 ( 296400 1931770 ) ( 322080 * 0 )
NEW met1 ( 238800 1929735 ) ( 296400 * )
NEW met3 ( 212640 1513300 0 ) ( * 1514410 )
NEW met3 ( 212640 1514410 ) ( 212880 * )
NEW met2 ( 212880 1514410 ) ( * 1514595 )
NEW met1 ( 212880 1514595 ) ( 238800 * )
NEW met1 ( 238800 1514595 ) M1M2_PR
NEW met1 ( 238800 1929735 ) M1M2_PR
NEW met1 ( 296400 1929735 ) M1M2_PR
NEW met2 ( 296400 1931770 ) via2_FR
NEW met2 ( 212880 1514410 ) via2_FR
NEW met1 ( 212880 1514595 ) M1M2_PR ;
- user_io_out\[36\] ( mprj io_out[36] ) ( gpio_control_in\[36\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 212640 1297590 0 ) ( * 1298330 )
NEW met3 ( 212400 1298330 ) ( 212640 * )
NEW met2 ( 212400 1298330 ) ( * 1298515 )
NEW met1 ( 212400 1298515 ) ( 269520 * )
NEW met2 ( 269520 1298515 ) ( * 1714025 )
NEW met2 ( 292080 1714025 ) ( * 1715690 )
NEW met3 ( 292080 1715690 ) ( 322080 * 0 )
NEW met1 ( 269520 1714025 ) ( 292080 * )
NEW met2 ( 212400 1298330 ) via2_FR
NEW met1 ( 212400 1298515 ) M1M2_PR
NEW met1 ( 269520 1298515 ) M1M2_PR
NEW met1 ( 269520 1714025 ) M1M2_PR
NEW met1 ( 292080 1714025 ) M1M2_PR
NEW met2 ( 292080 1715690 ) via2_FR ;
- user_io_out\[37\] ( mprj io_out[37] ) ( gpio_control_in\[37\] user_gpio_out ) + USE SIGNAL
+ ROUTED met2 ( 297360 1497945 ) ( * 1500350 )
NEW met3 ( 297360 1500350 ) ( 322080 * 0 )
NEW met3 ( 212640 1081510 0 ) ( * 1082250 )
NEW met3 ( 212400 1082250 ) ( 212640 * )
NEW met2 ( 212400 1082250 ) ( * 1082435 )
NEW met1 ( 212400 1082435 ) ( 225840 * )
NEW met2 ( 225840 1082435 ) ( * 1497945 )
NEW met1 ( 225840 1497945 ) ( 297360 * )
NEW met1 ( 297360 1497945 ) M1M2_PR
NEW met2 ( 297360 1500350 ) via2_FR
NEW met2 ( 212400 1082250 ) via2_FR
NEW met1 ( 212400 1082435 ) M1M2_PR
NEW met1 ( 225840 1082435 ) M1M2_PR
NEW met1 ( 225840 1497945 ) M1M2_PR ;
- user_io_out\[3\] ( mprj io_out[3] ) ( gpio_control_in\[3\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2244050 0 ) ( 3271920 * )
NEW met2 ( 3271920 2232025 ) ( * 2244050 )
NEW met1 ( 3271920 2232025 ) ( 3362640 * )
NEW met1 ( 3362640 1353275 ) ( 3369360 * )
NEW met2 ( 3369360 1350130 ) ( * 1353275 )
NEW met3 ( 3369360 1350130 ) ( 3373920 * 0 )
NEW met2 ( 3362640 1353275 ) ( * 2232025 )
NEW met2 ( 3271920 2244050 ) via2_FR
NEW met1 ( 3271920 2232025 ) M1M2_PR
NEW met1 ( 3362640 2232025 ) M1M2_PR
NEW met1 ( 3362640 1353275 ) M1M2_PR
NEW met1 ( 3369360 1353275 ) M1M2_PR
NEW met2 ( 3369360 1350130 ) via2_FR ;
- user_io_out\[4\] ( mprj io_out[4] ) ( gpio_control_in\[4\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2478630 0 ) ( 3271920 * )
NEW met2 ( 3271920 2476965 ) ( * 2478630 )
NEW met1 ( 3271920 2476965 ) ( 3306000 * )
NEW met1 ( 3306000 1575275 ) ( 3372240 * )
NEW met2 ( 3372240 1575090 ) ( * 1575275 )
NEW met3 ( 3372240 1575090 ) ( 3373920 * 0 )
NEW met2 ( 3306000 1575275 ) ( * 2476965 )
NEW met2 ( 3271920 2478630 ) via2_FR
NEW met1 ( 3271920 2476965 ) M1M2_PR
NEW met1 ( 3306000 2476965 ) M1M2_PR
NEW met1 ( 3306000 1575275 ) M1M2_PR
NEW met1 ( 3372240 1575275 ) M1M2_PR
NEW met2 ( 3372240 1575090 ) via2_FR ;
- user_io_out\[5\] ( mprj io_out[5] ) ( gpio_control_in\[5\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2713210 0 ) ( 3272400 * )
NEW met2 ( 3272400 2707475 ) ( * 2713210 )
NEW met1 ( 3362160 1800235 ) ( 3372240 * )
NEW met2 ( 3372240 1800050 ) ( * 1800235 )
NEW met3 ( 3372240 1800050 ) ( 3373920 * 0 )
NEW met1 ( 3272400 2707475 ) ( 3362160 * )
NEW met2 ( 3362160 1800235 ) ( * 2707475 )
NEW met2 ( 3272400 2713210 ) via2_FR
NEW met1 ( 3272400 2707475 ) M1M2_PR
NEW met1 ( 3362160 1800235 ) M1M2_PR
NEW met1 ( 3372240 1800235 ) M1M2_PR
NEW met2 ( 3372240 1800050 ) via2_FR
NEW met1 ( 3362160 2707475 ) M1M2_PR ;
- user_io_out\[6\] ( mprj io_out[6] ) ( gpio_control_in\[6\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 2947790 0 ) ( 3272400 * )
NEW met2 ( 3272400 2937615 ) ( * 2947790 )
NEW met1 ( 3272400 2937615 ) ( 3334320 * )
NEW met2 ( 3334320 2027415 ) ( * 2937615 )
NEW met2 ( 3373680 2027230 ) ( * 2027415 )
NEW met3 ( 3373680 2027230 ) ( 3373920 * )
NEW met3 ( 3373920 2026490 0 ) ( * 2027230 )
NEW met1 ( 3334320 2027415 ) ( 3373680 * )
NEW met2 ( 3272400 2947790 ) via2_FR
NEW met1 ( 3272400 2937615 ) M1M2_PR
NEW met1 ( 3334320 2027415 ) M1M2_PR
NEW met1 ( 3334320 2937615 ) M1M2_PR
NEW met1 ( 3373680 2027415 ) M1M2_PR
NEW met2 ( 3373680 2027230 ) via2_FR ;
- user_io_out\[7\] ( mprj io_out[7] ) ( gpio_control_in\[7\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3183110 0 ) ( 3276720 * )
NEW met2 ( 3276720 2462535 ) ( * 3183110 )
NEW met2 ( 3373200 2462535 ) ( * 2466050 )
NEW met3 ( 3373200 2466050 ) ( 3373920 * )
NEW met3 ( 3373920 2466050 ) ( * 2467530 0 )
NEW met1 ( 3276720 2462535 ) ( 3373200 * )
NEW met1 ( 3276720 2462535 ) M1M2_PR
NEW met2 ( 3276720 3183110 ) via2_FR
NEW met1 ( 3373200 2462535 ) M1M2_PR
NEW met2 ( 3373200 2466050 ) via2_FR ;
- user_io_out\[8\] ( mprj io_out[8] ) ( gpio_control_in\[8\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3417690 0 ) ( 3275760 * )
NEW met2 ( 3275760 2678985 ) ( * 3417690 )
NEW met2 ( 3384240 2678985 ) ( * 2685830 )
NEW met3 ( 3384240 2685830 ) ( 3384480 * )
NEW met3 ( 3384480 2685830 ) ( * 2687310 0 )
NEW met1 ( 3275760 2678985 ) ( 3384240 * )
NEW met1 ( 3275760 2678985 ) M1M2_PR
NEW met2 ( 3275760 3417690 ) via2_FR
NEW met1 ( 3384240 2678985 ) M1M2_PR
NEW met2 ( 3384240 2685830 ) via2_FR ;
- user_io_out\[9\] ( mprj io_out[9] ) ( gpio_control_in\[9\] user_gpio_out ) + USE SIGNAL
+ ROUTED met3 ( 3251040 3652270 0 ) ( 3276240 * )
NEW met2 ( 3276240 2909865 ) ( * 3652270 )
NEW met2 ( 3373200 2909865 ) ( * 2910790 )
NEW met3 ( 3373200 2910790 ) ( 3373920 * )
NEW met3 ( 3373920 2910790 ) ( * 2912270 0 )
NEW met1 ( 3276240 2909865 ) ( 3373200 * )
NEW met2 ( 3276240 3652270 ) via2_FR
NEW met1 ( 3276240 2909865 ) M1M2_PR
NEW met1 ( 3373200 2909865 ) M1M2_PR
NEW met2 ( 3373200 2910790 ) via2_FR ;
- clock ( PIN clock ) ( padframe clock ) + USE SIGNAL ;
- flash_clk ( PIN flash_clk ) ( padframe flash_clk ) + USE SIGNAL ;
- flash_csb ( PIN flash_csb ) ( padframe flash_csb ) + USE SIGNAL ;
- flash_io0 ( PIN flash_io0 ) ( padframe flash_io0 ) + USE SIGNAL ;
- flash_io1 ( PIN flash_io1 ) ( padframe flash_io1 ) + USE SIGNAL ;
- gpio ( PIN gpio ) ( padframe gpio ) + USE SIGNAL ;
- mprj_io[0] ( PIN mprj_io[0] ) ( padframe mprj_io[0] ) + USE SIGNAL ;
- mprj_io[10] ( PIN mprj_io[10] ) ( padframe mprj_io[10] ) + USE SIGNAL ;
- mprj_io[11] ( PIN mprj_io[11] ) ( padframe mprj_io[11] ) + USE SIGNAL ;
- mprj_io[12] ( PIN mprj_io[12] ) ( padframe mprj_io[12] ) + USE SIGNAL ;
- mprj_io[13] ( PIN mprj_io[13] ) ( padframe mprj_io[13] ) + USE SIGNAL ;
- mprj_io[14] ( PIN mprj_io[14] ) ( padframe mprj_io[14] ) + USE SIGNAL ;
- mprj_io[15] ( PIN mprj_io[15] ) ( padframe mprj_io[15] ) + USE SIGNAL ;
- mprj_io[16] ( PIN mprj_io[16] ) ( padframe mprj_io[16] ) + USE SIGNAL ;
- mprj_io[17] ( PIN mprj_io[17] ) ( padframe mprj_io[17] ) + USE SIGNAL ;
- mprj_io[18] ( PIN mprj_io[18] ) ( padframe mprj_io[18] ) + USE SIGNAL ;
- mprj_io[19] ( PIN mprj_io[19] ) ( padframe mprj_io[19] ) + USE SIGNAL ;
- mprj_io[1] ( PIN mprj_io[1] ) ( padframe mprj_io[1] ) + USE SIGNAL ;
- mprj_io[20] ( PIN mprj_io[20] ) ( padframe mprj_io[20] ) + USE SIGNAL ;
- mprj_io[21] ( PIN mprj_io[21] ) ( padframe mprj_io[21] ) + USE SIGNAL ;
- mprj_io[22] ( PIN mprj_io[22] ) ( padframe mprj_io[22] ) + USE SIGNAL ;
- mprj_io[23] ( PIN mprj_io[23] ) ( padframe mprj_io[23] ) + USE SIGNAL ;
- mprj_io[24] ( PIN mprj_io[24] ) ( padframe mprj_io[24] ) + USE SIGNAL ;
- mprj_io[25] ( PIN mprj_io[25] ) ( padframe mprj_io[25] ) + USE SIGNAL ;
- mprj_io[26] ( PIN mprj_io[26] ) ( padframe mprj_io[26] ) + USE SIGNAL ;
- mprj_io[27] ( PIN mprj_io[27] ) ( padframe mprj_io[27] ) + USE SIGNAL ;
- mprj_io[28] ( PIN mprj_io[28] ) ( padframe mprj_io[28] ) + USE SIGNAL ;
- mprj_io[29] ( PIN mprj_io[29] ) ( padframe mprj_io[29] ) + USE SIGNAL ;
- mprj_io[2] ( PIN mprj_io[2] ) ( padframe mprj_io[2] ) + USE SIGNAL ;
- mprj_io[30] ( PIN mprj_io[30] ) ( padframe mprj_io[30] ) + USE SIGNAL ;
- mprj_io[31] ( PIN mprj_io[31] ) ( padframe mprj_io[31] ) + USE SIGNAL ;
- mprj_io[32] ( PIN mprj_io[32] ) ( padframe mprj_io[32] ) + USE SIGNAL ;
- mprj_io[33] ( PIN mprj_io[33] ) ( padframe mprj_io[33] ) + USE SIGNAL ;
- mprj_io[34] ( PIN mprj_io[34] ) ( padframe mprj_io[34] ) + USE SIGNAL ;
- mprj_io[35] ( PIN mprj_io[35] ) ( padframe mprj_io[35] ) + USE SIGNAL ;
- mprj_io[36] ( PIN mprj_io[36] ) ( padframe mprj_io[36] ) + USE SIGNAL ;
- mprj_io[37] ( PIN mprj_io[37] ) ( padframe mprj_io[37] ) + USE SIGNAL ;
- mprj_io[3] ( PIN mprj_io[3] ) ( padframe mprj_io[3] ) + USE SIGNAL ;
- mprj_io[4] ( PIN mprj_io[4] ) ( padframe mprj_io[4] ) + USE SIGNAL ;
- mprj_io[5] ( PIN mprj_io[5] ) ( padframe mprj_io[5] ) + USE SIGNAL ;
- mprj_io[6] ( PIN mprj_io[6] ) ( padframe mprj_io[6] ) + USE SIGNAL ;
- mprj_io[7] ( PIN mprj_io[7] ) ( padframe mprj_io[7] ) + USE SIGNAL ;
- mprj_io[8] ( PIN mprj_io[8] ) ( padframe mprj_io[8] ) + USE SIGNAL ;
- mprj_io[9] ( PIN mprj_io[9] ) ( padframe mprj_io[9] ) + USE SIGNAL ;
- resetb ( PIN resetb ) ( padframe resetb ) + USE SIGNAL ;
- vccd1 ( PIN vccd1 ) ( padframe vccd1 ) + USE SIGNAL ;
- vccd2 ( PIN vccd2 ) ( padframe vccd2 ) + USE SIGNAL ;
- vdda ( PIN vdda ) ( padframe vdda ) + USE SIGNAL ;
- vdda1 ( PIN vdda1 ) ( padframe vdda1 ) + USE SIGNAL ;
- vdda2 ( PIN vdda2 ) ( padframe vdda2 ) + USE SIGNAL ;
- vssa1 ( PIN vssa1 ) ( padframe vssa1 ) + USE SIGNAL ;
- vssa2 ( PIN vssa2 ) ( padframe vssa2 ) + USE SIGNAL ;
- vssd1 ( PIN vssd1 ) ( padframe vssd1 ) + USE SIGNAL ;
- vssd2 ( PIN vssd2 ) ( padframe vssd2 ) + USE SIGNAL ;
- vssio ( PIN vssio ) ( padframe vssio ) + USE SIGNAL ;
- vssa ( PIN vssa ) ( padframe vssa ) + USE SIGNAL ;
- vccd ( PIN vccd ) ( padframe vccd ) + USE SIGNAL ;
- vssd ( PIN vssd ) ( padframe vssd ) + USE SIGNAL ;
- pwr_ctrl_out[0] ( PIN pwr_ctrl_out[0] ) ( soc pwr_ctrl_out[0] ) + USE SIGNAL ;
- pwr_ctrl_out[1] ( PIN pwr_ctrl_out[1] ) ( soc pwr_ctrl_out[1] ) + USE SIGNAL ;
- pwr_ctrl_out[2] ( PIN pwr_ctrl_out[2] ) ( soc pwr_ctrl_out[2] ) + USE SIGNAL ;
- pwr_ctrl_out[3] ( PIN pwr_ctrl_out[3] ) ( soc pwr_ctrl_out[3] ) + USE SIGNAL ;
END NETS
END DESIGN