blob: 15492fe1cd1527f10168524ab0edf65b3993b3a9 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN chip_io ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 3588000 5188000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1286 unithd 5520 3508800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1287 unithd 5520 3511520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1288 unithd 5520 3514240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1289 unithd 5520 3516960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1290 unithd 5520 3519680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1291 unithd 5520 3522400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1292 unithd 5520 3525120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1293 unithd 5520 3527840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1294 unithd 5520 3530560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1295 unithd 5520 3533280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1296 unithd 5520 3536000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1297 unithd 5520 3538720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1298 unithd 5520 3541440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1299 unithd 5520 3544160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1300 unithd 5520 3546880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1301 unithd 5520 3549600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1302 unithd 5520 3552320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1303 unithd 5520 3555040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1304 unithd 5520 3557760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1305 unithd 5520 3560480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1306 unithd 5520 3563200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1307 unithd 5520 3565920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1308 unithd 5520 3568640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1309 unithd 5520 3571360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1310 unithd 5520 3574080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1311 unithd 5520 3576800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1312 unithd 5520 3579520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1313 unithd 5520 3582240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1314 unithd 5520 3584960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1315 unithd 5520 3587680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1316 unithd 5520 3590400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1317 unithd 5520 3593120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1318 unithd 5520 3595840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1319 unithd 5520 3598560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1320 unithd 5520 3601280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1321 unithd 5520 3604000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1322 unithd 5520 3606720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1323 unithd 5520 3609440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1324 unithd 5520 3612160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1325 unithd 5520 3614880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1326 unithd 5520 3617600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1327 unithd 5520 3620320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1328 unithd 5520 3623040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1329 unithd 5520 3625760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1330 unithd 5520 3628480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1331 unithd 5520 3631200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1332 unithd 5520 3633920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1333 unithd 5520 3636640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1334 unithd 5520 3639360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1335 unithd 5520 3642080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1336 unithd 5520 3644800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1337 unithd 5520 3647520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1338 unithd 5520 3650240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1339 unithd 5520 3652960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1340 unithd 5520 3655680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1341 unithd 5520 3658400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1342 unithd 5520 3661120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1343 unithd 5520 3663840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1344 unithd 5520 3666560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1345 unithd 5520 3669280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1346 unithd 5520 3672000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1347 unithd 5520 3674720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1348 unithd 5520 3677440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1349 unithd 5520 3680160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1350 unithd 5520 3682880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1351 unithd 5520 3685600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1352 unithd 5520 3688320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1353 unithd 5520 3691040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1354 unithd 5520 3693760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1355 unithd 5520 3696480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1356 unithd 5520 3699200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1357 unithd 5520 3701920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1358 unithd 5520 3704640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1359 unithd 5520 3707360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1360 unithd 5520 3710080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1361 unithd 5520 3712800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1362 unithd 5520 3715520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1363 unithd 5520 3718240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1364 unithd 5520 3720960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1365 unithd 5520 3723680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1366 unithd 5520 3726400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1367 unithd 5520 3729120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1368 unithd 5520 3731840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1369 unithd 5520 3734560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1370 unithd 5520 3737280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1371 unithd 5520 3740000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1372 unithd 5520 3742720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1373 unithd 5520 3745440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1374 unithd 5520 3748160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1375 unithd 5520 3750880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1376 unithd 5520 3753600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1377 unithd 5520 3756320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1378 unithd 5520 3759040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1379 unithd 5520 3761760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1380 unithd 5520 3764480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1381 unithd 5520 3767200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1382 unithd 5520 3769920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1383 unithd 5520 3772640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1384 unithd 5520 3775360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1385 unithd 5520 3778080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1386 unithd 5520 3780800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1387 unithd 5520 3783520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1388 unithd 5520 3786240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1389 unithd 5520 3788960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1390 unithd 5520 3791680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1391 unithd 5520 3794400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1392 unithd 5520 3797120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1393 unithd 5520 3799840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1394 unithd 5520 3802560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1395 unithd 5520 3805280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1396 unithd 5520 3808000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1397 unithd 5520 3810720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1398 unithd 5520 3813440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1399 unithd 5520 3816160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1400 unithd 5520 3818880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1401 unithd 5520 3821600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1402 unithd 5520 3824320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1403 unithd 5520 3827040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1404 unithd 5520 3829760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1405 unithd 5520 3832480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1406 unithd 5520 3835200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1407 unithd 5520 3837920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1408 unithd 5520 3840640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1409 unithd 5520 3843360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1410 unithd 5520 3846080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1411 unithd 5520 3848800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1412 unithd 5520 3851520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1413 unithd 5520 3854240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1414 unithd 5520 3856960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1415 unithd 5520 3859680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1416 unithd 5520 3862400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1417 unithd 5520 3865120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1418 unithd 5520 3867840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1419 unithd 5520 3870560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1420 unithd 5520 3873280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1421 unithd 5520 3876000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1422 unithd 5520 3878720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1423 unithd 5520 3881440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1424 unithd 5520 3884160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1425 unithd 5520 3886880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1426 unithd 5520 3889600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1427 unithd 5520 3892320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1428 unithd 5520 3895040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1429 unithd 5520 3897760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1430 unithd 5520 3900480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1431 unithd 5520 3903200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1432 unithd 5520 3905920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1433 unithd 5520 3908640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1434 unithd 5520 3911360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1435 unithd 5520 3914080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1436 unithd 5520 3916800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1437 unithd 5520 3919520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1438 unithd 5520 3922240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1439 unithd 5520 3924960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1440 unithd 5520 3927680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1441 unithd 5520 3930400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1442 unithd 5520 3933120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1443 unithd 5520 3935840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1444 unithd 5520 3938560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1445 unithd 5520 3941280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1446 unithd 5520 3944000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1447 unithd 5520 3946720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1448 unithd 5520 3949440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1449 unithd 5520 3952160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1450 unithd 5520 3954880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1451 unithd 5520 3957600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1452 unithd 5520 3960320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1453 unithd 5520 3963040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1454 unithd 5520 3965760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1455 unithd 5520 3968480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1456 unithd 5520 3971200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1457 unithd 5520 3973920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1458 unithd 5520 3976640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1459 unithd 5520 3979360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1460 unithd 5520 3982080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1461 unithd 5520 3984800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1462 unithd 5520 3987520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1463 unithd 5520 3990240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1464 unithd 5520 3992960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1465 unithd 5520 3995680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1466 unithd 5520 3998400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1467 unithd 5520 4001120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1468 unithd 5520 4003840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1469 unithd 5520 4006560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1470 unithd 5520 4009280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1471 unithd 5520 4012000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1472 unithd 5520 4014720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1473 unithd 5520 4017440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1474 unithd 5520 4020160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1475 unithd 5520 4022880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1476 unithd 5520 4025600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1477 unithd 5520 4028320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1478 unithd 5520 4031040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1479 unithd 5520 4033760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1480 unithd 5520 4036480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1481 unithd 5520 4039200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1482 unithd 5520 4041920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1483 unithd 5520 4044640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1484 unithd 5520 4047360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1485 unithd 5520 4050080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1486 unithd 5520 4052800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1487 unithd 5520 4055520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1488 unithd 5520 4058240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1489 unithd 5520 4060960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1490 unithd 5520 4063680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1491 unithd 5520 4066400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1492 unithd 5520 4069120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1493 unithd 5520 4071840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1494 unithd 5520 4074560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1495 unithd 5520 4077280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1496 unithd 5520 4080000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1497 unithd 5520 4082720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1498 unithd 5520 4085440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1499 unithd 5520 4088160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1500 unithd 5520 4090880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1501 unithd 5520 4093600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1502 unithd 5520 4096320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1503 unithd 5520 4099040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1504 unithd 5520 4101760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1505 unithd 5520 4104480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1506 unithd 5520 4107200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1507 unithd 5520 4109920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1508 unithd 5520 4112640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1509 unithd 5520 4115360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1510 unithd 5520 4118080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1511 unithd 5520 4120800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1512 unithd 5520 4123520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1513 unithd 5520 4126240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1514 unithd 5520 4128960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1515 unithd 5520 4131680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1516 unithd 5520 4134400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1517 unithd 5520 4137120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1518 unithd 5520 4139840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1519 unithd 5520 4142560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1520 unithd 5520 4145280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1521 unithd 5520 4148000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1522 unithd 5520 4150720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1523 unithd 5520 4153440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1524 unithd 5520 4156160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1525 unithd 5520 4158880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1526 unithd 5520 4161600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1527 unithd 5520 4164320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1528 unithd 5520 4167040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1529 unithd 5520 4169760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1530 unithd 5520 4172480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1531 unithd 5520 4175200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1532 unithd 5520 4177920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1533 unithd 5520 4180640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1534 unithd 5520 4183360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1535 unithd 5520 4186080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1536 unithd 5520 4188800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1537 unithd 5520 4191520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1538 unithd 5520 4194240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1539 unithd 5520 4196960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1540 unithd 5520 4199680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1541 unithd 5520 4202400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1542 unithd 5520 4205120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1543 unithd 5520 4207840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1544 unithd 5520 4210560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1545 unithd 5520 4213280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1546 unithd 5520 4216000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1547 unithd 5520 4218720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1548 unithd 5520 4221440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1549 unithd 5520 4224160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1550 unithd 5520 4226880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1551 unithd 5520 4229600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1552 unithd 5520 4232320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1553 unithd 5520 4235040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1554 unithd 5520 4237760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1555 unithd 5520 4240480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1556 unithd 5520 4243200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1557 unithd 5520 4245920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1558 unithd 5520 4248640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1559 unithd 5520 4251360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1560 unithd 5520 4254080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1561 unithd 5520 4256800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1562 unithd 5520 4259520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1563 unithd 5520 4262240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1564 unithd 5520 4264960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1565 unithd 5520 4267680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1566 unithd 5520 4270400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1567 unithd 5520 4273120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1568 unithd 5520 4275840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1569 unithd 5520 4278560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1570 unithd 5520 4281280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1571 unithd 5520 4284000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1572 unithd 5520 4286720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1573 unithd 5520 4289440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1574 unithd 5520 4292160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1575 unithd 5520 4294880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1576 unithd 5520 4297600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1577 unithd 5520 4300320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1578 unithd 5520 4303040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1579 unithd 5520 4305760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1580 unithd 5520 4308480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1581 unithd 5520 4311200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1582 unithd 5520 4313920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1583 unithd 5520 4316640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1584 unithd 5520 4319360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1585 unithd 5520 4322080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1586 unithd 5520 4324800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1587 unithd 5520 4327520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1588 unithd 5520 4330240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1589 unithd 5520 4332960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1590 unithd 5520 4335680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1591 unithd 5520 4338400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1592 unithd 5520 4341120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1593 unithd 5520 4343840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1594 unithd 5520 4346560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1595 unithd 5520 4349280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1596 unithd 5520 4352000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1597 unithd 5520 4354720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1598 unithd 5520 4357440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1599 unithd 5520 4360160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1600 unithd 5520 4362880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1601 unithd 5520 4365600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1602 unithd 5520 4368320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1603 unithd 5520 4371040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1604 unithd 5520 4373760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1605 unithd 5520 4376480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1606 unithd 5520 4379200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1607 unithd 5520 4381920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1608 unithd 5520 4384640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1609 unithd 5520 4387360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1610 unithd 5520 4390080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1611 unithd 5520 4392800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1612 unithd 5520 4395520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1613 unithd 5520 4398240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1614 unithd 5520 4400960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1615 unithd 5520 4403680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1616 unithd 5520 4406400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1617 unithd 5520 4409120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1618 unithd 5520 4411840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1619 unithd 5520 4414560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1620 unithd 5520 4417280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1621 unithd 5520 4420000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1622 unithd 5520 4422720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1623 unithd 5520 4425440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1624 unithd 5520 4428160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1625 unithd 5520 4430880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1626 unithd 5520 4433600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1627 unithd 5520 4436320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1628 unithd 5520 4439040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1629 unithd 5520 4441760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1630 unithd 5520 4444480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1631 unithd 5520 4447200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1632 unithd 5520 4449920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1633 unithd 5520 4452640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1634 unithd 5520 4455360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1635 unithd 5520 4458080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1636 unithd 5520 4460800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1637 unithd 5520 4463520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1638 unithd 5520 4466240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1639 unithd 5520 4468960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1640 unithd 5520 4471680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1641 unithd 5520 4474400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1642 unithd 5520 4477120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1643 unithd 5520 4479840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1644 unithd 5520 4482560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1645 unithd 5520 4485280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1646 unithd 5520 4488000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1647 unithd 5520 4490720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1648 unithd 5520 4493440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1649 unithd 5520 4496160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1650 unithd 5520 4498880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1651 unithd 5520 4501600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1652 unithd 5520 4504320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1653 unithd 5520 4507040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1654 unithd 5520 4509760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1655 unithd 5520 4512480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1656 unithd 5520 4515200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1657 unithd 5520 4517920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1658 unithd 5520 4520640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1659 unithd 5520 4523360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1660 unithd 5520 4526080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1661 unithd 5520 4528800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1662 unithd 5520 4531520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1663 unithd 5520 4534240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1664 unithd 5520 4536960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1665 unithd 5520 4539680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1666 unithd 5520 4542400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1667 unithd 5520 4545120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1668 unithd 5520 4547840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1669 unithd 5520 4550560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1670 unithd 5520 4553280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1671 unithd 5520 4556000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1672 unithd 5520 4558720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1673 unithd 5520 4561440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1674 unithd 5520 4564160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1675 unithd 5520 4566880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1676 unithd 5520 4569600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1677 unithd 5520 4572320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1678 unithd 5520 4575040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1679 unithd 5520 4577760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1680 unithd 5520 4580480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1681 unithd 5520 4583200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1682 unithd 5520 4585920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1683 unithd 5520 4588640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1684 unithd 5520 4591360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1685 unithd 5520 4594080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1686 unithd 5520 4596800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1687 unithd 5520 4599520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1688 unithd 5520 4602240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1689 unithd 5520 4604960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1690 unithd 5520 4607680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1691 unithd 5520 4610400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1692 unithd 5520 4613120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1693 unithd 5520 4615840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1694 unithd 5520 4618560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1695 unithd 5520 4621280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1696 unithd 5520 4624000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1697 unithd 5520 4626720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1698 unithd 5520 4629440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1699 unithd 5520 4632160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1700 unithd 5520 4634880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1701 unithd 5520 4637600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1702 unithd 5520 4640320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1703 unithd 5520 4643040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1704 unithd 5520 4645760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1705 unithd 5520 4648480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1706 unithd 5520 4651200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1707 unithd 5520 4653920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1708 unithd 5520 4656640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1709 unithd 5520 4659360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1710 unithd 5520 4662080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1711 unithd 5520 4664800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1712 unithd 5520 4667520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1713 unithd 5520 4670240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1714 unithd 5520 4672960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1715 unithd 5520 4675680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1716 unithd 5520 4678400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1717 unithd 5520 4681120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1718 unithd 5520 4683840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1719 unithd 5520 4686560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1720 unithd 5520 4689280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1721 unithd 5520 4692000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1722 unithd 5520 4694720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1723 unithd 5520 4697440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1724 unithd 5520 4700160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1725 unithd 5520 4702880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1726 unithd 5520 4705600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1727 unithd 5520 4708320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1728 unithd 5520 4711040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1729 unithd 5520 4713760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1730 unithd 5520 4716480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1731 unithd 5520 4719200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1732 unithd 5520 4721920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1733 unithd 5520 4724640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1734 unithd 5520 4727360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1735 unithd 5520 4730080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1736 unithd 5520 4732800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1737 unithd 5520 4735520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1738 unithd 5520 4738240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1739 unithd 5520 4740960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1740 unithd 5520 4743680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1741 unithd 5520 4746400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1742 unithd 5520 4749120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1743 unithd 5520 4751840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1744 unithd 5520 4754560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1745 unithd 5520 4757280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1746 unithd 5520 4760000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1747 unithd 5520 4762720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1748 unithd 5520 4765440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1749 unithd 5520 4768160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1750 unithd 5520 4770880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1751 unithd 5520 4773600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1752 unithd 5520 4776320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1753 unithd 5520 4779040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1754 unithd 5520 4781760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1755 unithd 5520 4784480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1756 unithd 5520 4787200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1757 unithd 5520 4789920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1758 unithd 5520 4792640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1759 unithd 5520 4795360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1760 unithd 5520 4798080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1761 unithd 5520 4800800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1762 unithd 5520 4803520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1763 unithd 5520 4806240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1764 unithd 5520 4808960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1765 unithd 5520 4811680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1766 unithd 5520 4814400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1767 unithd 5520 4817120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1768 unithd 5520 4819840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1769 unithd 5520 4822560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1770 unithd 5520 4825280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1771 unithd 5520 4828000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1772 unithd 5520 4830720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1773 unithd 5520 4833440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1774 unithd 5520 4836160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1775 unithd 5520 4838880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1776 unithd 5520 4841600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1777 unithd 5520 4844320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1778 unithd 5520 4847040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1779 unithd 5520 4849760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1780 unithd 5520 4852480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1781 unithd 5520 4855200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1782 unithd 5520 4857920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1783 unithd 5520 4860640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1784 unithd 5520 4863360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1785 unithd 5520 4866080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1786 unithd 5520 4868800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1787 unithd 5520 4871520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1788 unithd 5520 4874240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1789 unithd 5520 4876960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1790 unithd 5520 4879680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1791 unithd 5520 4882400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1792 unithd 5520 4885120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1793 unithd 5520 4887840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1794 unithd 5520 4890560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1795 unithd 5520 4893280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1796 unithd 5520 4896000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1797 unithd 5520 4898720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1798 unithd 5520 4901440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1799 unithd 5520 4904160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1800 unithd 5520 4906880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1801 unithd 5520 4909600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1802 unithd 5520 4912320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1803 unithd 5520 4915040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1804 unithd 5520 4917760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1805 unithd 5520 4920480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1806 unithd 5520 4923200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1807 unithd 5520 4925920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1808 unithd 5520 4928640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1809 unithd 5520 4931360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1810 unithd 5520 4934080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1811 unithd 5520 4936800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1812 unithd 5520 4939520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1813 unithd 5520 4942240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1814 unithd 5520 4944960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1815 unithd 5520 4947680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1816 unithd 5520 4950400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1817 unithd 5520 4953120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1818 unithd 5520 4955840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1819 unithd 5520 4958560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1820 unithd 5520 4961280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1821 unithd 5520 4964000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1822 unithd 5520 4966720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1823 unithd 5520 4969440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1824 unithd 5520 4972160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1825 unithd 5520 4974880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1826 unithd 5520 4977600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1827 unithd 5520 4980320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1828 unithd 5520 4983040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1829 unithd 5520 4985760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1830 unithd 5520 4988480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1831 unithd 5520 4991200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1832 unithd 5520 4993920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1833 unithd 5520 4996640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1834 unithd 5520 4999360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1835 unithd 5520 5002080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1836 unithd 5520 5004800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1837 unithd 5520 5007520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1838 unithd 5520 5010240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1839 unithd 5520 5012960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1840 unithd 5520 5015680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1841 unithd 5520 5018400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1842 unithd 5520 5021120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1843 unithd 5520 5023840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1844 unithd 5520 5026560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1845 unithd 5520 5029280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1846 unithd 5520 5032000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1847 unithd 5520 5034720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1848 unithd 5520 5037440 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1849 unithd 5520 5040160 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1850 unithd 5520 5042880 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1851 unithd 5520 5045600 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1852 unithd 5520 5048320 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1853 unithd 5520 5051040 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1854 unithd 5520 5053760 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1855 unithd 5520 5056480 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1856 unithd 5520 5059200 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1857 unithd 5520 5061920 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1858 unithd 5520 5064640 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1859 unithd 5520 5067360 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1860 unithd 5520 5070080 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1861 unithd 5520 5072800 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1862 unithd 5520 5075520 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1863 unithd 5520 5078240 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1864 unithd 5520 5080960 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1865 unithd 5520 5083680 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1866 unithd 5520 5086400 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1867 unithd 5520 5089120 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1868 unithd 5520 5091840 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1869 unithd 5520 5094560 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1870 unithd 5520 5097280 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1871 unithd 5520 5100000 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1872 unithd 5520 5102720 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1873 unithd 5520 5105440 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1874 unithd 5520 5108160 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1875 unithd 5520 5110880 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1876 unithd 5520 5113600 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1877 unithd 5520 5116320 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1878 unithd 5520 5119040 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1879 unithd 5520 5121760 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1880 unithd 5520 5124480 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1881 unithd 5520 5127200 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1882 unithd 5520 5129920 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1883 unithd 5520 5132640 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1884 unithd 5520 5135360 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1885 unithd 5520 5138080 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1886 unithd 5520 5140800 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1887 unithd 5520 5143520 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1888 unithd 5520 5146240 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1889 unithd 5520 5148960 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1890 unithd 5520 5151680 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1891 unithd 5520 5154400 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1892 unithd 5520 5157120 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1893 unithd 5520 5159840 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1894 unithd 5520 5162560 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1895 unithd 5520 5165280 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1896 unithd 5520 5168000 FS DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1897 unithd 5520 5170720 N DO 7776 BY 1 STEP 460 0 ;
ROW ROW_1898 unithd 5520 5173440 FS DO 7776 BY 1 STEP 460 0 ;
TRACKS X 230 DO 7800 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 15259 STEP 340 LAYER li1 ;
TRACKS X 170 DO 10553 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 15259 STEP 340 LAYER met1 ;
TRACKS X 230 DO 7800 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 11278 STEP 460 LAYER met2 ;
TRACKS X 340 DO 5276 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 7629 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3900 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 5639 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 1055 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1526 STEP 3400 LAYER met5 ;
VIAS 2 ;
- via2_FR + RECT met2 ( -140 -185 ) ( 140 185 ) + RECT via2 ( -100 -100 ) ( 100 100 ) + RECT met3 ( -165 -165 ) ( 165 165 ) ;
- via4_FR + RECT met4 ( -590 -590 ) ( 590 590 ) + RECT via4 ( -400 -400 ) ( 400 400 ) + RECT met5 ( -710 -710 ) ( 710 710 ) ;
END VIAS
COMPONENTS 818 ;
- clock_pad sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 932000 0 ) S ;
- flash_clk_pad sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 1749000 0 ) S ;
- flash_csb_pad sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 1475000 0 ) S ;
- flash_io0_pad sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 2023000 0 ) S ;
- flash_io1_pad sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 2297000 0 ) S ;
- gpio_pad sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 2571000 0 ) S ;
- mgmt_corner\[0\] sky130_ef_io__corner_pad + FIXED ( 0 0 ) S ;
- mgmt_corner\[1\] sky130_ef_io__corner_pad + FIXED ( 3384000 0 ) E ;
- mgmt_vccd_lvclamp_pad sky130_ef_io__vccd_lvc_pad + FIXED ( 0 340000 ) W ;
- mgmt_vdda_hvclamp_pad sky130_ef_io__vdda_hvc_pad + FIXED ( 3114000 0 ) S ;
- mgmt_vddio_hvclamp_pad\[0\] sky130_ef_io__vddio_hvc_pad + FIXED ( 0 551000 ) W ;
- mgmt_vddio_hvclamp_pad\[1\] sky130_ef_io__vddio_hvc_pad + FIXED ( 0 4349000 ) W ;
- mgmt_vssa_hvclamp_pad sky130_ef_io__vssa_hvc_pad + FIXED ( 394000 0 ) S ;
- mgmt_vssd_lvclmap_pad sky130_ef_io__vssd_lvc_pad + FIXED ( 1206000 0 ) S ;
- mgmt_vssio_hvclamp_pad\[0\] sky130_ef_io__vssio_hvc_pad + FIXED ( 1667000 4990035 ) N ;
- mgmt_vssio_hvclamp_pad\[1\] sky130_ef_io__vssio_hvc_pad + FIXED ( 2845000 0 ) S ;
- mprj_pads.area1_io_pad\[0\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 500000 ) E ;
- mprj_pads.area1_io_pad\[10\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 3416000 ) E ;
- mprj_pads.area1_io_pad\[11\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 3641000 ) E ;
- mprj_pads.area1_io_pad\[12\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 3866000 ) E ;
- mprj_pads.area1_io_pad\[13\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 4312000 ) E ;
- mprj_pads.area1_io_pad\[14\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 4758000 ) E ;
- mprj_pads.area1_io_pad\[15\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3130000 4977035 ) N ;
- mprj_pads.area1_io_pad\[16\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 2621000 4977035 ) N ;
- mprj_pads.area1_io_pad\[17\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 2364000 4977035 ) N ;
- mprj_pads.area1_io_pad\[1\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 726000 ) E ;
- mprj_pads.area1_io_pad\[2\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 951000 ) E ;
- mprj_pads.area1_io_pad\[3\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 1177000 ) E ;
- mprj_pads.area1_io_pad\[4\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 1402000 ) E ;
- mprj_pads.area1_io_pad\[5\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 1627000 ) E ;
- mprj_pads.area1_io_pad\[6\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 1853000 ) E ;
- mprj_pads.area1_io_pad\[7\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 2739000 ) E ;
- mprj_pads.area1_io_pad\[8\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 2965000 ) E ;
- mprj_pads.area1_io_pad\[9\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 3377035 3190000 ) E ;
- mprj_pads.area2_io_pad\[0\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 1919000 4977035 ) N ;
- mprj_pads.area2_io_pad\[10\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 3274000 ) W ;
- mprj_pads.area2_io_pad\[11\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 3058000 ) W ;
- mprj_pads.area2_io_pad\[12\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 2842000 ) W ;
- mprj_pads.area2_io_pad\[13\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 2626000 ) W ;
- mprj_pads.area2_io_pad\[14\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 1988000 ) W ;
- mprj_pads.area2_io_pad\[15\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 1772000 ) W ;
- mprj_pads.area2_io_pad\[16\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 1556000 ) W ;
- mprj_pads.area2_io_pad\[17\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 1340000 ) W ;
- mprj_pads.area2_io_pad\[18\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 1124000 ) W ;
- mprj_pads.area2_io_pad\[19\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 908000 ) W ;
- mprj_pads.area2_io_pad\[1\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 1410000 4977035 ) N ;
- mprj_pads.area2_io_pad\[2\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 1152000 4977035 ) N ;
- mprj_pads.area2_io_pad\[3\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 895000 4977035 ) N ;
- mprj_pads.area2_io_pad\[4\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 638000 4977035 ) N ;
- mprj_pads.area2_io_pad\[5\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 381000 4977035 ) N ;
- mprj_pads.area2_io_pad\[6\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 4771000 ) W ;
- mprj_pads.area2_io_pad\[7\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 3922000 ) W ;
- mprj_pads.area2_io_pad\[8\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 3706000 ) W ;
- mprj_pads.area2_io_pad\[9\] sky130_ef_io__gpiov2_pad_wrapped + FIXED ( 0 3490000 ) W ;
- resetb_pad sky130_fd_io__top_xres4v2 + FIXED ( 663000 0 ) S ;
- user1_corner sky130_ef_io__corner_pad + FIXED ( 3388000 4984000 ) N ;
- user1_vccd_lvclamp_pad sky130_ef_io__vccd_lvc_pad + FIXED ( 3390035 4538000 ) E ;
- user1_vdda_hvclamp_pad\[0\] sky130_ef_io__vdda_hvc_pad + FIXED ( 3390035 4092000 ) E ;
- user1_vdda_hvclamp_pad\[1\] sky130_ef_io__vdda_hvc_pad + FIXED ( 3390035 2519000 ) E ;
- user1_vssa_hvclamp_pad\[0\] sky130_ef_io__vssa_hvc_pad + FIXED ( 2878000 4990035 ) N ;
- user1_vssa_hvclamp_pad\[1\] sky130_ef_io__vssa_hvc_pad + FIXED ( 3390035 2078000 ) E ;
- user1_vssd_lvclmap_pad sky130_ef_io__vssd_lvc_pad + FIXED ( 3390035 2299000 ) E ;
- user2_corner sky130_ef_io__corner_pad + FIXED ( 0 4988000 ) W ;
- user2_vccd_lvclamp_pad sky130_ef_io__vccd_lvc_pad + FIXED ( 0 4560000 ) W ;
- user2_vdda_hvclamp_pad sky130_ef_io__vdda_hvc_pad + FIXED ( 0 2415000 ) W ;
- user2_vssa_hvclamp_pad sky130_ef_io__vssa_hvc_pad + FIXED ( 0 4138000 ) W ;
- user2_vssd_lvclmap_pad sky130_ef_io__vssd_lvc_pad + FIXED ( 0 2204000 ) W ;
- FILLER_5 sky130_ef_io__com_bus_slice_20um + FIXED ( 204000 4990035 ) N ;
- FILLER_6 sky130_ef_io__com_bus_slice_20um + FIXED ( 224000 4990035 ) N ;
- FILLER_7 sky130_ef_io__com_bus_slice_20um + FIXED ( 244000 4990035 ) N ;
- FILLER_8 sky130_ef_io__com_bus_slice_20um + FIXED ( 264000 4990035 ) N ;
- FILLER_9 sky130_ef_io__com_bus_slice_20um + FIXED ( 284000 4990035 ) N ;
- FILLER_10 sky130_ef_io__com_bus_slice_20um + FIXED ( 304000 4990035 ) N ;
- FILLER_11 sky130_ef_io__com_bus_slice_20um + FIXED ( 324000 4990035 ) N ;
- FILLER_12 sky130_ef_io__com_bus_slice_20um + FIXED ( 344000 4990035 ) N ;
- FILLER_13 sky130_ef_io__com_bus_slice_10um + FIXED ( 364000 4990035 ) N ;
- FILLER_14 sky130_ef_io__com_bus_slice_5um + FIXED ( 374000 4990035 ) N ;
- FILLER_15 sky130_ef_io__com_bus_slice_1um + FIXED ( 379000 4990035 ) N ;
- FILLER_16 sky130_ef_io__com_bus_slice_1um + FIXED ( 380000 4990035 ) N ;
- FILLER_18 sky130_ef_io__com_bus_slice_20um + FIXED ( 461000 4990035 ) N ;
- FILLER_19 sky130_ef_io__com_bus_slice_20um + FIXED ( 481000 4990035 ) N ;
- FILLER_20 sky130_ef_io__com_bus_slice_20um + FIXED ( 501000 4990035 ) N ;
- FILLER_21 sky130_ef_io__com_bus_slice_20um + FIXED ( 521000 4990035 ) N ;
- FILLER_22 sky130_ef_io__com_bus_slice_20um + FIXED ( 541000 4990035 ) N ;
- FILLER_23 sky130_ef_io__com_bus_slice_20um + FIXED ( 561000 4990035 ) N ;
- FILLER_24 sky130_ef_io__com_bus_slice_20um + FIXED ( 581000 4990035 ) N ;
- FILLER_25 sky130_ef_io__com_bus_slice_20um + FIXED ( 601000 4990035 ) N ;
- FILLER_26 sky130_ef_io__com_bus_slice_10um + FIXED ( 621000 4990035 ) N ;
- FILLER_27 sky130_ef_io__com_bus_slice_5um + FIXED ( 631000 4990035 ) N ;
- FILLER_28 sky130_ef_io__com_bus_slice_1um + FIXED ( 636000 4990035 ) N ;
- FILLER_29 sky130_ef_io__com_bus_slice_1um + FIXED ( 637000 4990035 ) N ;
- FILLER_31 sky130_ef_io__com_bus_slice_20um + FIXED ( 718000 4990035 ) N ;
- FILLER_32 sky130_ef_io__com_bus_slice_20um + FIXED ( 738000 4990035 ) N ;
- FILLER_33 sky130_ef_io__com_bus_slice_20um + FIXED ( 758000 4990035 ) N ;
- FILLER_34 sky130_ef_io__com_bus_slice_20um + FIXED ( 778000 4990035 ) N ;
- FILLER_35 sky130_ef_io__com_bus_slice_20um + FIXED ( 798000 4990035 ) N ;
- FILLER_36 sky130_ef_io__com_bus_slice_20um + FIXED ( 818000 4990035 ) N ;
- FILLER_37 sky130_ef_io__com_bus_slice_20um + FIXED ( 838000 4990035 ) N ;
- FILLER_38 sky130_ef_io__com_bus_slice_20um + FIXED ( 858000 4990035 ) N ;
- FILLER_39 sky130_ef_io__com_bus_slice_10um + FIXED ( 878000 4990035 ) N ;
- FILLER_40 sky130_ef_io__com_bus_slice_5um + FIXED ( 888000 4990035 ) N ;
- FILLER_41 sky130_ef_io__com_bus_slice_1um + FIXED ( 893000 4990035 ) N ;
- FILLER_42 sky130_ef_io__com_bus_slice_1um + FIXED ( 894000 4990035 ) N ;
- FILLER_44 sky130_ef_io__com_bus_slice_20um + FIXED ( 975000 4990035 ) N ;
- FILLER_45 sky130_ef_io__com_bus_slice_20um + FIXED ( 995000 4990035 ) N ;
- FILLER_46 sky130_ef_io__com_bus_slice_20um + FIXED ( 1015000 4990035 ) N ;
- FILLER_47 sky130_ef_io__com_bus_slice_20um + FIXED ( 1035000 4990035 ) N ;
- FILLER_48 sky130_ef_io__com_bus_slice_20um + FIXED ( 1055000 4990035 ) N ;
- FILLER_49 sky130_ef_io__com_bus_slice_20um + FIXED ( 1075000 4990035 ) N ;
- FILLER_50 sky130_ef_io__com_bus_slice_20um + FIXED ( 1095000 4990035 ) N ;
- FILLER_51 sky130_ef_io__com_bus_slice_20um + FIXED ( 1115000 4990035 ) N ;
- FILLER_52 sky130_ef_io__com_bus_slice_10um + FIXED ( 1135000 4990035 ) N ;
- FILLER_53 sky130_ef_io__com_bus_slice_5um + FIXED ( 1145000 4990035 ) N ;
- FILLER_54 sky130_ef_io__com_bus_slice_1um + FIXED ( 1150000 4990035 ) N ;
- FILLER_55 sky130_ef_io__com_bus_slice_1um + FIXED ( 1151000 4990035 ) N ;
- FILLER_57 sky130_ef_io__com_bus_slice_20um + FIXED ( 1232000 4990035 ) N ;
- FILLER_58 sky130_ef_io__com_bus_slice_20um + FIXED ( 1252000 4990035 ) N ;
- FILLER_59 sky130_ef_io__com_bus_slice_20um + FIXED ( 1272000 4990035 ) N ;
- FILLER_60 sky130_ef_io__com_bus_slice_20um + FIXED ( 1292000 4990035 ) N ;
- FILLER_61 sky130_ef_io__com_bus_slice_20um + FIXED ( 1312000 4990035 ) N ;
- FILLER_62 sky130_ef_io__com_bus_slice_20um + FIXED ( 1332000 4990035 ) N ;
- FILLER_63 sky130_ef_io__com_bus_slice_20um + FIXED ( 1352000 4990035 ) N ;
- FILLER_64 sky130_ef_io__com_bus_slice_20um + FIXED ( 1372000 4990035 ) N ;
- FILLER_65 sky130_ef_io__com_bus_slice_10um + FIXED ( 1392000 4990035 ) N ;
- FILLER_66 sky130_ef_io__com_bus_slice_5um + FIXED ( 1402000 4990035 ) N ;
- FILLER_67 sky130_ef_io__com_bus_slice_1um + FIXED ( 1407000 4990035 ) N ;
- FILLER_68 sky130_ef_io__com_bus_slice_1um + FIXED ( 1408000 4990035 ) N ;
- FILLER_69 sky130_ef_io__com_bus_slice_1um + FIXED ( 1409000 4990035 ) N ;
- FILLER_71 sky130_ef_io__com_bus_slice_20um + FIXED ( 1490000 4990035 ) N ;
- FILLER_72 sky130_ef_io__com_bus_slice_20um + FIXED ( 1510000 4990035 ) N ;
- FILLER_73 sky130_ef_io__com_bus_slice_20um + FIXED ( 1530000 4990035 ) N ;
- FILLER_74 sky130_ef_io__com_bus_slice_20um + FIXED ( 1550000 4990035 ) N ;
- FILLER_75 sky130_ef_io__com_bus_slice_20um + FIXED ( 1570000 4990035 ) N ;
- FILLER_76 sky130_ef_io__com_bus_slice_20um + FIXED ( 1590000 4990035 ) N ;
- FILLER_77 sky130_ef_io__com_bus_slice_20um + FIXED ( 1610000 4990035 ) N ;
- FILLER_78 sky130_ef_io__com_bus_slice_20um + FIXED ( 1630000 4990035 ) N ;
- FILLER_79 sky130_ef_io__com_bus_slice_10um + FIXED ( 1650000 4990035 ) N ;
- FILLER_80 sky130_ef_io__com_bus_slice_5um + FIXED ( 1660000 4990035 ) N ;
- FILLER_81 sky130_ef_io__com_bus_slice_1um + FIXED ( 1665000 4990035 ) N ;
- FILLER_82 sky130_ef_io__com_bus_slice_1um + FIXED ( 1666000 4990035 ) N ;
- FILLER_84 sky130_ef_io__com_bus_slice_20um + FIXED ( 1742000 4990035 ) N ;
- FILLER_85 sky130_ef_io__com_bus_slice_20um + FIXED ( 1762000 4990035 ) N ;
- FILLER_86 sky130_ef_io__com_bus_slice_20um + FIXED ( 1782000 4990035 ) N ;
- FILLER_87 sky130_ef_io__com_bus_slice_20um + FIXED ( 1802000 4990035 ) N ;
- FILLER_88 sky130_ef_io__com_bus_slice_20um + FIXED ( 1822000 4990035 ) N ;
- FILLER_89 sky130_ef_io__com_bus_slice_20um + FIXED ( 1842000 4990035 ) N ;
- FILLER_90 sky130_ef_io__com_bus_slice_20um + FIXED ( 1862000 4990035 ) N ;
- FILLER_91 sky130_ef_io__com_bus_slice_20um + FIXED ( 1882000 4990035 ) N ;
- FILLER_92 sky130_ef_io__com_bus_slice_10um + FIXED ( 1902000 4990035 ) N ;
- FILLER_93 sky130_ef_io__com_bus_slice_5um + FIXED ( 1912000 4990035 ) N ;
- FILLER_94 sky130_ef_io__com_bus_slice_1um + FIXED ( 1917000 4990035 ) N ;
- FILLER_95 sky130_ef_io__com_bus_slice_1um + FIXED ( 1918000 4990035 ) N ;
- FILLER_97 sky130_ef_io__com_bus_slice_20um + FIXED ( 1999000 4990035 ) N ;
- FILLER_98 sky130_ef_io__com_bus_slice_20um + FIXED ( 2019000 4990035 ) N ;
- FILLER_99 sky130_ef_io__com_bus_slice_20um + FIXED ( 2039000 4990035 ) N ;
- FILLER_100 sky130_ef_io__com_bus_slice_20um + FIXED ( 2059000 4990035 ) N ;
- FILLER_101 sky130_ef_io__com_bus_slice_20um + FIXED ( 2079000 4990035 ) N ;
- FILLER_102 sky130_ef_io__com_bus_slice_20um + FIXED ( 2099000 4990035 ) N ;
- FILLER_103 sky130_ef_io__com_bus_slice_20um + FIXED ( 2119000 4990035 ) N ;
- FILLER_104 sky130_ef_io__com_bus_slice_20um + FIXED ( 2139000 4990035 ) N ;
- FILLER_105 sky130_ef_io__com_bus_slice_10um + FIXED ( 2159000 4990035 ) N ;
- FILLER_106 sky130_ef_io__com_bus_slice_5um + FIXED ( 2169000 4990035 ) N ;
- FILLER_107 sky130_ef_io__com_bus_slice_1um + FIXED ( 2174000 4990035 ) N ;
- FILLER_108 sky130_ef_io__com_bus_slice_1um + FIXED ( 2175000 4990035 ) N ;
- disconnect_vdda_0 sky130_ef_io__disconnect_vdda_slice_5um + FIXED ( 2176000 4990035 ) N ;
- disconnect_vccd_0 sky130_ef_io__disconnect_vccd_slice_5um + FIXED ( 2181000 4990035 ) N ;
- FILLER_111 sky130_ef_io__com_bus_slice_20um + FIXED ( 2186000 4990035 ) N ;
- FILLER_112 sky130_ef_io__com_bus_slice_20um + FIXED ( 2206000 4990035 ) N ;
- FILLER_113 sky130_ef_io__com_bus_slice_20um + FIXED ( 2226000 4990035 ) N ;
- FILLER_114 sky130_ef_io__com_bus_slice_20um + FIXED ( 2246000 4990035 ) N ;
- FILLER_115 sky130_ef_io__com_bus_slice_20um + FIXED ( 2266000 4990035 ) N ;
- FILLER_116 sky130_ef_io__com_bus_slice_20um + FIXED ( 2286000 4990035 ) N ;
- FILLER_117 sky130_ef_io__com_bus_slice_20um + FIXED ( 2306000 4990035 ) N ;
- FILLER_118 sky130_ef_io__com_bus_slice_20um + FIXED ( 2326000 4990035 ) N ;
- FILLER_119 sky130_ef_io__com_bus_slice_10um + FIXED ( 2346000 4990035 ) N ;
- FILLER_120 sky130_ef_io__com_bus_slice_5um + FIXED ( 2356000 4990035 ) N ;
- FILLER_121 sky130_ef_io__com_bus_slice_1um + FIXED ( 2361000 4990035 ) N ;
- FILLER_122 sky130_ef_io__com_bus_slice_1um + FIXED ( 2362000 4990035 ) N ;
- FILLER_123 sky130_ef_io__com_bus_slice_1um + FIXED ( 2363000 4990035 ) N ;
- FILLER_125 sky130_ef_io__com_bus_slice_20um + FIXED ( 2444000 4990035 ) N ;
- FILLER_126 sky130_ef_io__com_bus_slice_20um + FIXED ( 2464000 4990035 ) N ;
- FILLER_127 sky130_ef_io__com_bus_slice_20um + FIXED ( 2484000 4990035 ) N ;
- FILLER_128 sky130_ef_io__com_bus_slice_20um + FIXED ( 2504000 4990035 ) N ;
- FILLER_129 sky130_ef_io__com_bus_slice_20um + FIXED ( 2524000 4990035 ) N ;
- FILLER_130 sky130_ef_io__com_bus_slice_20um + FIXED ( 2544000 4990035 ) N ;
- FILLER_131 sky130_ef_io__com_bus_slice_20um + FIXED ( 2564000 4990035 ) N ;
- FILLER_132 sky130_ef_io__com_bus_slice_20um + FIXED ( 2584000 4990035 ) N ;
- FILLER_133 sky130_ef_io__com_bus_slice_10um + FIXED ( 2604000 4990035 ) N ;
- FILLER_134 sky130_ef_io__com_bus_slice_5um + FIXED ( 2614000 4990035 ) N ;
- FILLER_135 sky130_ef_io__com_bus_slice_1um + FIXED ( 2619000 4990035 ) N ;
- FILLER_136 sky130_ef_io__com_bus_slice_1um + FIXED ( 2620000 4990035 ) N ;
- FILLER_138 sky130_ef_io__com_bus_slice_20um + FIXED ( 2701000 4990035 ) N ;
- FILLER_139 sky130_ef_io__com_bus_slice_20um + FIXED ( 2721000 4990035 ) N ;
- FILLER_140 sky130_ef_io__com_bus_slice_20um + FIXED ( 2741000 4990035 ) N ;
- FILLER_141 sky130_ef_io__com_bus_slice_20um + FIXED ( 2761000 4990035 ) N ;
- FILLER_142 sky130_ef_io__com_bus_slice_20um + FIXED ( 2781000 4990035 ) N ;
- FILLER_143 sky130_ef_io__com_bus_slice_20um + FIXED ( 2801000 4990035 ) N ;
- FILLER_144 sky130_ef_io__com_bus_slice_20um + FIXED ( 2821000 4990035 ) N ;
- FILLER_145 sky130_ef_io__com_bus_slice_20um + FIXED ( 2841000 4990035 ) N ;
- FILLER_146 sky130_ef_io__com_bus_slice_10um + FIXED ( 2861000 4990035 ) N ;
- FILLER_147 sky130_ef_io__com_bus_slice_5um + FIXED ( 2871000 4990035 ) N ;
- FILLER_148 sky130_ef_io__com_bus_slice_1um + FIXED ( 2876000 4990035 ) N ;
- FILLER_149 sky130_ef_io__com_bus_slice_1um + FIXED ( 2877000 4990035 ) N ;
- FILLER_151 sky130_ef_io__com_bus_slice_20um + FIXED ( 2953000 4990035 ) N ;
- FILLER_152 sky130_ef_io__com_bus_slice_20um + FIXED ( 2973000 4990035 ) N ;
- FILLER_153 sky130_ef_io__com_bus_slice_20um + FIXED ( 2993000 4990035 ) N ;
- FILLER_154 sky130_ef_io__com_bus_slice_20um + FIXED ( 3013000 4990035 ) N ;
- FILLER_155 sky130_ef_io__com_bus_slice_20um + FIXED ( 3033000 4990035 ) N ;
- FILLER_156 sky130_ef_io__com_bus_slice_20um + FIXED ( 3053000 4990035 ) N ;
- FILLER_157 sky130_ef_io__com_bus_slice_20um + FIXED ( 3073000 4990035 ) N ;
- FILLER_158 sky130_ef_io__com_bus_slice_20um + FIXED ( 3093000 4990035 ) N ;
- FILLER_159 sky130_ef_io__com_bus_slice_10um + FIXED ( 3113000 4990035 ) N ;
- FILLER_160 sky130_ef_io__com_bus_slice_5um + FIXED ( 3123000 4990035 ) N ;
- FILLER_161 sky130_ef_io__com_bus_slice_1um + FIXED ( 3128000 4990035 ) N ;
- FILLER_162 sky130_ef_io__com_bus_slice_1um + FIXED ( 3129000 4990035 ) N ;
- FILLER_164 sky130_ef_io__com_bus_slice_20um + FIXED ( 3210000 4990035 ) N ;
- FILLER_165 sky130_ef_io__com_bus_slice_20um + FIXED ( 3230000 4990035 ) N ;
- FILLER_166 sky130_ef_io__com_bus_slice_20um + FIXED ( 3250000 4990035 ) N ;
- FILLER_167 sky130_ef_io__com_bus_slice_20um + FIXED ( 3270000 4990035 ) N ;
- FILLER_168 sky130_ef_io__com_bus_slice_20um + FIXED ( 3290000 4990035 ) N ;
- FILLER_169 sky130_ef_io__com_bus_slice_20um + FIXED ( 3310000 4990035 ) N ;
- FILLER_170 sky130_ef_io__com_bus_slice_20um + FIXED ( 3330000 4990035 ) N ;
- FILLER_171 sky130_ef_io__com_bus_slice_20um + FIXED ( 3350000 4990035 ) N ;
- FILLER_172 sky130_ef_io__com_bus_slice_10um + FIXED ( 3370000 4990035 ) N ;
- FILLER_173 sky130_ef_io__com_bus_slice_5um + FIXED ( 3380000 4990035 ) N ;
- FILLER_174 sky130_ef_io__com_bus_slice_1um + FIXED ( 3385000 4990035 ) N ;
- FILLER_175 sky130_ef_io__com_bus_slice_1um + FIXED ( 3386000 4990035 ) N ;
- FILLER_176 sky130_ef_io__com_bus_slice_1um + FIXED ( 3387000 4990035 ) N ;
- FILLER_177 sky130_ef_io__com_bus_slice_20um + FIXED ( 200000 0 ) S ;
- FILLER_178 sky130_ef_io__com_bus_slice_10um + FIXED ( 220000 0 ) S ;
- FILLER_179 sky130_ef_io__com_bus_slice_5um + FIXED ( 230000 0 ) S ;
- FILLER_180 sky130_ef_io__com_bus_slice_1um + FIXED ( 235000 0 ) S ;
- FILLER_181 sky130_ef_io__com_bus_slice_1um + FIXED ( 236000 0 ) S ;
- bus_tie_1 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 237000 0 ) S ;
- bus_tie_2 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 257000 0 ) S ;
- bus_tie_3 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 277000 0 ) S ;
- bus_tie_4 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 297000 0 ) S ;
- bus_tie_5 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 317000 0 ) S ;
- bus_tie_6 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 337000 0 ) S ;
- FILLER_188 sky130_ef_io__com_bus_slice_20um + FIXED ( 357000 0 ) S ;
- FILLER_189 sky130_ef_io__com_bus_slice_10um + FIXED ( 377000 0 ) S ;
- FILLER_190 sky130_ef_io__com_bus_slice_5um + FIXED ( 387000 0 ) S ;
- FILLER_191 sky130_ef_io__com_bus_slice_1um + FIXED ( 392000 0 ) S ;
- FILLER_192 sky130_ef_io__com_bus_slice_1um + FIXED ( 393000 0 ) S ;
- FILLER_194 sky130_ef_io__com_bus_slice_20um + FIXED ( 469000 0 ) S ;
- FILLER_195 sky130_ef_io__com_bus_slice_10um + FIXED ( 489000 0 ) S ;
- FILLER_196 sky130_ef_io__com_bus_slice_5um + FIXED ( 499000 0 ) S ;
- FILLER_197 sky130_ef_io__com_bus_slice_1um + FIXED ( 504000 0 ) S ;
- FILLER_198 sky130_ef_io__com_bus_slice_1um + FIXED ( 505000 0 ) S ;
- bus_tie_7 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 506000 0 ) S ;
- bus_tie_8 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 526000 0 ) S ;
- bus_tie_9 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 546000 0 ) S ;
- bus_tie_10 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 566000 0 ) S ;
- bus_tie_11 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 586000 0 ) S ;
- bus_tie_12 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 606000 0 ) S ;
- FILLER_205 sky130_ef_io__com_bus_slice_20um + FIXED ( 626000 0 ) S ;
- FILLER_206 sky130_ef_io__com_bus_slice_10um + FIXED ( 646000 0 ) S ;
- FILLER_207 sky130_ef_io__com_bus_slice_5um + FIXED ( 656000 0 ) S ;
- FILLER_208 sky130_ef_io__com_bus_slice_1um + FIXED ( 661000 0 ) S ;
- FILLER_209 sky130_ef_io__com_bus_slice_1um + FIXED ( 662000 0 ) S ;
- FILLER_211 sky130_ef_io__com_bus_slice_20um + FIXED ( 738000 0 ) S ;
- FILLER_212 sky130_ef_io__com_bus_slice_10um + FIXED ( 758000 0 ) S ;
- FILLER_213 sky130_ef_io__com_bus_slice_5um + FIXED ( 768000 0 ) S ;
- FILLER_214 sky130_ef_io__com_bus_slice_1um + FIXED ( 773000 0 ) S ;
- FILLER_215 sky130_ef_io__com_bus_slice_1um + FIXED ( 774000 0 ) S ;
- bus_tie_13 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 775000 0 ) S ;
- bus_tie_14 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 795000 0 ) S ;
- bus_tie_15 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 815000 0 ) S ;
- bus_tie_16 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 835000 0 ) S ;
- bus_tie_17 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 855000 0 ) S ;
- bus_tie_18 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 875000 0 ) S ;
- FILLER_222 sky130_ef_io__com_bus_slice_20um + FIXED ( 895000 0 ) S ;
- FILLER_223 sky130_ef_io__com_bus_slice_10um + FIXED ( 915000 0 ) S ;
- FILLER_224 sky130_ef_io__com_bus_slice_5um + FIXED ( 925000 0 ) S ;
- FILLER_225 sky130_ef_io__com_bus_slice_1um + FIXED ( 930000 0 ) S ;
- FILLER_226 sky130_ef_io__com_bus_slice_1um + FIXED ( 931000 0 ) S ;
- FILLER_228 sky130_ef_io__com_bus_slice_20um + FIXED ( 1012000 0 ) S ;
- FILLER_229 sky130_ef_io__com_bus_slice_10um + FIXED ( 1032000 0 ) S ;
- FILLER_230 sky130_ef_io__com_bus_slice_5um + FIXED ( 1042000 0 ) S ;
- FILLER_231 sky130_ef_io__com_bus_slice_1um + FIXED ( 1047000 0 ) S ;
- FILLER_232 sky130_ef_io__com_bus_slice_1um + FIXED ( 1048000 0 ) S ;
- bus_tie_19 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1049000 0 ) S ;
- bus_tie_20 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1069000 0 ) S ;
- bus_tie_21 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1089000 0 ) S ;
- bus_tie_22 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1109000 0 ) S ;
- bus_tie_23 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1129000 0 ) S ;
- bus_tie_24 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1149000 0 ) S ;
- FILLER_239 sky130_ef_io__com_bus_slice_20um + FIXED ( 1169000 0 ) S ;
- FILLER_240 sky130_ef_io__com_bus_slice_10um + FIXED ( 1189000 0 ) S ;
- FILLER_241 sky130_ef_io__com_bus_slice_5um + FIXED ( 1199000 0 ) S ;
- FILLER_242 sky130_ef_io__com_bus_slice_1um + FIXED ( 1204000 0 ) S ;
- FILLER_243 sky130_ef_io__com_bus_slice_1um + FIXED ( 1205000 0 ) S ;
- FILLER_245 sky130_ef_io__com_bus_slice_20um + FIXED ( 1281000 0 ) S ;
- FILLER_246 sky130_ef_io__com_bus_slice_10um + FIXED ( 1301000 0 ) S ;
- FILLER_247 sky130_ef_io__com_bus_slice_5um + FIXED ( 1311000 0 ) S ;
- FILLER_248 sky130_ef_io__com_bus_slice_1um + FIXED ( 1316000 0 ) S ;
- FILLER_249 sky130_ef_io__com_bus_slice_1um + FIXED ( 1317000 0 ) S ;
- bus_tie_25 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1318000 0 ) S ;
- bus_tie_26 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1338000 0 ) S ;
- bus_tie_27 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1358000 0 ) S ;
- bus_tie_28 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1378000 0 ) S ;
- bus_tie_29 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1398000 0 ) S ;
- bus_tie_30 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1418000 0 ) S ;
- FILLER_256 sky130_ef_io__com_bus_slice_20um + FIXED ( 1438000 0 ) S ;
- FILLER_257 sky130_ef_io__com_bus_slice_10um + FIXED ( 1458000 0 ) S ;
- FILLER_258 sky130_ef_io__com_bus_slice_5um + FIXED ( 1468000 0 ) S ;
- FILLER_259 sky130_ef_io__com_bus_slice_1um + FIXED ( 1473000 0 ) S ;
- FILLER_260 sky130_ef_io__com_bus_slice_1um + FIXED ( 1474000 0 ) S ;
- FILLER_262 sky130_ef_io__com_bus_slice_20um + FIXED ( 1555000 0 ) S ;
- FILLER_263 sky130_ef_io__com_bus_slice_10um + FIXED ( 1575000 0 ) S ;
- FILLER_264 sky130_ef_io__com_bus_slice_5um + FIXED ( 1585000 0 ) S ;
- FILLER_265 sky130_ef_io__com_bus_slice_1um + FIXED ( 1590000 0 ) S ;
- FILLER_266 sky130_ef_io__com_bus_slice_1um + FIXED ( 1591000 0 ) S ;
- bus_tie_31 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1592000 0 ) S ;
- bus_tie_32 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1612000 0 ) S ;
- bus_tie_33 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1632000 0 ) S ;
- bus_tie_34 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1652000 0 ) S ;
- bus_tie_35 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1672000 0 ) S ;
- bus_tie_36 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1692000 0 ) S ;
- FILLER_273 sky130_ef_io__com_bus_slice_20um + FIXED ( 1712000 0 ) S ;
- FILLER_274 sky130_ef_io__com_bus_slice_10um + FIXED ( 1732000 0 ) S ;
- FILLER_275 sky130_ef_io__com_bus_slice_5um + FIXED ( 1742000 0 ) S ;
- FILLER_276 sky130_ef_io__com_bus_slice_1um + FIXED ( 1747000 0 ) S ;
- FILLER_277 sky130_ef_io__com_bus_slice_1um + FIXED ( 1748000 0 ) S ;
- FILLER_279 sky130_ef_io__com_bus_slice_20um + FIXED ( 1829000 0 ) S ;
- FILLER_280 sky130_ef_io__com_bus_slice_10um + FIXED ( 1849000 0 ) S ;
- FILLER_281 sky130_ef_io__com_bus_slice_5um + FIXED ( 1859000 0 ) S ;
- FILLER_282 sky130_ef_io__com_bus_slice_1um + FIXED ( 1864000 0 ) S ;
- FILLER_283 sky130_ef_io__com_bus_slice_1um + FIXED ( 1865000 0 ) S ;
- bus_tie_37 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1866000 0 ) S ;
- bus_tie_38 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1886000 0 ) S ;
- bus_tie_39 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1906000 0 ) S ;
- bus_tie_40 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1926000 0 ) S ;
- bus_tie_41 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1946000 0 ) S ;
- bus_tie_42 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 1966000 0 ) S ;
- FILLER_290 sky130_ef_io__com_bus_slice_20um + FIXED ( 1986000 0 ) S ;
- FILLER_291 sky130_ef_io__com_bus_slice_10um + FIXED ( 2006000 0 ) S ;
- FILLER_292 sky130_ef_io__com_bus_slice_5um + FIXED ( 2016000 0 ) S ;
- FILLER_293 sky130_ef_io__com_bus_slice_1um + FIXED ( 2021000 0 ) S ;
- FILLER_294 sky130_ef_io__com_bus_slice_1um + FIXED ( 2022000 0 ) S ;
- FILLER_296 sky130_ef_io__com_bus_slice_20um + FIXED ( 2103000 0 ) S ;
- FILLER_297 sky130_ef_io__com_bus_slice_10um + FIXED ( 2123000 0 ) S ;
- FILLER_298 sky130_ef_io__com_bus_slice_5um + FIXED ( 2133000 0 ) S ;
- FILLER_299 sky130_ef_io__com_bus_slice_1um + FIXED ( 2138000 0 ) S ;
- FILLER_300 sky130_ef_io__com_bus_slice_1um + FIXED ( 2139000 0 ) S ;
- bus_tie_43 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2140000 0 ) S ;
- bus_tie_44 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2160000 0 ) S ;
- bus_tie_45 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2180000 0 ) S ;
- bus_tie_46 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2200000 0 ) S ;
- bus_tie_47 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2220000 0 ) S ;
- bus_tie_48 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2240000 0 ) S ;
- FILLER_307 sky130_ef_io__com_bus_slice_20um + FIXED ( 2260000 0 ) S ;
- FILLER_308 sky130_ef_io__com_bus_slice_10um + FIXED ( 2280000 0 ) S ;
- FILLER_309 sky130_ef_io__com_bus_slice_5um + FIXED ( 2290000 0 ) S ;
- FILLER_310 sky130_ef_io__com_bus_slice_1um + FIXED ( 2295000 0 ) S ;
- FILLER_311 sky130_ef_io__com_bus_slice_1um + FIXED ( 2296000 0 ) S ;
- FILLER_313 sky130_ef_io__com_bus_slice_20um + FIXED ( 2377000 0 ) S ;
- FILLER_314 sky130_ef_io__com_bus_slice_10um + FIXED ( 2397000 0 ) S ;
- FILLER_315 sky130_ef_io__com_bus_slice_5um + FIXED ( 2407000 0 ) S ;
- FILLER_316 sky130_ef_io__com_bus_slice_1um + FIXED ( 2412000 0 ) S ;
- FILLER_317 sky130_ef_io__com_bus_slice_1um + FIXED ( 2413000 0 ) S ;
- bus_tie_49 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2414000 0 ) S ;
- bus_tie_50 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2434000 0 ) S ;
- bus_tie_51 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2454000 0 ) S ;
- bus_tie_52 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2474000 0 ) S ;
- bus_tie_53 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2494000 0 ) S ;
- bus_tie_54 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2514000 0 ) S ;
- FILLER_324 sky130_ef_io__com_bus_slice_20um + FIXED ( 2534000 0 ) S ;
- FILLER_325 sky130_ef_io__com_bus_slice_10um + FIXED ( 2554000 0 ) S ;
- FILLER_326 sky130_ef_io__com_bus_slice_5um + FIXED ( 2564000 0 ) S ;
- FILLER_327 sky130_ef_io__com_bus_slice_1um + FIXED ( 2569000 0 ) S ;
- FILLER_328 sky130_ef_io__com_bus_slice_1um + FIXED ( 2570000 0 ) S ;
- FILLER_330 sky130_ef_io__com_bus_slice_20um + FIXED ( 2651000 0 ) S ;
- FILLER_331 sky130_ef_io__com_bus_slice_10um + FIXED ( 2671000 0 ) S ;
- FILLER_332 sky130_ef_io__com_bus_slice_5um + FIXED ( 2681000 0 ) S ;
- FILLER_333 sky130_ef_io__com_bus_slice_1um + FIXED ( 2686000 0 ) S ;
- FILLER_334 sky130_ef_io__com_bus_slice_1um + FIXED ( 2687000 0 ) S ;
- bus_tie_55 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2688000 0 ) S ;
- bus_tie_56 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2708000 0 ) S ;
- bus_tie_57 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2728000 0 ) S ;
- bus_tie_58 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2748000 0 ) S ;
- bus_tie_59 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2768000 0 ) S ;
- bus_tie_60 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2788000 0 ) S ;
- FILLER_341 sky130_ef_io__com_bus_slice_20um + FIXED ( 2808000 0 ) S ;
- FILLER_342 sky130_ef_io__com_bus_slice_10um + FIXED ( 2828000 0 ) S ;
- FILLER_343 sky130_ef_io__com_bus_slice_5um + FIXED ( 2838000 0 ) S ;
- FILLER_344 sky130_ef_io__com_bus_slice_1um + FIXED ( 2843000 0 ) S ;
- FILLER_345 sky130_ef_io__com_bus_slice_1um + FIXED ( 2844000 0 ) S ;
- FILLER_347 sky130_ef_io__com_bus_slice_20um + FIXED ( 2920000 0 ) S ;
- FILLER_348 sky130_ef_io__com_bus_slice_10um + FIXED ( 2940000 0 ) S ;
- FILLER_349 sky130_ef_io__com_bus_slice_5um + FIXED ( 2950000 0 ) S ;
- FILLER_350 sky130_ef_io__com_bus_slice_1um + FIXED ( 2955000 0 ) S ;
- FILLER_351 sky130_ef_io__com_bus_slice_1um + FIXED ( 2956000 0 ) S ;
- bus_tie_61 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2957000 0 ) S ;
- bus_tie_62 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2977000 0 ) S ;
- bus_tie_63 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 2997000 0 ) S ;
- bus_tie_64 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3017000 0 ) S ;
- bus_tie_65 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3037000 0 ) S ;
- bus_tie_66 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3057000 0 ) S ;
- FILLER_358 sky130_ef_io__com_bus_slice_20um + FIXED ( 3077000 0 ) S ;
- FILLER_359 sky130_ef_io__com_bus_slice_10um + FIXED ( 3097000 0 ) S ;
- FILLER_360 sky130_ef_io__com_bus_slice_5um + FIXED ( 3107000 0 ) S ;
- FILLER_361 sky130_ef_io__com_bus_slice_1um + FIXED ( 3112000 0 ) S ;
- FILLER_362 sky130_ef_io__com_bus_slice_1um + FIXED ( 3113000 0 ) S ;
- FILLER_364 sky130_ef_io__com_bus_slice_20um + FIXED ( 3189000 0 ) S ;
- FILLER_365 sky130_ef_io__com_bus_slice_10um + FIXED ( 3209000 0 ) S ;
- FILLER_366 sky130_ef_io__com_bus_slice_5um + FIXED ( 3219000 0 ) S ;
- FILLER_367 sky130_ef_io__com_bus_slice_1um + FIXED ( 3224000 0 ) S ;
- FILLER_368 sky130_ef_io__com_bus_slice_1um + FIXED ( 3225000 0 ) S ;
- bus_tie_67 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3226000 0 ) S ;
- bus_tie_68 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3246000 0 ) S ;
- bus_tie_69 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3266000 0 ) S ;
- bus_tie_70 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3286000 0 ) S ;
- bus_tie_71 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3306000 0 ) S ;
- bus_tie_72 sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um + FIXED ( 3326000 0 ) S ;
- FILLER_375 sky130_ef_io__com_bus_slice_20um + FIXED ( 3346000 0 ) S ;
- FILLER_376 sky130_ef_io__com_bus_slice_10um + FIXED ( 3366000 0 ) S ;
- FILLER_377 sky130_ef_io__com_bus_slice_5um + FIXED ( 3376000 0 ) S ;
- FILLER_378 sky130_ef_io__com_bus_slice_1um + FIXED ( 3381000 0 ) S ;
- FILLER_379 sky130_ef_io__com_bus_slice_1um + FIXED ( 3382000 0 ) S ;
- FILLER_380 sky130_ef_io__com_bus_slice_1um + FIXED ( 3383000 0 ) S ;
- FILLER_381 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 204000 ) W ;
- FILLER_382 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 224000 ) W ;
- FILLER_383 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 244000 ) W ;
- FILLER_384 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 264000 ) W ;
- FILLER_385 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 284000 ) W ;
- FILLER_386 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 304000 ) W ;
- FILLER_387 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 324000 ) W ;
- FILLER_388 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 334000 ) W ;
- FILLER_389 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 339000 ) W ;
- FILLER_391 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 415000 ) W ;
- FILLER_392 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 435000 ) W ;
- FILLER_393 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 455000 ) W ;
- FILLER_394 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 475000 ) W ;
- FILLER_395 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 495000 ) W ;
- FILLER_396 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 515000 ) W ;
- FILLER_397 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 535000 ) W ;
- FILLER_398 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 545000 ) W ;
- FILLER_399 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 550000 ) W ;
- FILLER_401 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 626000 ) W ;
- FILLER_402 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 646000 ) W ;
- FILLER_403 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 666000 ) W ;
- FILLER_404 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 686000 ) W ;
- FILLER_405 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 706000 ) W ;
- FILLER_406 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 726000 ) W ;
- FILLER_407 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 746000 ) W ;
- FILLER_408 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 756000 ) W ;
- FILLER_409 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 761000 ) W ;
- disconnect_vdda_2 sky130_ef_io__disconnect_vdda_slice_5um + FIXED ( 0 762000 ) W ;
- disconnect_vccd_2 sky130_ef_io__disconnect_vccd_slice_5um + FIXED ( 0 767000 ) W ;
- FILLER_412 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 772000 ) W ;
- FILLER_413 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 792000 ) W ;
- FILLER_414 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 812000 ) W ;
- FILLER_415 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 832000 ) W ;
- FILLER_416 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 852000 ) W ;
- FILLER_417 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 872000 ) W ;
- FILLER_418 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 892000 ) W ;
- FILLER_419 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 902000 ) W ;
- FILLER_420 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 907000 ) W ;
- FILLER_422 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 988000 ) W ;
- FILLER_423 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1008000 ) W ;
- FILLER_424 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1028000 ) W ;
- FILLER_425 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1048000 ) W ;
- FILLER_426 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1068000 ) W ;
- FILLER_427 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1088000 ) W ;
- FILLER_428 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 1108000 ) W ;
- FILLER_429 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1118000 ) W ;
- FILLER_430 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1123000 ) W ;
- FILLER_432 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1204000 ) W ;
- FILLER_433 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1224000 ) W ;
- FILLER_434 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1244000 ) W ;
- FILLER_435 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1264000 ) W ;
- FILLER_436 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1284000 ) W ;
- FILLER_437 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1304000 ) W ;
- FILLER_438 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 1324000 ) W ;
- FILLER_439 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1334000 ) W ;
- FILLER_440 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1339000 ) W ;
- FILLER_442 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1420000 ) W ;
- FILLER_443 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1440000 ) W ;
- FILLER_444 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1460000 ) W ;
- FILLER_445 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1480000 ) W ;
- FILLER_446 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1500000 ) W ;
- FILLER_447 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1520000 ) W ;
- FILLER_448 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 1540000 ) W ;
- FILLER_449 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1550000 ) W ;
- FILLER_450 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1555000 ) W ;
- FILLER_452 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1636000 ) W ;
- FILLER_453 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1656000 ) W ;
- FILLER_454 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1676000 ) W ;
- FILLER_455 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1696000 ) W ;
- FILLER_456 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1716000 ) W ;
- FILLER_457 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1736000 ) W ;
- FILLER_458 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 1756000 ) W ;
- FILLER_459 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1766000 ) W ;
- FILLER_460 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1771000 ) W ;
- FILLER_462 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1852000 ) W ;
- FILLER_463 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1872000 ) W ;
- FILLER_464 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1892000 ) W ;
- FILLER_465 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1912000 ) W ;
- FILLER_466 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1932000 ) W ;
- FILLER_467 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1952000 ) W ;
- FILLER_468 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 1972000 ) W ;
- FILLER_469 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1982000 ) W ;
- FILLER_470 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1987000 ) W ;
- FILLER_472 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2068000 ) W ;
- FILLER_473 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2088000 ) W ;
- FILLER_474 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2108000 ) W ;
- FILLER_475 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2128000 ) W ;
- FILLER_476 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2148000 ) W ;
- FILLER_477 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2168000 ) W ;
- FILLER_478 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 2188000 ) W ;
- FILLER_479 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2198000 ) W ;
- FILLER_480 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2203000 ) W ;
- FILLER_482 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2279000 ) W ;
- FILLER_483 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2299000 ) W ;
- FILLER_484 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2319000 ) W ;
- FILLER_485 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2339000 ) W ;
- FILLER_486 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2359000 ) W ;
- FILLER_487 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2379000 ) W ;
- FILLER_488 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 2399000 ) W ;
- FILLER_489 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2409000 ) W ;
- FILLER_490 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2414000 ) W ;
- FILLER_492 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2490000 ) W ;
- FILLER_493 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2510000 ) W ;
- FILLER_494 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2530000 ) W ;
- FILLER_495 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2550000 ) W ;
- FILLER_496 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2570000 ) W ;
- FILLER_497 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2590000 ) W ;
- FILLER_498 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 2610000 ) W ;
- FILLER_499 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2620000 ) W ;
- FILLER_500 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2625000 ) W ;
- FILLER_502 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2706000 ) W ;
- FILLER_503 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2726000 ) W ;
- FILLER_504 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2746000 ) W ;
- FILLER_505 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2766000 ) W ;
- FILLER_506 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2786000 ) W ;
- FILLER_507 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2806000 ) W ;
- FILLER_508 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 2826000 ) W ;
- FILLER_509 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2836000 ) W ;
- FILLER_510 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2841000 ) W ;
- FILLER_512 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2922000 ) W ;
- FILLER_513 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2942000 ) W ;
- FILLER_514 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2962000 ) W ;
- FILLER_515 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2982000 ) W ;
- FILLER_516 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3002000 ) W ;
- FILLER_517 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3022000 ) W ;
- FILLER_518 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 3042000 ) W ;
- FILLER_519 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3052000 ) W ;
- FILLER_520 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3057000 ) W ;
- FILLER_522 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3138000 ) W ;
- FILLER_523 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3158000 ) W ;
- FILLER_524 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3178000 ) W ;
- FILLER_525 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3198000 ) W ;
- FILLER_526 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3218000 ) W ;
- FILLER_527 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3238000 ) W ;
- FILLER_528 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 3258000 ) W ;
- FILLER_529 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3268000 ) W ;
- FILLER_530 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3273000 ) W ;
- FILLER_532 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3354000 ) W ;
- FILLER_533 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3374000 ) W ;
- FILLER_534 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3394000 ) W ;
- FILLER_535 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3414000 ) W ;
- FILLER_536 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3434000 ) W ;
- FILLER_537 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3454000 ) W ;
- FILLER_538 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 3474000 ) W ;
- FILLER_539 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3484000 ) W ;
- FILLER_540 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3489000 ) W ;
- FILLER_542 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3570000 ) W ;
- FILLER_543 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3590000 ) W ;
- FILLER_544 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3610000 ) W ;
- FILLER_545 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3630000 ) W ;
- FILLER_546 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3650000 ) W ;
- FILLER_547 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3670000 ) W ;
- FILLER_548 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 3690000 ) W ;
- FILLER_549 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3700000 ) W ;
- FILLER_550 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3705000 ) W ;
- FILLER_552 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3786000 ) W ;
- FILLER_553 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3806000 ) W ;
- FILLER_554 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3826000 ) W ;
- FILLER_555 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3846000 ) W ;
- FILLER_556 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3866000 ) W ;
- FILLER_557 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3886000 ) W ;
- FILLER_558 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 3906000 ) W ;
- FILLER_559 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3916000 ) W ;
- FILLER_560 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3921000 ) W ;
- FILLER_562 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4002000 ) W ;
- FILLER_563 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4022000 ) W ;
- FILLER_564 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4042000 ) W ;
- FILLER_565 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4062000 ) W ;
- FILLER_566 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4082000 ) W ;
- FILLER_567 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4102000 ) W ;
- FILLER_568 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 4122000 ) W ;
- FILLER_569 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4132000 ) W ;
- FILLER_570 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4137000 ) W ;
- FILLER_572 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4213000 ) W ;
- FILLER_573 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4233000 ) W ;
- FILLER_574 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4253000 ) W ;
- FILLER_575 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4273000 ) W ;
- FILLER_576 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4293000 ) W ;
- FILLER_577 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4313000 ) W ;
- FILLER_578 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 4333000 ) W ;
- FILLER_579 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4343000 ) W ;
- FILLER_580 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4348000 ) W ;
- FILLER_582 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4424000 ) W ;
- FILLER_583 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4444000 ) W ;
- FILLER_584 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4464000 ) W ;
- FILLER_585 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4484000 ) W ;
- FILLER_586 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4504000 ) W ;
- FILLER_587 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4524000 ) W ;
- FILLER_588 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 4544000 ) W ;
- FILLER_589 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4554000 ) W ;
- FILLER_590 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4559000 ) W ;
- FILLER_592 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4635000 ) W ;
- FILLER_593 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4655000 ) W ;
- FILLER_594 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4675000 ) W ;
- FILLER_595 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4695000 ) W ;
- FILLER_596 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4715000 ) W ;
- FILLER_597 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4735000 ) W ;
- FILLER_598 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 4755000 ) W ;
- FILLER_599 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4765000 ) W ;
- FILLER_600 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4770000 ) W ;
- FILLER_602 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4851000 ) W ;
- FILLER_603 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4871000 ) W ;
- FILLER_604 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4891000 ) W ;
- FILLER_605 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4911000 ) W ;
- FILLER_606 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4931000 ) W ;
- FILLER_607 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4951000 ) W ;
- FILLER_608 sky130_ef_io__com_bus_slice_10um + FIXED ( 0 4971000 ) W ;
- FILLER_609 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4981000 ) W ;
- FILLER_610 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4986000 ) W ;
- FILLER_611 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4987000 ) W ;
- FILLER_612 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 200000 ) E ;
- FILLER_613 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 220000 ) E ;
- FILLER_614 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 240000 ) E ;
- FILLER_615 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 260000 ) E ;
- FILLER_616 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 280000 ) E ;
- FILLER_617 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 300000 ) E ;
- FILLER_618 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 320000 ) E ;
- FILLER_619 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 340000 ) E ;
- disconnect_vdda_1 sky130_ef_io__disconnect_vdda_slice_5um + FIXED ( 3390035 345000 ) E ;
- disconnect_vccd_1 sky130_ef_io__disconnect_vccd_slice_5um + FIXED ( 3390035 350000 ) E ;
- FILLER_622 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 355000 ) E ;
- FILLER_623 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 375000 ) E ;
- FILLER_624 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 395000 ) E ;
- FILLER_625 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 415000 ) E ;
- FILLER_626 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 435000 ) E ;
- FILLER_627 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 455000 ) E ;
- FILLER_628 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 475000 ) E ;
- FILLER_629 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 495000 ) E ;
- FILLER_631 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 580000 ) E ;
- FILLER_632 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 600000 ) E ;
- FILLER_633 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 620000 ) E ;
- FILLER_634 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 640000 ) E ;
- FILLER_635 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 660000 ) E ;
- FILLER_636 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 680000 ) E ;
- FILLER_637 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 700000 ) E ;
- FILLER_638 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 720000 ) E ;
- FILLER_639 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 725000 ) E ;
- FILLER_641 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 806000 ) E ;
- FILLER_642 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 826000 ) E ;
- FILLER_643 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 846000 ) E ;
- FILLER_644 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 866000 ) E ;
- FILLER_645 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 886000 ) E ;
- FILLER_646 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 906000 ) E ;
- FILLER_647 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 926000 ) E ;
- FILLER_648 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 946000 ) E ;
- FILLER_650 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1031000 ) E ;
- FILLER_651 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1051000 ) E ;
- FILLER_652 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1071000 ) E ;
- FILLER_653 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1091000 ) E ;
- FILLER_654 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1111000 ) E ;
- FILLER_655 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1131000 ) E ;
- FILLER_656 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1151000 ) E ;
- FILLER_657 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 1171000 ) E ;
- FILLER_658 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 1176000 ) E ;
- FILLER_660 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1257000 ) E ;
- FILLER_661 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1277000 ) E ;
- FILLER_662 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1297000 ) E ;
- FILLER_663 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1317000 ) E ;
- FILLER_664 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1337000 ) E ;
- FILLER_665 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1357000 ) E ;
- FILLER_666 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1377000 ) E ;
- FILLER_667 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 1397000 ) E ;
- FILLER_669 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1482000 ) E ;
- FILLER_670 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1502000 ) E ;
- FILLER_671 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1522000 ) E ;
- FILLER_672 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1542000 ) E ;
- FILLER_673 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1562000 ) E ;
- FILLER_674 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1582000 ) E ;
- FILLER_675 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1602000 ) E ;
- FILLER_676 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 1622000 ) E ;
- FILLER_678 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1707000 ) E ;
- FILLER_679 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1727000 ) E ;
- FILLER_680 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1747000 ) E ;
- FILLER_681 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1767000 ) E ;
- FILLER_682 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1787000 ) E ;
- FILLER_683 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1807000 ) E ;
- FILLER_684 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1827000 ) E ;
- FILLER_685 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 1847000 ) E ;
- FILLER_686 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 1852000 ) E ;
- FILLER_688 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1933000 ) E ;
- FILLER_689 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1953000 ) E ;
- FILLER_690 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1973000 ) E ;
- FILLER_691 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 1993000 ) E ;
- FILLER_692 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2013000 ) E ;
- FILLER_693 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2033000 ) E ;
- FILLER_694 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2053000 ) E ;
- FILLER_695 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 2073000 ) E ;
- FILLER_697 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2153000 ) E ;
- FILLER_698 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2173000 ) E ;
- FILLER_699 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2193000 ) E ;
- FILLER_700 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2213000 ) E ;
- FILLER_701 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2233000 ) E ;
- FILLER_702 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2253000 ) E ;
- FILLER_703 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2273000 ) E ;
- FILLER_704 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 2293000 ) E ;
- FILLER_705 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 2298000 ) E ;
- FILLER_707 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2374000 ) E ;
- FILLER_708 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2394000 ) E ;
- FILLER_709 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2414000 ) E ;
- FILLER_710 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2434000 ) E ;
- FILLER_711 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2454000 ) E ;
- FILLER_712 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2474000 ) E ;
- FILLER_713 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2494000 ) E ;
- FILLER_714 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 2514000 ) E ;
- FILLER_716 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2594000 ) E ;
- FILLER_717 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2614000 ) E ;
- FILLER_718 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2634000 ) E ;
- FILLER_719 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2654000 ) E ;
- FILLER_720 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2674000 ) E ;
- FILLER_721 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2694000 ) E ;
- FILLER_722 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2714000 ) E ;
- FILLER_723 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 2734000 ) E ;
- FILLER_725 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2819000 ) E ;
- FILLER_726 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2839000 ) E ;
- FILLER_727 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2859000 ) E ;
- FILLER_728 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2879000 ) E ;
- FILLER_729 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2899000 ) E ;
- FILLER_730 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2919000 ) E ;
- FILLER_731 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 2939000 ) E ;
- FILLER_732 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 2959000 ) E ;
- FILLER_733 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 2964000 ) E ;
- FILLER_735 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3045000 ) E ;
- FILLER_736 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3065000 ) E ;
- FILLER_737 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3085000 ) E ;
- FILLER_738 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3105000 ) E ;
- FILLER_739 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3125000 ) E ;
- FILLER_740 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3145000 ) E ;
- FILLER_741 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3165000 ) E ;
- FILLER_742 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 3185000 ) E ;
- FILLER_744 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3270000 ) E ;
- FILLER_745 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3290000 ) E ;
- FILLER_746 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3310000 ) E ;
- FILLER_747 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3330000 ) E ;
- FILLER_748 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3350000 ) E ;
- FILLER_749 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3370000 ) E ;
- FILLER_750 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3390000 ) E ;
- FILLER_751 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 3410000 ) E ;
- FILLER_752 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 3415000 ) E ;
- FILLER_754 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3496000 ) E ;
- FILLER_755 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3516000 ) E ;
- FILLER_756 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3536000 ) E ;
- FILLER_757 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3556000 ) E ;
- FILLER_758 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3576000 ) E ;
- FILLER_759 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3596000 ) E ;
- FILLER_760 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3616000 ) E ;
- FILLER_761 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 3636000 ) E ;
- FILLER_763 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3721000 ) E ;
- FILLER_764 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3741000 ) E ;
- FILLER_765 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3761000 ) E ;
- FILLER_766 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3781000 ) E ;
- FILLER_767 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3801000 ) E ;
- FILLER_768 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3821000 ) E ;
- FILLER_769 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3841000 ) E ;
- FILLER_770 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 3861000 ) E ;
- FILLER_772 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3946000 ) E ;
- FILLER_773 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3966000 ) E ;
- FILLER_774 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 3986000 ) E ;
- FILLER_775 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4006000 ) E ;
- FILLER_776 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4026000 ) E ;
- FILLER_777 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4046000 ) E ;
- FILLER_778 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4066000 ) E ;
- FILLER_779 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 4086000 ) E ;
- FILLER_780 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 4091000 ) E ;
- FILLER_782 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4167000 ) E ;
- FILLER_783 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4187000 ) E ;
- FILLER_784 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4207000 ) E ;
- FILLER_785 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4227000 ) E ;
- FILLER_786 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4247000 ) E ;
- FILLER_787 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4267000 ) E ;
- FILLER_788 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4287000 ) E ;
- FILLER_789 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 4307000 ) E ;
- FILLER_791 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4392000 ) E ;
- FILLER_792 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4412000 ) E ;
- FILLER_793 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4432000 ) E ;
- FILLER_794 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4452000 ) E ;
- FILLER_795 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4472000 ) E ;
- FILLER_796 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4492000 ) E ;
- FILLER_797 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4512000 ) E ;
- FILLER_798 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 4532000 ) E ;
- FILLER_799 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 4537000 ) E ;
- FILLER_801 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4613000 ) E ;
- FILLER_802 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4633000 ) E ;
- FILLER_803 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4653000 ) E ;
- FILLER_804 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4673000 ) E ;
- FILLER_805 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4693000 ) E ;
- FILLER_806 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4713000 ) E ;
- FILLER_807 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4733000 ) E ;
- FILLER_808 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 4753000 ) E ;
- FILLER_810 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4838000 ) E ;
- FILLER_811 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4858000 ) E ;
- FILLER_812 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4878000 ) E ;
- FILLER_813 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4898000 ) E ;
- FILLER_814 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4918000 ) E ;
- FILLER_815 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4938000 ) E ;
- FILLER_816 sky130_ef_io__com_bus_slice_20um + FIXED ( 3390035 4958000 ) E ;
- FILLER_817 sky130_ef_io__com_bus_slice_5um + FIXED ( 3390035 4978000 ) E ;
- FILLER_818 sky130_ef_io__com_bus_slice_1um + FIXED ( 3390035 4983000 ) E ;
END COMPONENTS
PINS 722 ;
- clock + NET clock + DIRECTION INPUT + USE SIGNAL + PLACED ( 969500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- clock_core + NET clock_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 936775 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- por + NET por + DIRECTION INPUT + USE SIGNAL + PLACED ( 970355 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_clk + NET flash_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1786500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_clk_core + NET flash_clk_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1808975 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_clk_ieb_core + NET flash_clk_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1787355 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_clk_oeb_core + NET flash_clk_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1824615 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_csb + NET flash_csb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1512500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_csb_core + NET flash_csb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1534975 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_csb_ieb_core + NET flash_csb_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1513355 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_csb_oeb_core + NET flash_csb_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1550615 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io0 + NET flash_io0 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2060500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_io0_di_core + NET flash_io0_di_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2027775 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io0_do_core + NET flash_io0_do_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2082975 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io0_ieb_core + NET flash_io0_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2061355 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io0_oeb_core + NET flash_io0_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2098615 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io1 + NET flash_io1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2334500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_io1_di_core + NET flash_io1_di_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2301775 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io1_do_core + NET flash_io1_do_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2356975 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io1_ieb_core + NET flash_io1_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2335355 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- flash_io1_oeb_core + NET flash_io1_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2372615 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- gpio + NET gpio + DIRECTION INOUT + USE SIGNAL + PLACED ( 2608500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- gpio_in_core + NET gpio_in_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2575775 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- gpio_inenb_core + NET gpio_inenb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2609355 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- gpio_mode0_core + NET gpio_mode0_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2603375 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- gpio_mode1_core + NET gpio_mode1_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2624995 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- gpio_out_core + NET gpio_out_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2630975 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- gpio_outenb_core + NET gpio_outenb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2646615 209765 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- vccd + NET vccd + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 377635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vdda + NET vdda + DIRECTION INOUT + USE SIGNAL + PLACED ( 3151550 64682 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vddio + NET vddio + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 588450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssa + NET vssa + DIRECTION INOUT + USE SIGNAL + PLACED ( 431550 64682 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vssd + NET vssd + DIRECTION INOUT + USE SIGNAL + PLACED ( 1243365 64145 ) N + LAYER met5 ( -28320 -33715 ) ( 28320 33715 ) ;
- vssio + NET vssio + DIRECTION INOUT + USE SIGNAL + PLACED ( 1704450 5123317 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- mprj_io[0] + NET mprj_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 537500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[0] + NET mprj_io_analog_en[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 529155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[0] + NET mprj_io_analog_pol[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 535595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[0] + NET mprj_io_analog_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 550775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[0] + NET mprj_io_dm[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 532375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[1] + NET mprj_io_dm[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 523175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[2] + NET mprj_io_dm[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 553995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[0] + NET mprj_io_enh[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 544795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[0] + NET mprj_io_hldh_n[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 548015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[0] + NET mprj_io_holdover[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 557215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[0] + NET mprj_io_ib_mode_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 572395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[0] + NET mprj_io_inp_dis[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 538355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[0] + NET mprj_io_oeb[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 575615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[0] + NET mprj_io_out[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 559975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[0] + NET mprj_io_slow_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 513975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[0] + NET mprj_io_vtrip_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 569175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[0] + NET mprj_io_in[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 504775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[3] + NET mprj_analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 3433195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[10] + NET mprj_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3453500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[10] + NET mprj_io_analog_en[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3445155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[10] + NET mprj_io_analog_pol[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3451595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[10] + NET mprj_io_analog_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3466775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[30] + NET mprj_io_dm[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3448375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[31] + NET mprj_io_dm[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3439175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[32] + NET mprj_io_dm[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3469995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[10] + NET mprj_io_enh[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3460795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[10] + NET mprj_io_hldh_n[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3464015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[10] + NET mprj_io_holdover[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3473215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[10] + NET mprj_io_ib_mode_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3488395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[10] + NET mprj_io_inp_dis[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3454355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[10] + NET mprj_io_oeb[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3491615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[10] + NET mprj_io_out[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3475975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[10] + NET mprj_io_slow_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3429975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[10] + NET mprj_io_vtrip_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3485175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[10] + NET mprj_io_in[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 3420775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[4] + NET mprj_analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 3658195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[11] + NET mprj_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3678500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[11] + NET mprj_io_analog_en[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3670155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[11] + NET mprj_io_analog_pol[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3676595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[11] + NET mprj_io_analog_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3691775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[33] + NET mprj_io_dm[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3673375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[34] + NET mprj_io_dm[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3664175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[35] + NET mprj_io_dm[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3694995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[11] + NET mprj_io_enh[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3685795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[11] + NET mprj_io_hldh_n[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3689015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[11] + NET mprj_io_holdover[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3698215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[11] + NET mprj_io_ib_mode_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3713395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[11] + NET mprj_io_inp_dis[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3679355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[11] + NET mprj_io_oeb[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3716615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[11] + NET mprj_io_out[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3700975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[11] + NET mprj_io_slow_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3654975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[11] + NET mprj_io_vtrip_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3710175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[11] + NET mprj_io_in[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 3645775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[5] + NET mprj_analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 3883195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[12] + NET mprj_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3903500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[12] + NET mprj_io_analog_en[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3895155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[12] + NET mprj_io_analog_pol[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3901595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[12] + NET mprj_io_analog_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3916775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[36] + NET mprj_io_dm[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3898375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[37] + NET mprj_io_dm[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3889175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[38] + NET mprj_io_dm[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3919995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[12] + NET mprj_io_enh[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3910795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[12] + NET mprj_io_hldh_n[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3914015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[12] + NET mprj_io_holdover[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3923215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[12] + NET mprj_io_ib_mode_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3938395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[12] + NET mprj_io_inp_dis[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3904355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[12] + NET mprj_io_oeb[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3941615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[12] + NET mprj_io_out[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3925975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[12] + NET mprj_io_slow_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3879975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[12] + NET mprj_io_vtrip_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3935175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[12] + NET mprj_io_in[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 3870775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[6] + NET mprj_analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 4329195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[13] + NET mprj_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 4349500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[13] + NET mprj_io_analog_en[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4341155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[13] + NET mprj_io_analog_pol[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4347595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[13] + NET mprj_io_analog_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4362775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[39] + NET mprj_io_dm[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4344375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[40] + NET mprj_io_dm[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4335175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[41] + NET mprj_io_dm[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4365995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[13] + NET mprj_io_enh[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4356795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[13] + NET mprj_io_hldh_n[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4360015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[13] + NET mprj_io_holdover[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4369215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[13] + NET mprj_io_ib_mode_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4384395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[13] + NET mprj_io_inp_dis[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4350355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[13] + NET mprj_io_oeb[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4387615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[13] + NET mprj_io_out[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4371975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[13] + NET mprj_io_slow_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4325975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[13] + NET mprj_io_vtrip_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4381175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[13] + NET mprj_io_in[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 4316775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[7] + NET mprj_analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 4775195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[14] + NET mprj_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 4795500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[14] + NET mprj_io_analog_en[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4787155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[14] + NET mprj_io_analog_pol[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4793595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[14] + NET mprj_io_analog_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4808775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[42] + NET mprj_io_dm[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4790375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[43] + NET mprj_io_dm[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4781175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[44] + NET mprj_io_dm[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4811995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[14] + NET mprj_io_enh[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4802795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[14] + NET mprj_io_hldh_n[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4806015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[14] + NET mprj_io_holdover[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4815215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[14] + NET mprj_io_ib_mode_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4830395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[14] + NET mprj_io_inp_dis[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4796355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[14] + NET mprj_io_oeb[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4833615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[14] + NET mprj_io_out[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4817975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[14] + NET mprj_io_slow_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4771975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[14] + NET mprj_io_vtrip_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 4827175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[14] + NET mprj_io_in[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 4762775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[8] + NET mprj_analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3192805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[15] + NET mprj_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3172500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[15] + NET mprj_io_analog_en[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3180845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[15] + NET mprj_io_analog_pol[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3174405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[15] + NET mprj_io_analog_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3159225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[45] + NET mprj_io_dm[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3177625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[46] + NET mprj_io_dm[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3186825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[47] + NET mprj_io_dm[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3156005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[15] + NET mprj_io_enh[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3165205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[15] + NET mprj_io_hldh_n[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3161985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[15] + NET mprj_io_holdover[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3152785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[15] + NET mprj_io_ib_mode_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3137605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[15] + NET mprj_io_inp_dis[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3171645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[15] + NET mprj_io_oeb[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3134385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[15] + NET mprj_io_out[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3150025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[15] + NET mprj_io_slow_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3196025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[15] + NET mprj_io_vtrip_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3140825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[15] + NET mprj_io_in[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3205225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[9] + NET mprj_analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2683805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[16] + NET mprj_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2663500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[16] + NET mprj_io_analog_en[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2671845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[16] + NET mprj_io_analog_pol[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2665405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[16] + NET mprj_io_analog_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2650225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[48] + NET mprj_io_dm[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2668625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[49] + NET mprj_io_dm[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2677825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[50] + NET mprj_io_dm[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2647005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[16] + NET mprj_io_enh[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2656205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[16] + NET mprj_io_hldh_n[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2652985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[16] + NET mprj_io_holdover[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[16] + NET mprj_io_ib_mode_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2628605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[16] + NET mprj_io_inp_dis[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2662645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[16] + NET mprj_io_oeb[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[16] + NET mprj_io_out[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2641025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[16] + NET mprj_io_slow_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2687025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[16] + NET mprj_io_vtrip_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[16] + NET mprj_io_in[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2696225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[10] + NET mprj_analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2426805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[17] + NET mprj_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2406500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[17] + NET mprj_io_analog_en[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2414845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[17] + NET mprj_io_analog_pol[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2408405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[17] + NET mprj_io_analog_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[51] + NET mprj_io_dm[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[52] + NET mprj_io_dm[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2420825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[53] + NET mprj_io_dm[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2390005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[17] + NET mprj_io_enh[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[17] + NET mprj_io_hldh_n[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2395985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[17] + NET mprj_io_holdover[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2386785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[17] + NET mprj_io_ib_mode_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2371605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[17] + NET mprj_io_inp_dis[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2405645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[17] + NET mprj_io_oeb[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2368385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[17] + NET mprj_io_out[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2384025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[17] + NET mprj_io_slow_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2430025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[17] + NET mprj_io_vtrip_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2374825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[17] + NET mprj_io_in[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2439225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[1] + NET mprj_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 763500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[1] + NET mprj_io_analog_en[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 755155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[1] + NET mprj_io_analog_pol[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 761595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[1] + NET mprj_io_analog_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 776775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[3] + NET mprj_io_dm[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 758375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[4] + NET mprj_io_dm[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 749175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[5] + NET mprj_io_dm[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 779995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[1] + NET mprj_io_enh[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 770795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[1] + NET mprj_io_hldh_n[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 774015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[1] + NET mprj_io_holdover[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 783215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[1] + NET mprj_io_ib_mode_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 798395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[1] + NET mprj_io_inp_dis[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 764355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[1] + NET mprj_io_oeb[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 801615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[1] + NET mprj_io_out[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 785975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[1] + NET mprj_io_slow_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 739975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[1] + NET mprj_io_vtrip_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 795175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[1] + NET mprj_io_in[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 730775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[2] + NET mprj_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 988500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[2] + NET mprj_io_analog_en[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 980155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[2] + NET mprj_io_analog_pol[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 986595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[2] + NET mprj_io_analog_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1001775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[6] + NET mprj_io_dm[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 983375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[7] + NET mprj_io_dm[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 974175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[8] + NET mprj_io_dm[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1004995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[2] + NET mprj_io_enh[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 995795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[2] + NET mprj_io_hldh_n[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 999015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[2] + NET mprj_io_holdover[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1008215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[2] + NET mprj_io_ib_mode_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1023395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[2] + NET mprj_io_inp_dis[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 989355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[2] + NET mprj_io_oeb[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1026615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[2] + NET mprj_io_out[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1010975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[2] + NET mprj_io_slow_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 964975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[2] + NET mprj_io_vtrip_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1020175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[2] + NET mprj_io_in[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 955775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[3] + NET mprj_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1214500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[3] + NET mprj_io_analog_en[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1206155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[3] + NET mprj_io_analog_pol[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1212595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[3] + NET mprj_io_analog_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1227775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[10] + NET mprj_io_dm[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1200175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[11] + NET mprj_io_dm[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1230995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[9] + NET mprj_io_dm[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1209375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[3] + NET mprj_io_enh[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1221795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[3] + NET mprj_io_hldh_n[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1225015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[3] + NET mprj_io_holdover[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1234215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[3] + NET mprj_io_ib_mode_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1249395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[3] + NET mprj_io_inp_dis[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1215355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[3] + NET mprj_io_oeb[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1252615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[3] + NET mprj_io_out[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1236975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[3] + NET mprj_io_slow_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1190975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[3] + NET mprj_io_vtrip_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1246175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[3] + NET mprj_io_in[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 1181775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[4] + NET mprj_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1439500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[4] + NET mprj_io_analog_en[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1431155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[4] + NET mprj_io_analog_pol[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1437595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[4] + NET mprj_io_analog_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1452775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[12] + NET mprj_io_dm[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1434375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[13] + NET mprj_io_dm[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1425175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[14] + NET mprj_io_dm[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1455995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[4] + NET mprj_io_enh[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1446795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[4] + NET mprj_io_hldh_n[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1450015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[4] + NET mprj_io_holdover[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1459215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[4] + NET mprj_io_ib_mode_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1474395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[4] + NET mprj_io_inp_dis[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1440355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[4] + NET mprj_io_oeb[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1477615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[4] + NET mprj_io_out[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1461975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[4] + NET mprj_io_slow_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1415975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[4] + NET mprj_io_vtrip_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1471175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[4] + NET mprj_io_in[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 1406775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[5] + NET mprj_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1664500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[5] + NET mprj_io_analog_en[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1656155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[5] + NET mprj_io_analog_pol[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1662595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[5] + NET mprj_io_analog_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1677775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[15] + NET mprj_io_dm[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1659375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[16] + NET mprj_io_dm[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1650175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[17] + NET mprj_io_dm[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1680995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[5] + NET mprj_io_enh[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1671795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[5] + NET mprj_io_hldh_n[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1675015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[5] + NET mprj_io_holdover[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1684215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[5] + NET mprj_io_ib_mode_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1699395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[5] + NET mprj_io_inp_dis[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1665355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[5] + NET mprj_io_oeb[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1702615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[5] + NET mprj_io_out[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1686975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[5] + NET mprj_io_slow_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1640975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[5] + NET mprj_io_vtrip_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1696175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[5] + NET mprj_io_in[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 1631775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[6] + NET mprj_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 1890500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[6] + NET mprj_io_analog_en[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1882155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[6] + NET mprj_io_analog_pol[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1888595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[6] + NET mprj_io_analog_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1903775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[18] + NET mprj_io_dm[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1885375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[19] + NET mprj_io_dm[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1876175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[20] + NET mprj_io_dm[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1906995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[6] + NET mprj_io_enh[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1897795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[6] + NET mprj_io_hldh_n[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1901015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[6] + NET mprj_io_holdover[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1910215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[6] + NET mprj_io_ib_mode_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1925395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[6] + NET mprj_io_inp_dis[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1891355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[6] + NET mprj_io_oeb[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1928615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[6] + NET mprj_io_out[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1912975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[6] + NET mprj_io_slow_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1866975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[6] + NET mprj_io_vtrip_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 1922175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[6] + NET mprj_io_in[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 1857775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[0] + NET mprj_analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 2756195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[7] + NET mprj_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 2776500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[7] + NET mprj_io_analog_en[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2768155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[7] + NET mprj_io_analog_pol[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2774595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[7] + NET mprj_io_analog_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2789775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[21] + NET mprj_io_dm[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2771375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[22] + NET mprj_io_dm[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2762175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[23] + NET mprj_io_dm[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2792995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[7] + NET mprj_io_enh[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2783795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[7] + NET mprj_io_hldh_n[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2787015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[7] + NET mprj_io_holdover[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2796215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[7] + NET mprj_io_ib_mode_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2811395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[7] + NET mprj_io_inp_dis[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2777355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[7] + NET mprj_io_oeb[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2814615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[7] + NET mprj_io_out[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2798975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[7] + NET mprj_io_slow_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2752975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[7] + NET mprj_io_vtrip_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2808175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[7] + NET mprj_io_in[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 2743775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[1] + NET mprj_analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 2982195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[8] + NET mprj_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3002500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[8] + NET mprj_io_analog_en[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2994155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[8] + NET mprj_io_analog_pol[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3000595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[8] + NET mprj_io_analog_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3015775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[24] + NET mprj_io_dm[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2997375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[25] + NET mprj_io_dm[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2988175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[26] + NET mprj_io_dm[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3018995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[8] + NET mprj_io_enh[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3009795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[8] + NET mprj_io_hldh_n[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3013015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[8] + NET mprj_io_holdover[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3022215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[8] + NET mprj_io_ib_mode_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3037395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[8] + NET mprj_io_inp_dis[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3003355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[8] + NET mprj_io_oeb[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3040615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[8] + NET mprj_io_out[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3024975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[8] + NET mprj_io_slow_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 2978975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[8] + NET mprj_io_vtrip_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3034175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[8] + NET mprj_io_in[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 2969775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[2] + NET mprj_analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3378235 3207195 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[9] + NET mprj_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523785 3227500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[9] + NET mprj_io_analog_en[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3219155 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[9] + NET mprj_io_analog_pol[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3225595 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[9] + NET mprj_io_analog_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3240775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[27] + NET mprj_io_dm[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3222375 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[28] + NET mprj_io_dm[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3213175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[29] + NET mprj_io_dm[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3243995 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[9] + NET mprj_io_enh[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3234795 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[9] + NET mprj_io_hldh_n[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3238015 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[9] + NET mprj_io_holdover[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3247215 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[9] + NET mprj_io_ib_mode_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3262395 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[9] + NET mprj_io_inp_dis[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3228355 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[9] + NET mprj_io_oeb[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3265615 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[9] + NET mprj_io_out[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3249975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[9] + NET mprj_io_slow_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3203975 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[9] + NET mprj_io_vtrip_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3378235 3259175 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[9] + NET mprj_io_in[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3378235 3194775 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[11] + NET mprj_analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1981805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[18] + NET mprj_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1961500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[18] + NET mprj_io_analog_en[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1969845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[18] + NET mprj_io_analog_pol[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1963405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[18] + NET mprj_io_analog_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1948225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[54] + NET mprj_io_dm[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1966625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[55] + NET mprj_io_dm[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1975825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[56] + NET mprj_io_dm[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1945005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[18] + NET mprj_io_enh[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1954205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[18] + NET mprj_io_hldh_n[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1950985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[18] + NET mprj_io_holdover[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1941785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[18] + NET mprj_io_ib_mode_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1926605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[18] + NET mprj_io_inp_dis[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1960645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[18] + NET mprj_io_oeb[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1923385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[18] + NET mprj_io_out[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1939025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[18] + NET mprj_io_slow_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1985025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[18] + NET mprj_io_vtrip_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[18] + NET mprj_io_in[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1994225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[21] + NET mprj_analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 3336805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[28] + NET mprj_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3316500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[28] + NET mprj_io_analog_en[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3324845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[28] + NET mprj_io_analog_pol[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3318405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[28] + NET mprj_io_analog_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3303225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[84] + NET mprj_io_dm[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3321625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[85] + NET mprj_io_dm[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3330825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[86] + NET mprj_io_dm[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3300005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[28] + NET mprj_io_enh[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3309205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[28] + NET mprj_io_hldh_n[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3305985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[28] + NET mprj_io_holdover[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3296785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[28] + NET mprj_io_ib_mode_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3281605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[28] + NET mprj_io_inp_dis[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3315645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[28] + NET mprj_io_oeb[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3278385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[28] + NET mprj_io_out[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3294025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[28] + NET mprj_io_slow_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3340025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[28] + NET mprj_io_vtrip_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3284825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[28] + NET mprj_io_in[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 3349225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[22] + NET mprj_analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 3120805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[29] + NET mprj_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3100500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[29] + NET mprj_io_analog_en[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3108845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[29] + NET mprj_io_analog_pol[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3102405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[29] + NET mprj_io_analog_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3087225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[87] + NET mprj_io_dm[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3105625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[88] + NET mprj_io_dm[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3114825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[89] + NET mprj_io_dm[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3084005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[29] + NET mprj_io_enh[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3093205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[29] + NET mprj_io_hldh_n[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3089985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[29] + NET mprj_io_holdover[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3080785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[29] + NET mprj_io_ib_mode_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3065605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[29] + NET mprj_io_inp_dis[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3099645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[29] + NET mprj_io_oeb[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3062385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[29] + NET mprj_io_out[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3078025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[29] + NET mprj_io_slow_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3124025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[29] + NET mprj_io_vtrip_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3068825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[29] + NET mprj_io_in[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 3133225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[23] + NET mprj_analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 2904805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[30] + NET mprj_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2884500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[30] + NET mprj_io_analog_en[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2892845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[30] + NET mprj_io_analog_pol[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2886405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[30] + NET mprj_io_analog_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2871225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[90] + NET mprj_io_dm[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2889625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[91] + NET mprj_io_dm[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2898825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[92] + NET mprj_io_dm[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2868005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[30] + NET mprj_io_enh[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2877205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[30] + NET mprj_io_hldh_n[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2873985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[30] + NET mprj_io_holdover[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2864785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[30] + NET mprj_io_ib_mode_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2849605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[30] + NET mprj_io_inp_dis[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2883645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[30] + NET mprj_io_oeb[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2846385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[30] + NET mprj_io_out[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2862025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[30] + NET mprj_io_slow_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2908025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[30] + NET mprj_io_vtrip_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2852825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[30] + NET mprj_io_in[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 2917225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[24] + NET mprj_analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 2688805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[31] + NET mprj_io[31] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2668500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[31] + NET mprj_io_analog_en[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2676845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[31] + NET mprj_io_analog_pol[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2670405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[31] + NET mprj_io_analog_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2655225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[93] + NET mprj_io_dm[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2673625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[94] + NET mprj_io_dm[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2682825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[95] + NET mprj_io_dm[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2652005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[31] + NET mprj_io_enh[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2661205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[31] + NET mprj_io_hldh_n[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2657985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[31] + NET mprj_io_holdover[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2648785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[31] + NET mprj_io_ib_mode_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2633605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[31] + NET mprj_io_inp_dis[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2667645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[31] + NET mprj_io_oeb[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2630385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[31] + NET mprj_io_out[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2646025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[31] + NET mprj_io_slow_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2692025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[31] + NET mprj_io_vtrip_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2636825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[31] + NET mprj_io_in[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 2701225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[25] + NET mprj_analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 2050805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[32] + NET mprj_io[32] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2030500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[32] + NET mprj_io_analog_en[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2038845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[32] + NET mprj_io_analog_pol[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2032405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[32] + NET mprj_io_analog_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2017225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[96] + NET mprj_io_dm[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2035625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[97] + NET mprj_io_dm[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2044825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[98] + NET mprj_io_dm[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2014005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[32] + NET mprj_io_enh[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2023205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[32] + NET mprj_io_hldh_n[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2019985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[32] + NET mprj_io_holdover[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2010785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[32] + NET mprj_io_ib_mode_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1995605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[32] + NET mprj_io_inp_dis[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2029645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[32] + NET mprj_io_oeb[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1992385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[32] + NET mprj_io_out[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2008025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[32] + NET mprj_io_slow_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 2054025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[32] + NET mprj_io_vtrip_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1998825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[32] + NET mprj_io_in[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 2063225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[26] + NET mprj_analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 1834805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[33] + NET mprj_io[33] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1814500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[33] + NET mprj_io_analog_en[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1822845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[33] + NET mprj_io_analog_pol[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1816405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[33] + NET mprj_io_analog_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1801225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[100] + NET mprj_io_dm[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1828825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[101] + NET mprj_io_dm[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1798005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[99] + NET mprj_io_dm[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1819625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[33] + NET mprj_io_enh[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1807205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[33] + NET mprj_io_hldh_n[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1803985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[33] + NET mprj_io_holdover[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1794785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[33] + NET mprj_io_ib_mode_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1779605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[33] + NET mprj_io_inp_dis[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1813645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[33] + NET mprj_io_oeb[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1776385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[33] + NET mprj_io_out[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1792025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[33] + NET mprj_io_slow_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1838025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[33] + NET mprj_io_vtrip_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1782825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[33] + NET mprj_io_in[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 1847225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[27] + NET mprj_analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 1618805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[34] + NET mprj_io[34] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1598500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[34] + NET mprj_io_analog_en[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1606845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[34] + NET mprj_io_analog_pol[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1600405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[34] + NET mprj_io_analog_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1585225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[102] + NET mprj_io_dm[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1603625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[103] + NET mprj_io_dm[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1612825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[104] + NET mprj_io_dm[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1582005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[34] + NET mprj_io_enh[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1591205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[34] + NET mprj_io_hldh_n[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1587985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[34] + NET mprj_io_holdover[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1578785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[34] + NET mprj_io_ib_mode_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1563605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[34] + NET mprj_io_inp_dis[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1597645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[34] + NET mprj_io_oeb[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1560385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[34] + NET mprj_io_out[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1576025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[34] + NET mprj_io_slow_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1622025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[34] + NET mprj_io_vtrip_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1566825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[34] + NET mprj_io_in[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 1631225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[28] + NET mprj_analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 1402805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[35] + NET mprj_io[35] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1382500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[35] + NET mprj_io_analog_en[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1390845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[35] + NET mprj_io_analog_pol[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1384405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[35] + NET mprj_io_analog_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1369225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[105] + NET mprj_io_dm[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1387625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[106] + NET mprj_io_dm[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1396825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[107] + NET mprj_io_dm[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1366005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[35] + NET mprj_io_enh[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1375205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[35] + NET mprj_io_hldh_n[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1371985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[35] + NET mprj_io_holdover[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1362785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[35] + NET mprj_io_ib_mode_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1347605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[35] + NET mprj_io_inp_dis[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1381645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[35] + NET mprj_io_oeb[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1344385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[35] + NET mprj_io_out[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1360025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[35] + NET mprj_io_slow_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1406025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[35] + NET mprj_io_vtrip_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1350825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[35] + NET mprj_io_in[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 1415225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[29] + NET mprj_analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 1186805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[36] + NET mprj_io[36] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1166500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[36] + NET mprj_io_analog_en[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1174845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[36] + NET mprj_io_analog_pol[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1168405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[36] + NET mprj_io_analog_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1153225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[108] + NET mprj_io_dm[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1171625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[109] + NET mprj_io_dm[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1180825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[110] + NET mprj_io_dm[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1150005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[36] + NET mprj_io_enh[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1159205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[36] + NET mprj_io_hldh_n[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1155985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[36] + NET mprj_io_holdover[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1146785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[36] + NET mprj_io_ib_mode_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1131605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[36] + NET mprj_io_inp_dis[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1165645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[36] + NET mprj_io_oeb[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1128385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[36] + NET mprj_io_out[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1144025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[36] + NET mprj_io_slow_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1190025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[36] + NET mprj_io_vtrip_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 1134825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[36] + NET mprj_io_in[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 1199225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[30] + NET mprj_analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 970805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[37] + NET mprj_io[37] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 950500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[37] + NET mprj_io_analog_en[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 958845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[37] + NET mprj_io_analog_pol[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 952405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[37] + NET mprj_io_analog_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 937225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[111] + NET mprj_io_dm[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 955625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[112] + NET mprj_io_dm[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 964825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[113] + NET mprj_io_dm[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 934005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[37] + NET mprj_io_enh[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 943205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[37] + NET mprj_io_hldh_n[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 939985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[37] + NET mprj_io_holdover[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 930785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[37] + NET mprj_io_ib_mode_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 915605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[37] + NET mprj_io_inp_dis[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 949645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[37] + NET mprj_io_oeb[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 912385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[37] + NET mprj_io_out[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 928025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[37] + NET mprj_io_slow_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 974025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[37] + NET mprj_io_vtrip_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 918825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[37] + NET mprj_io_in[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 983225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[12] + NET mprj_analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1472805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[19] + NET mprj_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1452500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[19] + NET mprj_io_analog_en[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1460845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[19] + NET mprj_io_analog_pol[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1454405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[19] + NET mprj_io_analog_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1439225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[57] + NET mprj_io_dm[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1457625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[58] + NET mprj_io_dm[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1466825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[59] + NET mprj_io_dm[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1436005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[19] + NET mprj_io_enh[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1445205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[19] + NET mprj_io_hldh_n[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1441985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[19] + NET mprj_io_holdover[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1432785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[19] + NET mprj_io_ib_mode_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1417605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[19] + NET mprj_io_inp_dis[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1451645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[19] + NET mprj_io_oeb[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1414385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[19] + NET mprj_io_out[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1430025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[19] + NET mprj_io_slow_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1476025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[19] + NET mprj_io_vtrip_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1420825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[19] + NET mprj_io_in[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1485225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[13] + NET mprj_analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1214805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[20] + NET mprj_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1194500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[20] + NET mprj_io_analog_en[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1202845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[20] + NET mprj_io_analog_pol[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1196405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[20] + NET mprj_io_analog_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1181225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[60] + NET mprj_io_dm[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1199625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[61] + NET mprj_io_dm[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1208825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[62] + NET mprj_io_dm[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1178005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[20] + NET mprj_io_enh[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1187205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[20] + NET mprj_io_hldh_n[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1183985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[20] + NET mprj_io_holdover[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1174785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[20] + NET mprj_io_ib_mode_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1159605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[20] + NET mprj_io_inp_dis[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1193645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[20] + NET mprj_io_oeb[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1156385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[20] + NET mprj_io_out[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1172025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[20] + NET mprj_io_slow_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1218025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[20] + NET mprj_io_vtrip_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[20] + NET mprj_io_in[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[14] + NET mprj_analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 957805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[21] + NET mprj_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 937500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[21] + NET mprj_io_analog_en[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 945845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[21] + NET mprj_io_analog_pol[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 939405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[21] + NET mprj_io_analog_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 924225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[63] + NET mprj_io_dm[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 942625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[64] + NET mprj_io_dm[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 951825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[65] + NET mprj_io_dm[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 921005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[21] + NET mprj_io_enh[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[21] + NET mprj_io_hldh_n[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 926985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[21] + NET mprj_io_holdover[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 917785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[21] + NET mprj_io_ib_mode_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 902605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[21] + NET mprj_io_inp_dis[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[21] + NET mprj_io_oeb[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 899385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[21] + NET mprj_io_out[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 915025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[21] + NET mprj_io_slow_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 961025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[21] + NET mprj_io_vtrip_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 905825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[21] + NET mprj_io_in[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 970225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[15] + NET mprj_analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 700805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[22] + NET mprj_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 680500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[22] + NET mprj_io_analog_en[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 688845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[22] + NET mprj_io_analog_pol[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 682405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[22] + NET mprj_io_analog_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 667225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[66] + NET mprj_io_dm[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 685625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[67] + NET mprj_io_dm[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 694825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[68] + NET mprj_io_dm[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 664005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[22] + NET mprj_io_enh[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 673205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[22] + NET mprj_io_hldh_n[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[22] + NET mprj_io_holdover[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 660785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[22] + NET mprj_io_ib_mode_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[22] + NET mprj_io_inp_dis[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 679645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[22] + NET mprj_io_oeb[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 642385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[22] + NET mprj_io_out[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 658025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[22] + NET mprj_io_slow_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[22] + NET mprj_io_vtrip_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 648825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[22] + NET mprj_io_in[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 713225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[16] + NET mprj_analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 443805 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io[23] + NET mprj_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 423500 5123785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io_analog_en[23] + NET mprj_io_analog_en[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 431845 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_pol[23] + NET mprj_io_analog_pol[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 425405 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_analog_sel[23] + NET mprj_io_analog_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 410225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[69] + NET mprj_io_dm[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 428625 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[70] + NET mprj_io_dm[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 437825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_dm[71] + NET mprj_io_dm[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407005 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_enh[23] + NET mprj_io_enh[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 416205 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_hldh_n[23] + NET mprj_io_hldh_n[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 412985 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_holdover[23] + NET mprj_io_holdover[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 403785 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_ib_mode_sel[23] + NET mprj_io_ib_mode_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 388605 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_inp_dis[23] + NET mprj_io_inp_dis[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 422645 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_oeb[23] + NET mprj_io_oeb[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 385385 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_out[23] + NET mprj_io_out[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_slow_sel[23] + NET mprj_io_slow_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 447025 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_vtrip_sel[23] + NET mprj_io_vtrip_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 391825 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_io_in[23] + NET mprj_io_in[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 456225 4978235 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_analog_io[17] + NET mprj_analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 4833805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[24] + NET mprj_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 4813500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[24] + NET mprj_io_analog_en[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4821845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[24] + NET mprj_io_analog_pol[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4815405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[24] + NET mprj_io_analog_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4800225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[72] + NET mprj_io_dm[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4818625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[73] + NET mprj_io_dm[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4827825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[74] + NET mprj_io_dm[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4797005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[24] + NET mprj_io_enh[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4806205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[24] + NET mprj_io_hldh_n[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4802985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[24] + NET mprj_io_holdover[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4793785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[24] + NET mprj_io_ib_mode_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4778605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[24] + NET mprj_io_inp_dis[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4812645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[24] + NET mprj_io_oeb[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4775385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[24] + NET mprj_io_out[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4791025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[24] + NET mprj_io_slow_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4837025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[24] + NET mprj_io_vtrip_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 4781825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[24] + NET mprj_io_in[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 4846225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[18] + NET mprj_analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 3984805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[25] + NET mprj_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3964500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[25] + NET mprj_io_analog_en[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3972845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[25] + NET mprj_io_analog_pol[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3966405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[25] + NET mprj_io_analog_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3951225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[75] + NET mprj_io_dm[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3969625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[76] + NET mprj_io_dm[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3978825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[77] + NET mprj_io_dm[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3948005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[25] + NET mprj_io_enh[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3957205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[25] + NET mprj_io_hldh_n[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3953985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[25] + NET mprj_io_holdover[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3944785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[25] + NET mprj_io_ib_mode_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3929605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[25] + NET mprj_io_inp_dis[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3963645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[25] + NET mprj_io_oeb[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3926385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[25] + NET mprj_io_out[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3942025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[25] + NET mprj_io_slow_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3988025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[25] + NET mprj_io_vtrip_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3932825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[25] + NET mprj_io_in[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 3997225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[19] + NET mprj_analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 3768805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[26] + NET mprj_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3748500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[26] + NET mprj_io_analog_en[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3756845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[26] + NET mprj_io_analog_pol[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3750405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[26] + NET mprj_io_analog_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3735225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[78] + NET mprj_io_dm[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3753625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[79] + NET mprj_io_dm[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3762825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[80] + NET mprj_io_dm[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3732005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[26] + NET mprj_io_enh[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3741205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[26] + NET mprj_io_hldh_n[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3737985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[26] + NET mprj_io_holdover[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3728785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[26] + NET mprj_io_ib_mode_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3713605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[26] + NET mprj_io_inp_dis[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3747645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[26] + NET mprj_io_oeb[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3710385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[26] + NET mprj_io_out[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3726025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[26] + NET mprj_io_slow_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3772025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[26] + NET mprj_io_vtrip_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3716825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[26] + NET mprj_io_in[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 3781225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_analog_io[20] + NET mprj_analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 209765 3552805 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io[27] + NET mprj_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3532500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[27] + NET mprj_io_analog_en[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3540845 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_pol[27] + NET mprj_io_analog_pol[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3534405 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_analog_sel[27] + NET mprj_io_analog_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3519225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[81] + NET mprj_io_dm[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3537625 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[82] + NET mprj_io_dm[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3546825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_dm[83] + NET mprj_io_dm[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3516005 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_enh[27] + NET mprj_io_enh[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3525205 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_hldh_n[27] + NET mprj_io_hldh_n[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3521985 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_holdover[27] + NET mprj_io_holdover[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3512785 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_ib_mode_sel[27] + NET mprj_io_ib_mode_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3497605 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_inp_dis[27] + NET mprj_io_inp_dis[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3531645 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_oeb[27] + NET mprj_io_oeb[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3494385 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_out[27] + NET mprj_io_out[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3510025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_slow_sel[27] + NET mprj_io_slow_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3556025 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_vtrip_sel[27] + NET mprj_io_vtrip_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209765 3500825 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- mprj_io_in[27] + NET mprj_io_in[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209765 3565225 ) N + LAYER met2 ( -1200 -140 ) ( 1200 140 ) ;
- porb_h + NET porb_h + DIRECTION INPUT + USE SIGNAL + PLACED ( 725585 199265 ) N + LAYER met2 ( -130 -735 ) ( 130 735 ) ;
- resetb + NET resetb + DIRECTION INPUT + USE SIGNAL + PLACED ( 702157 63630 ) N + LAYER met5 ( -18592 -27915 ) ( 18592 27915 ) ;
- resetb_core_h + NET resetb_core_h + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 708700 195077 ) N + LAYER met3 ( -365 -4922 ) ( 365 4922 ) ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523855 4575365 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523317 4129550 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2915450 5123317 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3523855 2336365 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 4597635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 2452450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 4175450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 2241635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
END PINS
NETS 767 ;
- flash_io0_ieb_core ( PIN flash_io0_ieb_core ) ( flash_io0_pad INP_DIS ) ( flash_io0_pad DM[2] ) ( flash_io0_pad DM[1] ) + USE SIGNAL
+ ROUTED met2 ( 2061490 209100 0 ) ( 2061950 * )
NEW met2 ( 2061950 209100 ) ( * 209270 )
NEW met1 ( 2061950 209270 ) ( 2076210 * )
NEW met2 ( 2076210 209100 ) ( * 209270 )
NEW met2 ( 2076210 209100 ) ( 2077130 * 0 )
NEW met2 ( 2046310 209100 0 ) ( 2046770 * )
NEW met2 ( 2046770 209100 ) ( * 209270 )
NEW met1 ( 2046770 209270 ) ( 2061950 * )
NEW met1 ( 2061950 209270 ) M1M2_PR
NEW met1 ( 2076210 209270 ) M1M2_PR
NEW met1 ( 2046770 209270 ) M1M2_PR ;
- flash_io0_oeb_core ( PIN flash_io0_oeb_core ) ( flash_io0_pad OE_N ) ( flash_io0_pad DM[0] ) + USE SIGNAL
+ ROUTED met2 ( 2098750 209100 0 ) ( * 220830 )
NEW met2 ( 2055510 209100 0 ) ( * 221510 )
NEW met1 ( 2055510 221510 ) ( 2065170 * )
NEW met1 ( 2065170 220830 ) ( * 221510 )
NEW met1 ( 2065170 220830 ) ( 2098750 * )
NEW met1 ( 2098750 220830 ) M1M2_PR
NEW met1 ( 2055510 221510 ) M1M2_PR ;
- flash_io1_ieb_core ( PIN flash_io1_ieb_core ) ( flash_io1_pad INP_DIS ) ( flash_io1_pad DM[2] ) ( flash_io1_pad DM[1] ) + USE SIGNAL
+ ROUTED met2 ( 2335355 209100 0 ) ( 2336110 * )
NEW met2 ( 2336110 208930 ) ( * 209100 )
NEW met1 ( 2336110 208930 ) ( 2350370 * )
NEW met2 ( 2350370 208930 ) ( * 209100 )
NEW met2 ( 2350370 209100 ) ( 2350995 * 0 )
NEW met2 ( 2320175 209100 0 ) ( 2320930 * )
NEW met2 ( 2320930 208930 ) ( * 209100 )
NEW met1 ( 2320930 208930 ) ( 2336110 * )
NEW met1 ( 2336110 208930 ) M1M2_PR
NEW met1 ( 2350370 208930 ) M1M2_PR
NEW met1 ( 2320930 208930 ) M1M2_PR ;
- flash_io1_oeb_core ( PIN flash_io1_oeb_core ) ( flash_io1_pad OE_N ) ( flash_io1_pad DM[0] ) + USE SIGNAL
+ ROUTED met2 ( 2329210 209100 ) ( 2329375 * 0 )
NEW met2 ( 2329210 209100 ) ( * 221170 )
NEW met2 ( 2372450 209100 ) ( 2372615 * 0 )
NEW met1 ( 2329210 221170 ) ( 2372450 * )
NEW met2 ( 2372450 209100 ) ( * 221170 )
NEW met1 ( 2329210 221170 ) M1M2_PR
NEW met1 ( 2372450 221170 ) M1M2_PR ;
- gpio_mode1_core ( PIN gpio_mode1_core ) ( gpio_pad DM[2] ) ( gpio_pad DM[1] ) + USE SIGNAL
+ ROUTED met1 ( 2594170 220830 ) ( 2624990 * )
NEW met2 ( 2594170 209100 0 ) ( * 220830 )
NEW met2 ( 2624990 209100 0 ) ( * 220830 )
NEW met1 ( 2594170 220830 ) M1M2_PR
NEW met1 ( 2624990 220830 ) M1M2_PR ;
- porb_h ( PIN porb_h ) ( resetb_pad ENABLE_H ) ( mprj_pads.area2_io_pad\[9\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[8\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[7\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[6\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[5\] ENABLE_VDDA_H )
( mprj_pads.area2_io_pad\[4\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[3\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[2\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[1\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[19\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[18\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[17\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[16\] ENABLE_VDDA_H )
( mprj_pads.area2_io_pad\[15\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[14\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[13\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[12\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[11\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[10\] ENABLE_VDDA_H ) ( mprj_pads.area2_io_pad\[0\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[9\] ENABLE_VDDA_H )
( mprj_pads.area1_io_pad\[8\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[7\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[6\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[5\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[4\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[3\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[2\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[1\] ENABLE_VDDA_H )
( mprj_pads.area1_io_pad\[17\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[16\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[15\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[14\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[13\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[12\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[11\] ENABLE_VDDA_H ) ( mprj_pads.area1_io_pad\[10\] ENABLE_VDDA_H )
( mprj_pads.area1_io_pad\[0\] ENABLE_VDDA_H ) ( gpio_pad ENABLE_VDDA_H ) ( gpio_pad ENABLE_H ) ( flash_io1_pad ENABLE_VDDA_H ) ( flash_io1_pad ENABLE_H ) ( flash_io0_pad ENABLE_VDDA_H ) ( flash_io0_pad ENABLE_H ) ( flash_csb_pad ENABLE_VDDA_H )
( flash_csb_pad ENABLE_H ) ( flash_clk_pad ENABLE_VDDA_H ) ( flash_clk_pad ENABLE_H ) ( clock_pad ENABLE_VDDA_H ) ( clock_pad ENABLE_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 1569585 0 ) ( 209070 * )
NEW met2 ( 209070 1567570 ) ( * 1569585 )
NEW met1 ( 209070 1567570 ) ( 213210 * )
NEW met2 ( 2341630 209100 ) ( 2341795 * 0 )
NEW met2 ( 2341630 209100 ) ( * 222190 )
NEW met2 ( 2363250 209100 ) ( 2363415 * 0 )
NEW met2 ( 208610 4784585 0 ) ( 211370 * )
NEW met2 ( 211370 4784585 ) ( * 4950570 )
NEW met2 ( 394450 4953970 ) ( * 4977260 0 )
NEW met2 ( 394450 4950570 ) ( * 4953970 )
NEW met2 ( 1165410 4977260 ) ( 1165585 * 0 )
NEW met2 ( 1165410 4952610 ) ( * 4977260 )
NEW met1 ( 1165410 4952610 ) ( 1169090 * )
NEW met1 ( 1169090 4952610 ) ( * 4952950 )
NEW met2 ( 3143410 4977260 ) ( 3143585 * 0 )
NEW met2 ( 2067930 209100 0 ) ( * 222190 )
NEW met2 ( 2089550 209100 0 ) ( * 222190 )
NEW met1 ( 2067930 222190 ) ( 2089550 * )
NEW met1 ( 2089550 222190 ) ( 2341630 * )
NEW met1 ( 3367430 648550 ) ( 3368810 * )
NEW met1 ( 3367890 841330 ) ( 3376630 * )
NEW met1 ( 3367890 841330 ) ( * 841670 )
NEW met2 ( 3377090 1014730 ) ( * 1017415 0 )
NEW met1 ( 3367890 1014730 ) ( 3377090 * )
NEW met1 ( 3369270 1324810 ) ( 3370190 * )
NEW met1 ( 211370 4950570 ) ( 394450 * )
NEW met2 ( 662170 4952950 ) ( * 4953970 )
NEW met2 ( 651590 4953970 ) ( * 4977260 0 )
NEW met1 ( 394450 4953970 ) ( 662170 * )
NEW met2 ( 908585 4977260 0 ) ( 908730 * )
NEW met2 ( 908730 4952950 ) ( * 4977260 )
NEW met1 ( 662170 4952950 ) ( 908730 * )
NEW met1 ( 908730 4953970 ) ( 1165410 * )
NEW met2 ( 3377090 1243380 0 ) ( * 1245590 )
NEW met1 ( 3369270 1245590 ) ( 3377090 * )
NEW met1 ( 3367890 1245590 ) ( 3369270 * )
NEW met2 ( 3367890 1014730 ) ( * 1245590 )
NEW met2 ( 3369270 1245590 ) ( * 1324810 )
NEW met2 ( 3377090 1468460 0 ) ( * 1469310 )
NEW met1 ( 3368810 1469310 ) ( 3377090 * )
NEW met2 ( 3370190 1324810 ) ( * 1469310 )
NEW met2 ( 3377090 1690650 ) ( * 1693540 0 )
NEW met1 ( 3368810 1690650 ) ( 3377090 * )
NEW met1 ( 3367430 1690650 ) ( 3368810 * )
NEW met2 ( 3368810 1469310 ) ( * 1690650 )
NEW met2 ( 208610 921585 0 ) ( 209070 * )
NEW met2 ( 209070 921585 ) ( * 924290 )
NEW met1 ( 209070 924290 ) ( 212290 * )
NEW met2 ( 208610 1137585 0 ) ( 209070 * )
NEW met2 ( 209070 1137585 ) ( * 1138150 )
NEW met1 ( 209070 1138150 ) ( 213670 * )
NEW met2 ( 208610 1353540 0 ) ( 211830 * )
NEW met1 ( 211830 1353710 ) ( 213210 * )
NEW met2 ( 211830 1353540 ) ( * 1353710 )
NEW met2 ( 208610 2639585 0 ) ( 209070 * )
NEW met2 ( 209070 2638910 ) ( * 2639585 )
NEW met1 ( 209070 2638910 ) ( 213210 * )
NEW met2 ( 208610 2855660 0 ) ( 209070 * )
NEW met2 ( 209070 2855660 ) ( * 2858210 )
NEW met1 ( 209070 2858210 ) ( 213210 * )
NEW met2 ( 208610 3071585 0 ) ( 209070 * )
NEW met2 ( 209070 3070370 ) ( * 3071585 )
NEW met1 ( 209070 3070370 ) ( 213210 * )
NEW met2 ( 212750 3118140 ) ( 213210 * )
NEW met2 ( 213210 3070370 ) ( * 3118140 )
NEW met2 ( 208610 3287460 0 ) ( 209070 * )
NEW met2 ( 209070 3285590 ) ( * 3287460 )
NEW met1 ( 209070 3285590 ) ( 213670 * )
NEW met2 ( 212750 3494180 ) ( 213210 * )
NEW met2 ( 208610 3503700 0 ) ( 209070 * )
NEW met2 ( 209070 3502850 ) ( * 3503700 )
NEW met1 ( 209070 3502850 ) ( 213210 * )
NEW met2 ( 725585 200940 ) ( 725650 * )
NEW met2 ( 725585 199920 0 ) ( * 200940 )
NEW met2 ( 211370 229330 ) ( * 924290 )
NEW met2 ( 212290 924290 ) ( * 1138150 )
NEW met1 ( 211830 1235050 ) ( 213670 * )
NEW met2 ( 211830 1235050 ) ( * 1353540 )
NEW met2 ( 213670 1138150 ) ( * 1235050 )
NEW met2 ( 213210 1353710 ) ( * 1567570 )
NEW met1 ( 212290 1851810 ) ( 213670 * )
NEW met2 ( 208610 2001580 0 ) ( 209070 * )
NEW met2 ( 209070 2001580 ) ( * 2002260 )
NEW met2 ( 209070 2002260 ) ( 212290 * )
NEW met2 ( 212290 1851810 ) ( * 2002260 )
NEW met2 ( 213210 2858210 ) ( * 3070370 )
NEW met1 ( 212750 3166930 ) ( 213670 * )
NEW met2 ( 212750 3118140 ) ( * 3166930 )
NEW met2 ( 213670 3166930 ) ( * 3285590 )
NEW met2 ( 212750 3285590 ) ( * 3494180 )
NEW met2 ( 208610 3719585 0 ) ( 209070 * )
NEW met2 ( 209070 3719585 ) ( * 3722150 )
NEW met1 ( 209070 3722150 ) ( 213210 * )
NEW met2 ( 213210 3494180 ) ( * 3722150 )
NEW met1 ( 209070 3933290 ) ( 213210 * )
NEW met2 ( 209070 3933290 ) ( * 3935500 )
NEW met2 ( 208610 3935500 0 ) ( 209070 * )
NEW met2 ( 213210 3722150 ) ( * 3933290 )
NEW met2 ( 725650 221170 ) ( * 229330 )
NEW met2 ( 725650 200940 ) ( * 221170 )
NEW met2 ( 976810 209100 0 ) ( * 221170 )
NEW met2 ( 2649370 221170 ) ( * 227970 )
NEW met2 ( 2637410 209100 0 ) ( * 221170 )
NEW met2 ( 3377090 563890 ) ( * 566415 0 )
NEW met1 ( 3367430 563890 ) ( 3377090 * )
NEW met2 ( 3367430 227970 ) ( * 563890 )
NEW met2 ( 3368810 563890 ) ( * 648550 )
NEW met2 ( 3377090 789650 ) ( * 792540 0 )
NEW met1 ( 3367430 789650 ) ( 3377090 * )
NEW met2 ( 3376630 791860 ) ( 3377090 * )
NEW met2 ( 3367430 648550 ) ( * 789650 )
NEW met2 ( 3376630 791860 ) ( * 841330 )
NEW met2 ( 3367890 841670 ) ( * 1014730 )
NEW met2 ( 3377090 1919300 0 ) ( * 1920150 )
NEW met1 ( 3367430 1920150 ) ( 3377090 * )
NEW met2 ( 3377090 3256415 0 ) ( * 3258730 )
NEW met1 ( 3367430 3258730 ) ( 3377090 * )
NEW met2 ( 3377090 3479730 ) ( * 3482415 0 )
NEW met1 ( 3367430 3479730 ) ( 3377090 * )
NEW met2 ( 3377090 4378415 0 ) ( * 4379030 )
NEW met1 ( 3367430 4379030 ) ( 3377090 * )
NEW met2 ( 3377090 4821710 ) ( * 4824415 0 )
NEW met1 ( 3367430 4821710 ) ( 3377090 * )
NEW met1 ( 211370 229330 ) ( 725650 * )
NEW met1 ( 725650 221170 ) ( 976810 * )
NEW met1 ( 976810 221170 ) ( 998430 * )
NEW met2 ( 998430 209100 0 ) ( * 222530 )
NEW met1 ( 1187030 4952950 ) ( * 4953630 )
NEW met1 ( 1187030 4953630 ) ( 1283170 * )
NEW met2 ( 1283170 4952610 ) ( * 4953630 )
NEW met1 ( 1169090 4952950 ) ( 1187030 * )
NEW met2 ( 1573430 4952270 ) ( * 4953630 )
NEW met1 ( 1573430 4952270 ) ( 1621270 * )
NEW met2 ( 1621270 4952270 ) ( * 4953970 )
NEW met2 ( 1789170 4953460 ) ( * 4953630 )
NEW met2 ( 1789170 4953460 ) ( 1790090 * )
NEW met2 ( 1790090 4953290 ) ( * 4953460 )
NEW met1 ( 2341630 222190 ) ( 2363250 * )
NEW met2 ( 2363250 209100 ) ( * 222190 )
NEW met2 ( 2377510 4953290 ) ( * 4953970 )
NEW met2 ( 2377510 4953970 ) ( * 4977260 0 )
NEW met1 ( 2363250 222190 ) ( 2615790 * )
NEW met2 ( 2615790 209100 0 ) ( * 222190 )
NEW met1 ( 2615790 221170 ) ( 2649370 * )
NEW met2 ( 2634650 4953970 ) ( * 4977260 0 )
NEW met1 ( 2649370 227970 ) ( 3367430 * )
NEW met1 ( 3143410 4950230 ) ( * 4950570 )
NEW met2 ( 3143410 4950570 ) ( * 4977260 )
NEW met2 ( 3367430 1690650 ) ( * 1920150 )
NEW met2 ( 3367430 1960100 ) ( 3367890 * )
NEW met2 ( 3367890 1960100 ) ( * 2055980 )
NEW met2 ( 3367890 2055980 ) ( 3369730 * )
NEW met2 ( 3367430 1920150 ) ( * 1960100 )
NEW met2 ( 3369270 2346340 ) ( 3369730 * )
NEW met2 ( 3377090 2805340 0 ) ( * 2806190 )
NEW met1 ( 3369270 2806190 ) ( 3377090 * )
NEW met2 ( 3367430 3258730 ) ( * 3479730 )
NEW met2 ( 3377090 3707415 0 ) ( * 3709570 )
NEW met1 ( 3368810 3709570 ) ( 3377090 * )
NEW met2 ( 3368810 3479730 ) ( * 3709570 )
NEW met2 ( 3377090 3932415 0 ) ( * 3934650 )
NEW met1 ( 3367430 3934650 ) ( 3377090 * )
NEW met2 ( 3368810 3709570 ) ( * 3934650 )
NEW met2 ( 3367430 3934650 ) ( * 4821710 )
NEW met1 ( 3143410 4950230 ) ( 3367430 * )
NEW met2 ( 3367430 4821710 ) ( * 4950230 )
NEW met2 ( 208610 1785585 0 ) ( 209070 * )
NEW met2 ( 209070 1785585 ) ( * 1786530 )
NEW met1 ( 209070 1786530 ) ( 213670 * )
NEW met1 ( 212290 1783130 ) ( 213670 * )
NEW met2 ( 213670 1783130 ) ( * 1786530 )
NEW met2 ( 212290 1567570 ) ( * 1783130 )
NEW met2 ( 213670 1786530 ) ( * 1851810 )
NEW met2 ( 1793770 209100 0 ) ( * 223550 )
NEW met2 ( 1814930 209100 ) ( 1815390 * 0 )
NEW met2 ( 1814930 208930 ) ( * 209100 )
NEW met1 ( 1794230 208930 ) ( 1814930 * )
NEW met2 ( 1794230 208930 ) ( * 209100 )
NEW met2 ( 1793770 209100 0 ) ( 1794230 * )
NEW met2 ( 1815390 209100 0 ) ( * 222190 )
NEW met1 ( 1815390 222190 ) ( 2067930 * )
NEW met1 ( 3369730 2152710 ) ( 3370190 * )
NEW met1 ( 3370190 2152710 ) ( * 2153390 )
NEW met2 ( 3369730 2055980 ) ( * 2152710 )
NEW met2 ( 3373410 2946100 ) ( 3373870 * )
NEW met2 ( 3373410 2946100 ) ( * 2994550 )
NEW met1 ( 3373410 2994550 ) ( 3373870 * )
NEW met2 ( 3373870 2806190 ) ( * 2946100 )
NEW met1 ( 212290 2056150 ) ( * 2056490 )
NEW met1 ( 212290 2056490 ) ( 212750 * )
NEW met2 ( 212290 2002260 ) ( * 2056150 )
NEW met3 ( 213210 2221900 ) ( 214590 * )
NEW met2 ( 214590 2221900 ) ( * 2318290 )
NEW met1 ( 213210 2318290 ) ( 214590 * )
NEW met2 ( 213210 2318290 ) ( * 2858210 )
NEW met2 ( 1423010 4952950 ) ( 1423470 * )
NEW met1 ( 1352630 4952950 ) ( 1423010 * )
NEW met1 ( 1352630 4952610 ) ( * 4952950 )
NEW met2 ( 1423470 4952950 ) ( * 4977260 0 )
NEW met1 ( 1283170 4952610 ) ( 1352630 * )
NEW met1 ( 1954310 4952950 ) ( * 4953290 )
NEW met2 ( 1932690 4952950 ) ( * 4977260 0 )
NEW met1 ( 1954310 4953290 ) ( 2377510 * )
NEW met1 ( 3368810 2318290 ) ( 3369270 * )
NEW met2 ( 3368810 2249270 ) ( * 2318290 )
NEW met1 ( 3368810 2249270 ) ( 3369730 * )
NEW met2 ( 3369730 2221900 ) ( * 2249270 )
NEW met2 ( 3369730 2221900 ) ( 3370190 * )
NEW met2 ( 3369270 2318290 ) ( * 2346340 )
NEW met2 ( 3370190 2153390 ) ( * 2221900 )
NEW met2 ( 3369730 2463300 ) ( 3370190 * )
NEW met2 ( 3369730 2346340 ) ( * 2463300 )
NEW met2 ( 3369270 2635340 ) ( 3370190 * )
NEW met2 ( 3369270 2635340 ) ( * 2806190 )
NEW met2 ( 3370190 2463300 ) ( * 2635340 )
NEW met2 ( 3377090 3031415 0 ) ( * 3033650 )
NEW met1 ( 3367430 3033650 ) ( 3377090 * )
NEW met2 ( 3367430 3033650 ) ( * 3258730 )
NEW met2 ( 3373870 2994550 ) ( * 3033650 )
NEW met2 ( 1062830 222530 ) ( * 222700 )
NEW met1 ( 998430 222530 ) ( 1062830 * )
NEW met2 ( 1519610 209100 ) ( 1519795 * 0 )
NEW met2 ( 1519610 209100 ) ( * 222020 )
NEW met3 ( 1449230 222020 ) ( 1519610 * )
NEW met2 ( 1449230 221850 ) ( * 222020 )
NEW met1 ( 1449230 221510 ) ( * 221850 )
NEW met2 ( 1541230 209100 ) ( 1541415 * 0 )
NEW met2 ( 1541230 209100 ) ( * 211310 )
NEW met1 ( 1519610 211310 ) ( 1541230 * )
NEW met2 ( 1541230 211310 ) ( * 223550 )
NEW met1 ( 1541230 223550 ) ( 1793770 * )
NEW met2 ( 1449230 4952780 ) ( * 4952950 )
NEW met3 ( 1449230 4952780 ) ( 1477750 * )
NEW met2 ( 1477750 4952780 ) ( * 4953630 )
NEW met1 ( 1423470 4952950 ) ( 1449230 * )
NEW met1 ( 1477750 4953630 ) ( 1573430 * )
NEW met2 ( 1669570 4953970 ) ( * 4954140 )
NEW met2 ( 1669570 4954140 ) ( 1670030 * )
NEW met2 ( 1670030 4953630 ) ( * 4954140 )
NEW met1 ( 1621270 4953970 ) ( 1669570 * )
NEW met1 ( 1670030 4953630 ) ( 1789170 * )
NEW met2 ( 1861390 4952610 ) ( * 4953290 )
NEW met1 ( 1861390 4952610 ) ( 1863230 * )
NEW met1 ( 1863230 4952610 ) ( * 4952950 )
NEW met1 ( 1790090 4953290 ) ( 1861390 * )
NEW met1 ( 1863230 4952950 ) ( 1954310 * )
NEW met1 ( 212290 2111570 ) ( 212750 * )
NEW met2 ( 212290 2111570 ) ( * 2207790 )
NEW met1 ( 212290 2207790 ) ( 213210 * )
NEW met2 ( 212750 2056490 ) ( * 2111570 )
NEW met2 ( 213210 2207790 ) ( * 2221900 )
NEW met2 ( 1241770 221510 ) ( * 222700 )
NEW met3 ( 1062830 222700 ) ( 1241770 * )
NEW met2 ( 1338830 221340 ) ( * 221510 )
NEW met3 ( 1338830 221340 ) ( 1434510 * )
NEW met2 ( 1434510 221340 ) ( * 222020 )
NEW met2 ( 1434510 222020 ) ( 1434970 * )
NEW met2 ( 1434970 221510 ) ( * 222020 )
NEW met1 ( 1241770 221510 ) ( 1338830 * )
NEW met1 ( 1434970 221510 ) ( 1449230 * )
NEW met2 ( 2729870 4953970 ) ( * 4954140 )
NEW met2 ( 2729870 4954140 ) ( 2732170 * )
NEW met2 ( 2732170 4953970 ) ( * 4954140 )
NEW met1 ( 2377510 4953970 ) ( 2729870 * )
NEW met1 ( 2732170 4953970 ) ( 3143410 * )
NEW met1 ( 211370 229330 ) M1M2_PR
NEW met1 ( 209070 1567570 ) M1M2_PR
NEW met1 ( 213210 1567570 ) M1M2_PR
NEW met1 ( 212290 1567570 ) M1M2_PR
NEW met1 ( 2341630 222190 ) M1M2_PR
NEW met1 ( 211370 4950570 ) M1M2_PR
NEW met1 ( 394450 4953970 ) M1M2_PR
NEW met1 ( 394450 4950570 ) M1M2_PR
NEW met1 ( 1165410 4952610 ) M1M2_PR
NEW met1 ( 1165410 4953970 ) M1M2_PR
NEW met1 ( 2067930 222190 ) M1M2_PR
NEW met1 ( 2089550 222190 ) M1M2_PR
NEW met1 ( 3367430 227970 ) M1M2_PR
NEW met1 ( 3368810 648550 ) M1M2_PR
NEW met1 ( 3367430 648550 ) M1M2_PR
NEW met1 ( 3376630 841330 ) M1M2_PR
NEW met1 ( 3367890 841670 ) M1M2_PR
NEW met1 ( 3377090 1014730 ) M1M2_PR
NEW met1 ( 3367890 1014730 ) M1M2_PR
NEW met1 ( 3369270 1324810 ) M1M2_PR
NEW met1 ( 3370190 1324810 ) M1M2_PR
NEW met1 ( 662170 4953970 ) M1M2_PR
NEW met1 ( 662170 4952950 ) M1M2_PR
NEW met1 ( 651590 4953970 ) M1M2_PR
NEW met1 ( 908730 4952950 ) M1M2_PR
NEW met1 ( 908730 4953970 ) M1M2_PR
NEW met1 ( 3377090 1245590 ) M1M2_PR
NEW met1 ( 3369270 1245590 ) M1M2_PR
NEW met1 ( 3367890 1245590 ) M1M2_PR
NEW met1 ( 3377090 1469310 ) M1M2_PR
NEW met1 ( 3368810 1469310 ) M1M2_PR
NEW met1 ( 3370190 1469310 ) M1M2_PR
NEW met1 ( 3377090 1690650 ) M1M2_PR
NEW met1 ( 3368810 1690650 ) M1M2_PR
NEW met1 ( 3367430 1690650 ) M1M2_PR
NEW met1 ( 209070 924290 ) M1M2_PR
NEW met1 ( 212290 924290 ) M1M2_PR
NEW met1 ( 211370 924290 ) M1M2_PR
NEW met1 ( 209070 1138150 ) M1M2_PR
NEW met1 ( 213670 1138150 ) M1M2_PR
NEW met1 ( 212290 1138150 ) M1M2_PR
NEW met1 ( 213210 1353710 ) M1M2_PR
NEW met1 ( 211830 1353710 ) M1M2_PR
NEW met1 ( 209070 2638910 ) M1M2_PR
NEW met1 ( 213210 2638910 ) M1M2_PR
NEW met1 ( 209070 2858210 ) M1M2_PR
NEW met1 ( 213210 2858210 ) M1M2_PR
NEW met1 ( 209070 3070370 ) M1M2_PR
NEW met1 ( 213210 3070370 ) M1M2_PR
NEW met1 ( 209070 3285590 ) M1M2_PR
NEW met1 ( 213670 3285590 ) M1M2_PR
NEW met1 ( 212750 3285590 ) M1M2_PR
NEW met1 ( 209070 3502850 ) M1M2_PR
NEW met1 ( 213210 3502850 ) M1M2_PR
NEW met1 ( 211830 1235050 ) M1M2_PR
NEW met1 ( 213670 1235050 ) M1M2_PR
NEW met1 ( 213670 1851810 ) M1M2_PR
NEW met1 ( 212290 1851810 ) M1M2_PR
NEW met1 ( 212750 3166930 ) M1M2_PR
NEW met1 ( 213670 3166930 ) M1M2_PR
NEW met1 ( 209070 3722150 ) M1M2_PR
NEW met1 ( 213210 3722150 ) M1M2_PR
NEW met1 ( 213210 3933290 ) M1M2_PR
NEW met1 ( 209070 3933290 ) M1M2_PR
NEW met1 ( 725650 221170 ) M1M2_PR
NEW met1 ( 725650 229330 ) M1M2_PR
NEW met1 ( 976810 221170 ) M1M2_PR
NEW met1 ( 2649370 221170 ) M1M2_PR
NEW met1 ( 2649370 227970 ) M1M2_PR
NEW met1 ( 2637410 221170 ) M1M2_PR
NEW met1 ( 3377090 563890 ) M1M2_PR
NEW met1 ( 3367430 563890 ) M1M2_PR
NEW met1 ( 3368810 563890 ) M1M2_PR
NEW met1 ( 3377090 789650 ) M1M2_PR
NEW met1 ( 3367430 789650 ) M1M2_PR
NEW met1 ( 3377090 1920150 ) M1M2_PR
NEW met1 ( 3367430 1920150 ) M1M2_PR
NEW met1 ( 3377090 3258730 ) M1M2_PR
NEW met1 ( 3367430 3258730 ) M1M2_PR
NEW met1 ( 3377090 3479730 ) M1M2_PR
NEW met1 ( 3367430 3479730 ) M1M2_PR
NEW met1 ( 3368810 3479730 ) M1M2_PR
NEW met1 ( 3377090 4379030 ) M1M2_PR
NEW met1 ( 3367430 4379030 ) M1M2_PR
NEW met1 ( 3377090 4821710 ) M1M2_PR
NEW met1 ( 3367430 4821710 ) M1M2_PR
NEW met1 ( 998430 222530 ) M1M2_PR
NEW met1 ( 998430 221170 ) M1M2_PR
NEW met1 ( 1283170 4953630 ) M1M2_PR
NEW met1 ( 1283170 4952610 ) M1M2_PR
NEW met1 ( 1573430 4953630 ) M1M2_PR
NEW met1 ( 1573430 4952270 ) M1M2_PR
NEW met1 ( 1621270 4952270 ) M1M2_PR
NEW met1 ( 1621270 4953970 ) M1M2_PR
NEW met1 ( 1789170 4953630 ) M1M2_PR
NEW met1 ( 1790090 4953290 ) M1M2_PR
NEW met1 ( 2363250 222190 ) M1M2_PR
NEW met1 ( 2377510 4953970 ) M1M2_PR
NEW met1 ( 2377510 4953290 ) M1M2_PR
NEW met1 ( 2615790 222190 ) M1M2_PR
NEW met1 ( 2615790 221170 ) M1M2_PR
NEW met1 ( 2634650 4953970 ) M1M2_PR
NEW met1 ( 3143410 4950570 ) M1M2_PR
NEW met1 ( 3143410 4953970 ) M1M2_PR
NEW met1 ( 3377090 2806190 ) M1M2_PR
NEW met1 ( 3369270 2806190 ) M1M2_PR
NEW met1 ( 3373870 2806190 ) M1M2_PR
NEW met1 ( 3377090 3709570 ) M1M2_PR
NEW met1 ( 3368810 3709570 ) M1M2_PR
NEW met1 ( 3377090 3934650 ) M1M2_PR
NEW met1 ( 3367430 3934650 ) M1M2_PR
NEW met1 ( 3368810 3934650 ) M1M2_PR
NEW met1 ( 3367430 4950230 ) M1M2_PR
NEW met1 ( 209070 1786530 ) M1M2_PR
NEW met1 ( 213670 1786530 ) M1M2_PR
NEW met1 ( 212290 1783130 ) M1M2_PR
NEW met1 ( 213670 1783130 ) M1M2_PR
NEW met1 ( 1793770 223550 ) M1M2_PR
NEW met1 ( 1814930 208930 ) M1M2_PR
NEW met1 ( 1794230 208930 ) M1M2_PR
NEW met1 ( 1815390 222190 ) M1M2_PR
NEW met1 ( 3369730 2152710 ) M1M2_PR
NEW met1 ( 3370190 2153390 ) M1M2_PR
NEW met1 ( 3373410 2994550 ) M1M2_PR
NEW met1 ( 3373870 2994550 ) M1M2_PR
NEW met1 ( 212290 2056150 ) M1M2_PR
NEW met1 ( 212750 2056490 ) M1M2_PR
NEW met2 ( 213210 2221900 ) via2_FR
NEW met2 ( 214590 2221900 ) via2_FR
NEW met1 ( 214590 2318290 ) M1M2_PR
NEW met1 ( 213210 2318290 ) M1M2_PR
NEW met1 ( 1423470 4952950 ) M1M2_PR
NEW met1 ( 1423010 4952950 ) M1M2_PR
NEW met1 ( 1932690 4952950 ) M1M2_PR
NEW met1 ( 3369270 2318290 ) M1M2_PR
NEW met1 ( 3368810 2318290 ) M1M2_PR
NEW met1 ( 3368810 2249270 ) M1M2_PR
NEW met1 ( 3369730 2249270 ) M1M2_PR
NEW met1 ( 3377090 3033650 ) M1M2_PR
NEW met1 ( 3367430 3033650 ) M1M2_PR
NEW met1 ( 3373870 3033650 ) M1M2_PR
NEW met1 ( 1062830 222530 ) M1M2_PR
NEW met2 ( 1062830 222700 ) via2_FR
NEW met2 ( 1519610 222020 ) via2_FR
NEW met2 ( 1449230 222020 ) via2_FR
NEW met1 ( 1449230 221850 ) M1M2_PR
NEW met1 ( 1541230 211310 ) M1M2_PR
NEW met1 ( 1519610 211310 ) M1M2_PR
NEW met1 ( 1541230 223550 ) M1M2_PR
NEW met1 ( 1449230 4952950 ) M1M2_PR
NEW met2 ( 1449230 4952780 ) via2_FR
NEW met2 ( 1477750 4952780 ) via2_FR
NEW met1 ( 1477750 4953630 ) M1M2_PR
NEW met1 ( 1669570 4953970 ) M1M2_PR
NEW met1 ( 1670030 4953630 ) M1M2_PR
NEW met1 ( 1861390 4953290 ) M1M2_PR
NEW met1 ( 1861390 4952610 ) M1M2_PR
NEW met1 ( 212750 2111570 ) M1M2_PR
NEW met1 ( 212290 2111570 ) M1M2_PR
NEW met1 ( 212290 2207790 ) M1M2_PR
NEW met1 ( 213210 2207790 ) M1M2_PR
NEW met2 ( 1241770 222700 ) via2_FR
NEW met1 ( 1241770 221510 ) M1M2_PR
NEW met1 ( 1338830 221510 ) M1M2_PR
NEW met2 ( 1338830 221340 ) via2_FR
NEW met2 ( 1434510 221340 ) via2_FR
NEW met1 ( 1434970 221510 ) M1M2_PR
NEW met1 ( 2729870 4953970 ) M1M2_PR
NEW met1 ( 2732170 4953970 ) M1M2_PR
NEW met1 ( 212290 1567570 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1165410 4953970 ) RECT ( -70 -485 70 0 )
NEW met1 ( 651590 4953970 ) RECT ( -595 -70 0 70 )
NEW met2 ( 908730 4953970 ) RECT ( -70 -485 70 0 )
NEW met1 ( 3370190 1469310 ) RECT ( -595 -70 0 70 )
NEW met1 ( 211370 924290 ) RECT ( -595 -70 0 70 )
NEW met1 ( 212290 1138150 ) RECT ( -595 -70 0 70 )
NEW met2 ( 211830 1353710 ) RECT ( -70 0 70 315 )
NEW met2 ( 213210 2638910 ) RECT ( -70 -485 70 0 )
NEW met1 ( 212750 3285590 ) RECT ( -595 -70 0 70 )
NEW met2 ( 213210 3502850 ) RECT ( -70 -485 70 0 )
NEW met1 ( 2637410 221170 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3368810 563890 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3368810 3479730 ) RECT ( -595 -70 0 70 )
NEW met2 ( 3367430 4379030 ) RECT ( -70 -485 70 0 )
NEW met2 ( 998430 221170 ) RECT ( -70 0 70 485 )
NEW met2 ( 2615790 221170 ) RECT ( -70 0 70 485 )
NEW met1 ( 2634650 4953970 ) RECT ( -595 -70 0 70 )
NEW met2 ( 3143410 4953970 ) RECT ( -70 -485 70 0 )
NEW met1 ( 3373870 2806190 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3368810 3934650 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1932690 4952950 ) RECT ( 0 -70 595 70 )
NEW met1 ( 3373870 3033650 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1519610 211310 ) RECT ( -70 -485 70 0 ) ;
- vccd ( PIN vccd ) ( resetb_pad ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[9\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[8\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[7\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[6\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[5\] ENABLE_VDDIO )
( mprj_pads.area2_io_pad\[4\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[3\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[2\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[1\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[19\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[18\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[17\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[16\] ENABLE_VDDIO )
( mprj_pads.area2_io_pad\[15\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[14\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[13\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[12\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[11\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[10\] ENABLE_VDDIO ) ( mprj_pads.area2_io_pad\[0\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[9\] ENABLE_VDDIO )
( mprj_pads.area1_io_pad\[8\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[7\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[6\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[5\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[4\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[3\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[2\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[1\] ENABLE_VDDIO )
( mprj_pads.area1_io_pad\[17\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[16\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[15\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[14\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[13\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[12\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[11\] ENABLE_VDDIO ) ( mprj_pads.area1_io_pad\[10\] ENABLE_VDDIO )
( mprj_pads.area1_io_pad\[0\] ENABLE_VDDIO ) ( mgmt_vssd_lvclmap_pad DRN_LVC2 ) ( mgmt_vssd_lvclmap_pad DRN_LVC1 ) ( mgmt_vccd_lvclamp_pad VCCD ) ( mgmt_vccd_lvclamp_pad DRN_LVC2 ) ( mgmt_vccd_lvclamp_pad DRN_LVC1 ) ( gpio_pad ENABLE_VDDIO ) ( flash_io1_pad ENABLE_VDDIO )
( flash_io0_pad ENABLE_VDDIO ) ( flash_csb_pad ENABLE_VDDIO ) ( flash_csb_pad DM[2] ) ( flash_csb_pad DM[1] ) ( flash_clk_pad ENABLE_VDDIO ) ( flash_clk_pad DM[2] ) ( flash_clk_pad DM[1] ) ( clock_pad OE_N )
( clock_pad ENABLE_VDDIO ) ( clock_pad DM[0] ) + USE SIGNAL
+ ROUTED met1 ( 221030 358870 ) ( 221950 * )
NEW met1 ( 841110 207230 ) ( * 207570 )
NEW met2 ( 1007630 209100 0 ) ( 1008090 * )
NEW met2 ( 1008090 209100 ) ( * 209270 )
NEW met1 ( 1008090 209270 ) ( 1012690 * )
NEW met2 ( 1012690 207230 ) ( * 209270 )
NEW met2 ( 964390 209100 0 ) ( 964850 * )
NEW met2 ( 964850 209100 ) ( * 209270 )
NEW met1 ( 964850 209270 ) ( 1008090 * )
NEW met2 ( 942770 209100 0 ) ( 943230 * )
NEW met2 ( 943230 209100 ) ( * 209270 )
NEW met1 ( 943230 209270 ) ( 964850 * )
NEW met1 ( 942770 208590 ) ( * 209270 )
NEW met1 ( 942770 209270 ) ( 943230 * )
NEW met2 ( 1200830 199580 ) ( * 207230 )
NEW met1 ( 1553650 222190 ) ( * 222530 )
NEW met2 ( 1528810 209100 ) ( 1528995 * 0 )
NEW met2 ( 1528810 209100 ) ( * 222530 )
NEW met2 ( 1759730 209100 0 ) ( * 222190 )
NEW met2 ( 1772150 209100 0 ) ( * 222190 )
NEW met1 ( 1759730 222190 ) ( 1772150 * )
NEW met2 ( 1802970 209100 0 ) ( * 222190 )
NEW met1 ( 1772150 222190 ) ( 1802970 * )
NEW met2 ( 2307590 209100 ) ( 2307755 * 0 )
NEW met2 ( 2307590 209100 ) ( * 221510 )
NEW met2 ( 2307590 221510 ) ( * 223890 )
NEW met2 ( 441830 4950570 ) ( * 4953290 )
NEW met1 ( 441830 4950230 ) ( * 4950570 )
NEW met2 ( 450110 4953290 ) ( * 4977260 0 )
NEW met2 ( 964245 4977260 0 ) ( 964390 * )
NEW met2 ( 964390 4953290 ) ( * 4977260 )
NEW met2 ( 1168170 4951590 ) ( * 4952950 )
NEW met1 ( 1131830 4952950 ) ( 1168170 * )
NEW met1 ( 1131830 4952950 ) ( * 4953290 )
NEW met1 ( 842030 207570 ) ( * 207910 )
NEW met1 ( 842030 207910 ) ( 859970 * )
NEW met1 ( 859970 207910 ) ( * 208590 )
NEW met1 ( 841110 207570 ) ( 842030 * )
NEW met1 ( 859970 208590 ) ( 942770 * )
NEW met1 ( 1012690 207230 ) ( 1200830 * )
NEW met3 ( 1244300 196860 0 ) ( * 199580 )
NEW met3 ( 1244300 199580 ) ( 1269830 * )
NEW met2 ( 1269830 199580 ) ( * 207910 )
NEW met3 ( 1232340 196860 0 ) ( * 197540 )
NEW met3 ( 1232340 197540 ) ( 1244300 * )
NEW met3 ( 1232340 197540 ) ( * 199580 )
NEW met3 ( 1200830 199580 ) ( 1232340 * )
NEW met2 ( 1484650 209100 ) ( 1485755 * 0 )
NEW met2 ( 1484650 208930 ) ( * 209100 )
NEW met1 ( 1484650 208590 ) ( * 208930 )
NEW met1 ( 1484190 208590 ) ( 1484650 * )
NEW met1 ( 1484190 207230 ) ( * 208590 )
NEW met2 ( 1497530 209100 ) ( 1498175 * 0 )
NEW met2 ( 1497530 209100 ) ( * 209270 )
NEW met1 ( 1484650 209270 ) ( 1497530 * )
NEW met1 ( 1484650 208930 ) ( * 209270 )
NEW met2 ( 1497990 209100 ) ( * 222530 )
NEW met1 ( 1497990 222530 ) ( 1553650 * )
NEW met1 ( 1553650 222190 ) ( 1759730 * )
NEW met2 ( 2033890 209100 0 ) ( * 223890 )
NEW met1 ( 1802970 221510 ) ( 2033890 * )
NEW met1 ( 2033890 223890 ) ( 2307590 * )
NEW met2 ( 3377090 1187620 0 ) ( * 1188470 )
NEW met1 ( 3367430 1188470 ) ( 3377090 * )
NEW met2 ( 3377090 1410490 ) ( * 1412700 0 )
NEW met1 ( 3375250 1410490 ) ( 3377090 * )
NEW met2 ( 3375250 1325150 ) ( * 1410490 )
NEW met1 ( 3368810 1325150 ) ( 3375250 * )
NEW met1 ( 3368810 1324810 ) ( * 1325150 )
NEW met1 ( 3367890 1410490 ) ( * 1410830 )
NEW met1 ( 3367890 1410490 ) ( 3375250 * )
NEW met1 ( 212750 4950230 ) ( 441830 * )
NEW met2 ( 707250 4953290 ) ( * 4977260 0 )
NEW met1 ( 441830 4953290 ) ( 707250 * )
NEW met1 ( 707250 4953290 ) ( 964390 * )
NEW met1 ( 964390 4953290 ) ( 1131830 * )
NEW met2 ( 2433170 4977260 0 ) ( 2433630 * )
NEW met2 ( 3199070 4977260 ) ( 3199245 * 0 )
NEW met1 ( 3368350 508470 ) ( 3377090 * )
NEW met2 ( 3368350 227630 ) ( * 508470 )
NEW met1 ( 3367430 889950 ) ( 3369730 * )
NEW met2 ( 3368810 1188470 ) ( * 1324810 )
NEW met2 ( 3377090 1637780 0 ) ( * 1640330 )
NEW met1 ( 3367890 1640330 ) ( 3377090 * )
NEW met2 ( 3367890 1410830 ) ( * 1640330 )
NEW met3 ( 197340 378420 0 ) ( * 379780 )
NEW met3 ( 197340 366180 0 ) ( * 366860 )
NEW met3 ( 197340 341020 0 ) ( 221030 * )
NEW met3 ( 197340 366860 ) ( 221950 * )
NEW met3 ( 197340 379780 ) ( 221950 * )
NEW met1 ( 212750 1766130 ) ( 213670 * )
NEW met2 ( 208610 2057340 0 ) ( 209070 * )
NEW met2 ( 208610 2695180 0 ) ( 209070 * )
NEW met2 ( 209070 2692630 ) ( * 2695180 )
NEW met1 ( 209070 2692630 ) ( 213670 * )
NEW met2 ( 208610 2911245 0 ) ( 209070 * )
NEW met2 ( 209070 2908530 ) ( * 2911245 )
NEW met1 ( 209070 2908190 ) ( * 2908530 )
NEW met1 ( 209070 2908190 ) ( 213670 * )
NEW met1 ( 213670 2908190 ) ( 215050 * )
NEW met2 ( 213670 3655340 ) ( 215050 * )
NEW met2 ( 208610 3991260 0 ) ( 209070 * )
NEW met2 ( 209070 3991260 ) ( * 3993470 )
NEW met1 ( 209070 3993470 ) ( 213670 * )
NEW met2 ( 213670 3991260 ) ( 214590 * )
NEW met2 ( 213670 3991260 ) ( * 3993470 )
NEW met3 ( 729340 199835 0 ) ( * 201620 )
NEW met3 ( 729340 201620 ) ( 750030 * )
NEW met2 ( 750030 201620 ) ( * 207230 )
NEW met3 ( 729330 201620 ) ( 729340 * )
NEW met1 ( 750030 207230 ) ( 841110 * )
NEW met1 ( 1283630 207570 ) ( * 207910 )
NEW met1 ( 1283630 207570 ) ( 1379770 * )
NEW met1 ( 1379770 207230 ) ( * 207570 )
NEW met1 ( 1269830 207910 ) ( 1283630 * )
NEW met1 ( 1379770 207230 ) ( 1484190 * )
NEW met2 ( 208610 1193245 0 ) ( 209070 * )
NEW met2 ( 209070 1193245 ) ( * 1195270 )
NEW met1 ( 209070 1195270 ) ( 212290 * )
NEW met1 ( 212290 1195270 ) ( 213210 * )
NEW met2 ( 208610 1409300 0 ) ( 209070 * )
NEW met2 ( 209070 1409300 ) ( * 1411510 )
NEW met1 ( 209070 1411510 ) ( 213670 * )
NEW met2 ( 212290 1195270 ) ( * 1411510 )
NEW met2 ( 208610 1625245 0 ) ( 209070 * )
NEW met2 ( 209070 1622650 ) ( * 1625245 )
NEW met1 ( 209070 1622650 ) ( 213670 * )
NEW met2 ( 213670 1411510 ) ( * 1622650 )
NEW met2 ( 213670 1622650 ) ( * 1766130 )
NEW met2 ( 208610 1841245 0 ) ( 209070 * )
NEW met2 ( 209070 1838550 ) ( * 1841245 )
NEW met1 ( 209070 1838550 ) ( 212750 * )
NEW met2 ( 212750 1766130 ) ( * 1838550 )
NEW met2 ( 212750 2024020 ) ( 213670 * )
NEW met1 ( 209070 2055470 ) ( 213670 * )
NEW met2 ( 209070 2055470 ) ( * 2057340 )
NEW met2 ( 212750 1838550 ) ( * 2024020 )
NEW met2 ( 213670 2692630 ) ( * 2908190 )
NEW met2 ( 208610 3127245 0 ) ( 209070 * )
NEW met2 ( 209070 3127245 ) ( * 3129530 )
NEW met1 ( 209070 3129530 ) ( 213670 * )
NEW met2 ( 213670 3129530 ) ( * 3150780 )
NEW met2 ( 213210 3150780 ) ( 213670 * )
NEW met2 ( 208610 3343220 0 ) ( 209070 * )
NEW met2 ( 209070 3343220 ) ( * 3345430 )
NEW met1 ( 209070 3345430 ) ( 214590 * )
NEW met2 ( 213210 3150780 ) ( * 3345430 )
NEW met2 ( 208610 3559245 0 ) ( 209070 * )
NEW met2 ( 209070 3556570 ) ( * 3559245 )
NEW met1 ( 209070 3556230 ) ( * 3556570 )
NEW met1 ( 209070 3556230 ) ( 214590 * )
NEW met2 ( 214590 3556060 ) ( 215050 * )
NEW met2 ( 215050 3556060 ) ( * 3655340 )
NEW met2 ( 208610 3775245 0 ) ( 209070 * )
NEW met2 ( 209070 3772470 ) ( * 3775245 )
NEW met1 ( 209070 3772130 ) ( * 3772470 )
NEW met1 ( 209070 3772130 ) ( 213670 * )
NEW met2 ( 213670 3794740 ) ( 214130 * )
NEW met2 ( 213670 3772130 ) ( * 3794740 )
NEW met2 ( 213670 3655340 ) ( * 3772130 )
NEW met2 ( 212750 4180980 ) ( 213670 * )
NEW met2 ( 729330 201620 ) ( * 228310 )
NEW met2 ( 1283630 4951590 ) ( * 4952950 )
NEW met2 ( 1221070 4977260 ) ( 1221245 * 0 )
NEW met2 ( 1221070 4977260 ) ( * 4977940 )
NEW met2 ( 1221070 4977940 ) ( 1221990 * )
NEW met2 ( 3377090 508470 ) ( * 510755 0 )
NEW met2 ( 3377090 736780 0 ) ( * 739330 )
NEW met1 ( 3369730 739330 ) ( 3377090 * )
NEW met1 ( 3368350 739330 ) ( 3369730 * )
NEW met2 ( 3368350 508470 ) ( * 739330 )
NEW met2 ( 3369730 739330 ) ( * 889950 )
NEW met2 ( 3377090 959650 ) ( * 961860 0 )
NEW met1 ( 3367430 959650 ) ( 3377090 * )
NEW met2 ( 3367430 889950 ) ( * 959650 )
NEW met2 ( 3367430 959650 ) ( * 1188470 )
NEW met1 ( 3367890 3118310 ) ( * 3118650 )
NEW met1 ( 3367890 3118310 ) ( 3369270 * )
NEW met2 ( 3377090 3426010 ) ( * 3426860 0 )
NEW met1 ( 3367890 3426010 ) ( 3377090 * )
NEW met2 ( 3377090 3650750 ) ( * 3651755 0 )
NEW met1 ( 3367890 3650750 ) ( 3377090 * )
NEW met2 ( 3377090 3874470 ) ( * 3876755 0 )
NEW met1 ( 3369730 3874470 ) ( 3377090 * )
NEW met1 ( 3368350 3874470 ) ( 3369730 * )
NEW met2 ( 3377090 4768755 0 ) ( * 4769350 )
NEW met1 ( 3368350 4769350 ) ( 3377090 * )
NEW met2 ( 221030 228310 ) ( * 358870 )
NEW met1 ( 221030 228310 ) ( 729330 * )
NEW met2 ( 222410 510340 ) ( 223330 * )
NEW met2 ( 1221990 4951590 ) ( * 4952950 )
NEW met1 ( 1168170 4951590 ) ( 1221990 * )
NEW met2 ( 1221990 4952950 ) ( * 4977940 )
NEW met1 ( 1221990 4952950 ) ( 1283630 * )
NEW met1 ( 2307590 221510 ) ( 2581750 * )
NEW met2 ( 2581750 209100 0 ) ( * 227630 )
NEW met1 ( 2581750 227630 ) ( 3368350 * )
NEW met2 ( 3199070 4950910 ) ( * 4952610 )
NEW met2 ( 3199070 4952610 ) ( * 4977260 )
NEW met1 ( 3367890 1861670 ) ( 3377090 * )
NEW met2 ( 3367890 1640330 ) ( * 1861670 )
NEW met2 ( 3377090 1861670 ) ( * 1863755 0 )
NEW met2 ( 3377090 2749755 0 ) ( * 2752470 )
NEW met1 ( 3368350 2752470 ) ( 3377090 * )
NEW met2 ( 3377090 2974490 ) ( * 2975755 0 )
NEW met1 ( 3368350 2974490 ) ( 3377090 * )
NEW met2 ( 3368350 2752470 ) ( * 2974490 )
NEW met2 ( 3369270 2974490 ) ( * 3118310 )
NEW met2 ( 3377090 3198550 ) ( * 3200755 0 )
NEW met1 ( 3367890 3198550 ) ( 3377090 * )
NEW met2 ( 3367890 3118650 ) ( * 3198550 )
NEW met2 ( 3367890 3198550 ) ( * 3426010 )
NEW met2 ( 3367890 3426010 ) ( * 3650750 )
NEW met2 ( 3369730 3650750 ) ( * 3874470 )
NEW met2 ( 3377090 4322755 0 ) ( * 4324970 )
NEW met1 ( 3368350 4324970 ) ( 3377090 * )
NEW met2 ( 3368350 3874470 ) ( * 4324970 )
NEW met1 ( 3199070 4950910 ) ( 3368350 * )
NEW met2 ( 3368350 4324970 ) ( * 4950910 )
NEW met1 ( 221490 386410 ) ( 221950 * )
NEW met2 ( 221490 386410 ) ( * 482970 )
NEW met1 ( 221490 482970 ) ( 222410 * )
NEW met2 ( 221950 358870 ) ( * 386410 )
NEW met2 ( 222410 482970 ) ( * 510340 )
NEW met2 ( 223330 606900 ) ( 224710 * )
NEW met2 ( 223330 510340 ) ( * 606900 )
NEW met1 ( 223330 800190 ) ( * 800870 )
NEW met1 ( 223330 800870 ) ( 223790 * )
NEW met2 ( 208610 977245 0 ) ( 209070 * )
NEW met2 ( 209070 977245 ) ( * 979370 )
NEW met1 ( 209070 979370 ) ( 213210 * )
NEW met1 ( 213210 979370 ) ( 223330 * )
NEW met2 ( 213210 979370 ) ( * 1195270 )
NEW met1 ( 214130 3864270 ) ( 215510 * )
NEW met2 ( 215510 3864270 ) ( * 3960490 )
NEW met1 ( 214590 3960490 ) ( 215510 * )
NEW met2 ( 214130 3794740 ) ( * 3864270 )
NEW met2 ( 214590 3960490 ) ( * 3991260 )
NEW met2 ( 213670 3993470 ) ( * 4180980 )
NEW met1 ( 212750 4250510 ) ( 213670 * )
NEW met2 ( 213670 4250510 ) ( * 4346900 )
NEW met3 ( 212750 4346900 ) ( 213670 * )
NEW met2 ( 212750 4180980 ) ( * 4250510 )
NEW met1 ( 212750 4443630 ) ( 213670 * )
NEW met2 ( 213670 4443630 ) ( * 4540190 )
NEW met1 ( 212750 4540190 ) ( 213670 * )
NEW met2 ( 212750 4346900 ) ( * 4443630 )
NEW met1 ( 212750 4637090 ) ( 213670 * )
NEW met2 ( 213670 4637090 ) ( * 4733310 )
NEW met1 ( 212750 4733310 ) ( 213670 * )
NEW met2 ( 212750 4540190 ) ( * 4637090 )
NEW met2 ( 208610 4840245 0 ) ( 209070 * )
NEW met2 ( 209070 4840245 ) ( * 4842450 )
NEW met1 ( 209070 4842450 ) ( 212750 * )
NEW met1 ( 212750 4842450 ) ( * 4842790 )
NEW met1 ( 212750 4830210 ) ( 213670 * )
NEW met2 ( 213670 4830210 ) ( * 4842450 )
NEW met1 ( 212750 4842450 ) ( 213670 * )
NEW met2 ( 212750 4733310 ) ( * 4830210 )
NEW met2 ( 212750 4842790 ) ( * 4950230 )
NEW met1 ( 223330 772650 ) ( 224250 * )
NEW met2 ( 224250 772140 ) ( * 772650 )
NEW met2 ( 224250 772140 ) ( 224710 * )
NEW met2 ( 223330 772650 ) ( * 800190 )
NEW met2 ( 224710 606900 ) ( * 772140 )
NEW met3 ( 222410 965940 ) ( 223330 * )
NEW met2 ( 222410 869550 ) ( * 965940 )
NEW met1 ( 222410 869550 ) ( 223790 * )
NEW met2 ( 223330 965940 ) ( * 979370 )
NEW met2 ( 223790 800870 ) ( * 869550 )
NEW met1 ( 213670 3091110 ) ( 215050 * )
NEW met2 ( 213670 3091110 ) ( * 3129530 )
NEW met2 ( 215050 2908190 ) ( * 3091110 )
NEW met2 ( 214590 3407140 ) ( 215510 * )
NEW met2 ( 215510 3407140 ) ( * 3477350 )
NEW met1 ( 214590 3477350 ) ( 215510 * )
NEW met2 ( 214590 3345430 ) ( * 3407140 )
NEW met2 ( 214590 3477350 ) ( * 3556230 )
NEW met2 ( 1988350 4951590 ) ( * 4977260 0 )
NEW met2 ( 2028370 4951590 ) ( * 4952270 )
NEW met1 ( 1988350 4952270 ) ( 2028370 * )
NEW met2 ( 2152570 4951930 ) ( * 4952100 )
NEW met2 ( 2152570 4952100 ) ( 2153030 * )
NEW met2 ( 2153030 4951930 ) ( * 4952100 )
NEW met1 ( 2153030 4951930 ) ( 2173270 * )
NEW met1 ( 2173270 4951590 ) ( * 4951930 )
NEW met2 ( 2345770 4951930 ) ( * 4952100 )
NEW met2 ( 2345770 4952100 ) ( 2346230 * )
NEW met2 ( 2346230 4951930 ) ( * 4952100 )
NEW met2 ( 3118570 4952780 ) ( * 4952950 )
NEW met2 ( 3118570 4952780 ) ( 3119490 * )
NEW met2 ( 3119490 4952610 ) ( * 4952780 )
NEW met1 ( 3119490 4952610 ) ( 3199070 * )
NEW met2 ( 1479130 4952270 ) ( * 4977260 0 )
NEW met1 ( 1479130 4951590 ) ( * 4952270 )
NEW met1 ( 1283630 4951590 ) ( 1479130 * )
NEW met1 ( 2028830 4951250 ) ( * 4951590 )
NEW met2 ( 2028830 4951250 ) ( * 4951420 )
NEW met3 ( 2028830 4951420 ) ( 2124970 * )
NEW met2 ( 2124970 4951420 ) ( * 4951930 )
NEW met1 ( 2028370 4951590 ) ( 2028830 * )
NEW met1 ( 2124970 4951930 ) ( 2152570 * )
NEW met2 ( 2222030 4951420 ) ( * 4951590 )
NEW met3 ( 2222030 4951420 ) ( 2318170 * )
NEW met2 ( 2318170 4951420 ) ( * 4951930 )
NEW met1 ( 2173270 4951590 ) ( 2222030 * )
NEW met1 ( 2318170 4951930 ) ( 2345770 * )
NEW met2 ( 213670 2024020 ) ( * 2692630 )
NEW met2 ( 1545370 4952270 ) ( * 4952950 )
NEW met1 ( 1545370 4952950 ) ( 1579870 * )
NEW met2 ( 1579870 4951250 ) ( * 4952950 )
NEW met1 ( 1479130 4952270 ) ( 1545370 * )
NEW met1 ( 1738110 4952270 ) ( * 4952950 )
NEW met1 ( 1738110 4952270 ) ( 1766170 * )
NEW met2 ( 1766170 4952100 ) ( * 4952270 )
NEW met2 ( 1766170 4952100 ) ( 1767090 * )
NEW met2 ( 1767090 4952100 ) ( * 4952270 )
NEW met2 ( 1628630 4950570 ) ( * 4951250 )
NEW met1 ( 1628630 4950570 ) ( 1718790 * )
NEW met2 ( 1718790 4950570 ) ( * 4952950 )
NEW met1 ( 1579870 4951250 ) ( 1628630 * )
NEW met1 ( 1718790 4952950 ) ( 1738110 * )
NEW met2 ( 1821830 4952100 ) ( * 4952270 )
NEW met3 ( 1821830 4952100 ) ( 1917970 * )
NEW met2 ( 1917970 4951590 ) ( * 4952100 )
NEW met1 ( 1767090 4952270 ) ( 1821830 * )
NEW met1 ( 1917970 4951590 ) ( 1988350 * )
NEW met2 ( 2689390 4977260 ) ( 2690310 * 0 )
NEW met1 ( 2360030 4951250 ) ( 2433630 * )
NEW met2 ( 2360030 4951250 ) ( * 4951930 )
NEW met1 ( 2346230 4951930 ) ( 2360030 * )
NEW met2 ( 2433630 4951250 ) ( * 4977260 )
NEW met1 ( 2688010 4952610 ) ( 2689390 * )
NEW met1 ( 2688010 4952610 ) ( * 4952950 )
NEW met2 ( 2731710 4952270 ) ( * 4953290 )
NEW met1 ( 2689390 4952270 ) ( 2731710 * )
NEW met1 ( 2689390 4952270 ) ( * 4952610 )
NEW met1 ( 2433630 4952950 ) ( 2688010 * )
NEW met2 ( 2689390 4952610 ) ( * 4977260 )
NEW met1 ( 2925830 4952610 ) ( * 4952950 )
NEW met2 ( 2925830 4952610 ) ( * 4952780 )
NEW met3 ( 2925830 4952780 ) ( 2940090 * )
NEW met2 ( 2940090 4952780 ) ( * 4952950 )
NEW met1 ( 2940090 4952950 ) ( 3118570 * )
NEW met2 ( 2815430 4953290 ) ( * 4953460 )
NEW met3 ( 2815430 4953460 ) ( 2911570 * )
NEW met2 ( 2911570 4952950 ) ( * 4953460 )
NEW met1 ( 2731710 4953290 ) ( 2815430 * )
NEW met1 ( 2911570 4952950 ) ( 2925830 * )
NEW met1 ( 221030 358870 ) M1M2_PR
NEW met1 ( 221950 358870 ) M1M2_PR
NEW met2 ( 221950 366860 ) via2_FR
NEW met2 ( 221950 379780 ) via2_FR
NEW met1 ( 1008090 209270 ) M1M2_PR
NEW met1 ( 1012690 209270 ) M1M2_PR
NEW met1 ( 1012690 207230 ) M1M2_PR
NEW met1 ( 964850 209270 ) M1M2_PR
NEW met1 ( 943230 209270 ) M1M2_PR
NEW met1 ( 1200830 207230 ) M1M2_PR
NEW met2 ( 1200830 199580 ) via2_FR
NEW met1 ( 1528810 222530 ) M1M2_PR
NEW met1 ( 1759730 222190 ) M1M2_PR
NEW met1 ( 1772150 222190 ) M1M2_PR
NEW met1 ( 1802970 222190 ) M1M2_PR
NEW met1 ( 1802970 221510 ) M1M2_PR
NEW met1 ( 2307590 221510 ) M1M2_PR
NEW met1 ( 2307590 223890 ) M1M2_PR
NEW met2 ( 221030 341020 ) via2_FR
NEW met1 ( 212750 4950230 ) M1M2_PR
NEW met1 ( 441830 4953290 ) M1M2_PR
NEW met1 ( 441830 4950570 ) M1M2_PR
NEW met1 ( 450110 4953290 ) M1M2_PR
NEW met1 ( 964390 4953290 ) M1M2_PR
NEW met1 ( 1168170 4951590 ) M1M2_PR
NEW met1 ( 1168170 4952950 ) M1M2_PR
NEW met2 ( 1269830 199580 ) via2_FR
NEW met1 ( 1269830 207910 ) M1M2_PR
NEW met1 ( 1484650 208930 ) M1M2_PR
NEW met1 ( 1497530 209270 ) M1M2_PR
NEW met1 ( 1497990 222530 ) M1M2_PR
NEW met1 ( 2033890 223890 ) M1M2_PR
NEW met1 ( 2033890 221510 ) M1M2_PR
NEW met1 ( 3368350 227630 ) M1M2_PR
NEW met1 ( 3377090 1188470 ) M1M2_PR
NEW met1 ( 3367430 1188470 ) M1M2_PR
NEW met1 ( 3368810 1188470 ) M1M2_PR
NEW met1 ( 3377090 1410490 ) M1M2_PR
NEW met1 ( 3375250 1410490 ) M1M2_PR
NEW met1 ( 3375250 1325150 ) M1M2_PR
NEW met1 ( 3368810 1324810 ) M1M2_PR
NEW met1 ( 3367890 1410830 ) M1M2_PR
NEW met1 ( 707250 4953290 ) M1M2_PR
NEW met1 ( 3377090 508470 ) M1M2_PR
NEW met1 ( 3368350 508470 ) M1M2_PR
NEW met1 ( 3367430 889950 ) M1M2_PR
NEW met1 ( 3369730 889950 ) M1M2_PR
NEW met1 ( 3377090 1640330 ) M1M2_PR
NEW met1 ( 3367890 1640330 ) M1M2_PR
NEW met1 ( 212750 1766130 ) M1M2_PR
NEW met1 ( 213670 1766130 ) M1M2_PR
NEW met1 ( 209070 2692630 ) M1M2_PR
NEW met1 ( 213670 2692630 ) M1M2_PR
NEW met1 ( 209070 2908530 ) M1M2_PR
NEW met1 ( 213670 2908190 ) M1M2_PR
NEW met1 ( 215050 2908190 ) M1M2_PR
NEW met1 ( 209070 3993470 ) M1M2_PR
NEW met1 ( 213670 3993470 ) M1M2_PR
NEW met2 ( 750030 201620 ) via2_FR
NEW met1 ( 750030 207230 ) M1M2_PR
NEW met2 ( 729330 201620 ) via2_FR
NEW met1 ( 209070 1195270 ) M1M2_PR
NEW met1 ( 212290 1195270 ) M1M2_PR
NEW met1 ( 213210 1195270 ) M1M2_PR
NEW met1 ( 209070 1411510 ) M1M2_PR
NEW met1 ( 213670 1411510 ) M1M2_PR
NEW met1 ( 212290 1411510 ) M1M2_PR
NEW met1 ( 209070 1622650 ) M1M2_PR
NEW met1 ( 213670 1622650 ) M1M2_PR
NEW met1 ( 209070 1838550 ) M1M2_PR
NEW met1 ( 212750 1838550 ) M1M2_PR
NEW met1 ( 209070 2055470 ) M1M2_PR
NEW met1 ( 213670 2055470 ) M1M2_PR
NEW met1 ( 209070 3129530 ) M1M2_PR
NEW met1 ( 213670 3129530 ) M1M2_PR
NEW met1 ( 209070 3345430 ) M1M2_PR
NEW met1 ( 214590 3345430 ) M1M2_PR
NEW met1 ( 213210 3345430 ) M1M2_PR
NEW met1 ( 209070 3556570 ) M1M2_PR
NEW met1 ( 214590 3556230 ) M1M2_PR
NEW met1 ( 209070 3772470 ) M1M2_PR
NEW met1 ( 213670 3772130 ) M1M2_PR
NEW met1 ( 729330 228310 ) M1M2_PR
NEW met1 ( 1283630 4952950 ) M1M2_PR
NEW met1 ( 1283630 4951590 ) M1M2_PR
NEW met1 ( 3377090 739330 ) M1M2_PR
NEW met1 ( 3369730 739330 ) M1M2_PR
NEW met1 ( 3368350 739330 ) M1M2_PR
NEW met1 ( 3377090 959650 ) M1M2_PR
NEW met1 ( 3367430 959650 ) M1M2_PR
NEW met1 ( 3367890 3118650 ) M1M2_PR
NEW met1 ( 3369270 3118310 ) M1M2_PR
NEW met1 ( 3377090 3426010 ) M1M2_PR
NEW met1 ( 3367890 3426010 ) M1M2_PR
NEW met1 ( 3377090 3650750 ) M1M2_PR
NEW met1 ( 3367890 3650750 ) M1M2_PR
NEW met1 ( 3369730 3650750 ) M1M2_PR
NEW met1 ( 3377090 3874470 ) M1M2_PR
NEW met1 ( 3369730 3874470 ) M1M2_PR
NEW met1 ( 3368350 3874470 ) M1M2_PR
NEW met1 ( 3377090 4769350 ) M1M2_PR
NEW met1 ( 3368350 4769350 ) M1M2_PR
NEW met1 ( 221030 228310 ) M1M2_PR
NEW met1 ( 1221990 4952950 ) M1M2_PR
NEW met1 ( 1221990 4951590 ) M1M2_PR
NEW met1 ( 2581750 227630 ) M1M2_PR
NEW met1 ( 2581750 221510 ) M1M2_PR
NEW met1 ( 3199070 4952610 ) M1M2_PR
NEW met1 ( 3199070 4950910 ) M1M2_PR
NEW met1 ( 3367890 1861670 ) M1M2_PR
NEW met1 ( 3377090 1861670 ) M1M2_PR
NEW met1 ( 3377090 2752470 ) M1M2_PR
NEW met1 ( 3368350 2752470 ) M1M2_PR
NEW met1 ( 3377090 2974490 ) M1M2_PR
NEW met1 ( 3368350 2974490 ) M1M2_PR
NEW met1 ( 3369270 2974490 ) M1M2_PR
NEW met1 ( 3377090 3198550 ) M1M2_PR
NEW met1 ( 3367890 3198550 ) M1M2_PR
NEW met1 ( 3377090 4324970 ) M1M2_PR
NEW met1 ( 3368350 4324970 ) M1M2_PR
NEW met1 ( 3368350 4950910 ) M1M2_PR
NEW met1 ( 221950 386410 ) M1M2_PR
NEW met1 ( 221490 386410 ) M1M2_PR
NEW met1 ( 221490 482970 ) M1M2_PR
NEW met1 ( 222410 482970 ) M1M2_PR
NEW met1 ( 223330 800190 ) M1M2_PR
NEW met1 ( 223790 800870 ) M1M2_PR
NEW met1 ( 209070 979370 ) M1M2_PR
NEW met1 ( 213210 979370 ) M1M2_PR
NEW met1 ( 223330 979370 ) M1M2_PR
NEW met1 ( 214130 3864270 ) M1M2_PR
NEW met1 ( 215510 3864270 ) M1M2_PR
NEW met1 ( 215510 3960490 ) M1M2_PR
NEW met1 ( 214590 3960490 ) M1M2_PR
NEW met1 ( 212750 4250510 ) M1M2_PR
NEW met1 ( 213670 4250510 ) M1M2_PR
NEW met2 ( 213670 4346900 ) via2_FR
NEW met2 ( 212750 4346900 ) via2_FR
NEW met1 ( 212750 4443630 ) M1M2_PR
NEW met1 ( 213670 4443630 ) M1M2_PR
NEW met1 ( 213670 4540190 ) M1M2_PR
NEW met1 ( 212750 4540190 ) M1M2_PR
NEW met1 ( 212750 4637090 ) M1M2_PR
NEW met1 ( 213670 4637090 ) M1M2_PR
NEW met1 ( 213670 4733310 ) M1M2_PR
NEW met1 ( 212750 4733310 ) M1M2_PR
NEW met1 ( 209070 4842450 ) M1M2_PR
NEW met1 ( 212750 4842790 ) M1M2_PR
NEW met1 ( 212750 4830210 ) M1M2_PR
NEW met1 ( 213670 4830210 ) M1M2_PR
NEW met1 ( 213670 4842450 ) M1M2_PR
NEW met1 ( 223330 772650 ) M1M2_PR
NEW met1 ( 224250 772650 ) M1M2_PR
NEW met2 ( 223330 965940 ) via2_FR
NEW met2 ( 222410 965940 ) via2_FR
NEW met1 ( 222410 869550 ) M1M2_PR
NEW met1 ( 223790 869550 ) M1M2_PR
NEW met1 ( 213670 3091110 ) M1M2_PR
NEW met1 ( 215050 3091110 ) M1M2_PR
NEW met1 ( 215510 3477350 ) M1M2_PR
NEW met1 ( 214590 3477350 ) M1M2_PR
NEW met1 ( 1988350 4951590 ) M1M2_PR
NEW met1 ( 2028370 4951590 ) M1M2_PR
NEW met1 ( 2028370 4952270 ) M1M2_PR
NEW met1 ( 1988350 4952270 ) M1M2_PR
NEW met1 ( 2152570 4951930 ) M1M2_PR
NEW met1 ( 2153030 4951930 ) M1M2_PR
NEW met1 ( 2345770 4951930 ) M1M2_PR
NEW met1 ( 2346230 4951930 ) M1M2_PR
NEW met1 ( 3118570 4952950 ) M1M2_PR
NEW met1 ( 3119490 4952610 ) M1M2_PR
NEW met1 ( 1479130 4952270 ) M1M2_PR
NEW met1 ( 2028830 4951250 ) M1M2_PR
NEW met2 ( 2028830 4951420 ) via2_FR
NEW met2 ( 2124970 4951420 ) via2_FR
NEW met1 ( 2124970 4951930 ) M1M2_PR
NEW met1 ( 2222030 4951590 ) M1M2_PR
NEW met2 ( 2222030 4951420 ) via2_FR
NEW met2 ( 2318170 4951420 ) via2_FR
NEW met1 ( 2318170 4951930 ) M1M2_PR
NEW met1 ( 1545370 4952270 ) M1M2_PR
NEW met1 ( 1545370 4952950 ) M1M2_PR
NEW met1 ( 1579870 4952950 ) M1M2_PR
NEW met1 ( 1579870 4951250 ) M1M2_PR
NEW met1 ( 1766170 4952270 ) M1M2_PR
NEW met1 ( 1767090 4952270 ) M1M2_PR
NEW met1 ( 1628630 4951250 ) M1M2_PR
NEW met1 ( 1628630 4950570 ) M1M2_PR
NEW met1 ( 1718790 4950570 ) M1M2_PR
NEW met1 ( 1718790 4952950 ) M1M2_PR
NEW met1 ( 1821830 4952270 ) M1M2_PR
NEW met2 ( 1821830 4952100 ) via2_FR
NEW met2 ( 1917970 4952100 ) via2_FR
NEW met1 ( 1917970 4951590 ) M1M2_PR
NEW met1 ( 2433630 4951250 ) M1M2_PR
NEW met1 ( 2360030 4951250 ) M1M2_PR
NEW met1 ( 2360030 4951930 ) M1M2_PR
NEW met1 ( 2433630 4952950 ) M1M2_PR
NEW met1 ( 2689390 4952610 ) M1M2_PR
NEW met1 ( 2731710 4953290 ) M1M2_PR
NEW met1 ( 2731710 4952270 ) M1M2_PR
NEW met1 ( 2925830 4952610 ) M1M2_PR
NEW met2 ( 2925830 4952780 ) via2_FR
NEW met2 ( 2940090 4952780 ) via2_FR
NEW met1 ( 2940090 4952950 ) M1M2_PR
NEW met1 ( 2815430 4953290 ) M1M2_PR
NEW met2 ( 2815430 4953460 ) via2_FR
NEW met2 ( 2911570 4953460 ) via2_FR
NEW met1 ( 2911570 4952950 ) M1M2_PR
NEW met2 ( 221950 366860 ) RECT ( -70 -485 70 0 )
NEW met2 ( 221950 379780 ) RECT ( -70 -485 70 0 )
NEW met1 ( 1528810 222530 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1802970 221510 ) RECT ( -70 -485 70 0 )
NEW met2 ( 221030 341020 ) RECT ( -70 -485 70 0 )
NEW met1 ( 450110 4953290 ) RECT ( -595 -70 0 70 )
NEW met2 ( 2033890 221510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 3368810 1188470 ) RECT ( -595 -70 0 70 )
NEW met3 ( 729330 201620 ) RECT ( -790 -150 0 150 )
NEW met1 ( 212290 1411510 ) RECT ( -595 -70 0 70 )
NEW met2 ( 213670 2055470 ) RECT ( -70 -485 70 0 )
NEW met1 ( 213210 3345430 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3369730 3650750 ) RECT ( -595 -70 0 70 )
NEW met2 ( 3368350 4769350 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2581750 221510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 3369270 2974490 ) RECT ( -595 -70 0 70 )
NEW met2 ( 1988350 4952270 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2433630 4952950 ) RECT ( -70 -485 70 0 ) ;
- vccd1 ( PIN vccd1 ) ( user1_vssd_lvclmap_pad DRN_LVC2 ) ( user1_vssd_lvclmap_pad DRN_LVC1 ) ( user1_vccd_lvclamp_pad VCCD ) ( user1_vccd_lvclamp_pad DRN_LVC2 ) ( user1_vccd_lvclamp_pad DRN_LVC1 ) + USE SIGNAL
+ ROUTED met3 ( 3390660 4538660 0 ) ( * 4540700 )
NEW met3 ( 3387670 4540700 ) ( 3390660 * )
NEW met2 ( 3387670 4540530 ) ( * 4540700 )
NEW met1 ( 3376630 4540530 ) ( 3387670 * )
NEW met3 ( 3387670 4563820 ) ( 3390660 * 0 )
NEW met2 ( 3387670 4540700 ) ( * 4563820 )
NEW met3 ( 3387670 2337500 ) ( 3390660 * 0 )
NEW met2 ( 3387670 2337500 ) ( * 2337670 )
NEW met1 ( 3376630 2337670 ) ( 3387670 * )
NEW met3 ( 3390660 2325260 0 ) ( * 2337500 0 )
NEW met3 ( 3387670 4576740 ) ( 3390660 * 0 )
NEW met2 ( 3387670 4563820 ) ( * 4576740 )
NEW met1 ( 3376630 4313070 ) ( * 4314090 )
NEW met2 ( 3376630 2337670 ) ( * 4313070 )
NEW met2 ( 3376630 4314090 ) ( * 4540530 )
NEW met2 ( 3387670 4540700 ) via2_FR
NEW met1 ( 3387670 4540530 ) M1M2_PR
NEW met1 ( 3376630 4540530 ) M1M2_PR
NEW met2 ( 3387670 4563820 ) via2_FR
NEW met2 ( 3387670 2337500 ) via2_FR
NEW met1 ( 3387670 2337670 ) M1M2_PR
NEW met1 ( 3376630 2337670 ) M1M2_PR
NEW met2 ( 3387670 4576740 ) via2_FR
NEW met1 ( 3376630 4313070 ) M1M2_PR
NEW met1 ( 3376630 4314090 ) M1M2_PR ;
- vccd2 ( PIN vccd2 ) ( user2_vssd_lvclmap_pad DRN_LVC2 ) ( user2_vssd_lvclmap_pad DRN_LVC1 ) ( user2_vccd_lvclamp_pad VCCD ) ( user2_vccd_lvclamp_pad DRN_LVC2 ) ( user2_vccd_lvclamp_pad DRN_LVC1 ) + USE SIGNAL
+ ROUTED met3 ( 197340 4586260 0 ) ( 207690 * )
NEW met3 ( 197340 4598500 0 ) ( 207690 * )
NEW met2 ( 207690 4586260 ) ( * 4598500 )
NEW met3 ( 197340 2242980 0 ) ( 211830 * )
NEW met3 ( 197340 2230060 0 ) ( * 2242980 0 )
NEW met1 ( 207690 4533390 ) ( 211830 * )
NEW met3 ( 197340 4561100 0 ) ( 207690 * )
NEW met2 ( 207690 4533390 ) ( * 4586260 )
NEW met2 ( 211830 2242980 ) ( * 4533390 )
NEW met2 ( 207690 4586260 ) via2_FR
NEW met2 ( 207690 4598500 ) via2_FR
NEW met2 ( 211830 2242980 ) via2_FR
NEW met1 ( 207690 4533390 ) M1M2_PR
NEW met1 ( 211830 4533390 ) M1M2_PR
NEW met2 ( 207690 4561100 ) via2_FR
NEW met2 ( 207690 4561100 ) RECT ( -70 -485 70 0 ) ;
- vdda ( PIN vdda ) ( mgmt_vssa_hvclamp_pad DRN_HVC ) ( mgmt_vdda_hvclamp_pad VDDA ) ( mgmt_vdda_hvclamp_pad DRN_HVC ) + USE SIGNAL
+ ROUTED met3 ( 3114890 198220 ) ( 3115580 * 0 )
NEW met2 ( 3114890 198220 0 ) ( * 202300 )
NEW met3 ( 420900 198220 0 ) ( * 200940 )
NEW met3 ( 420900 200940 ) ( 421820 * )
NEW met3 ( 421820 200940 ) ( * 202300 )
NEW met3 ( 421820 202300 ) ( 3114890 * )
NEW met2 ( 3114890 198220 ) via2_FR
NEW met2 ( 3114890 202300 ) via2_FR ;
- vdda1 ( PIN vdda1 ) ( user1_vssa_hvclamp_pad\[1\] DRN_HVC ) ( user1_vssa_hvclamp_pad\[0\] DRN_HVC ) ( user1_vdda_hvclamp_pad\[1\] VDDA ) ( user1_vdda_hvclamp_pad\[1\] DRN_HVC ) ( user1_vdda_hvclamp_pad\[0\] VDDA ) ( user1_vdda_hvclamp_pad\[0\] DRN_HVC ) + USE SIGNAL
+ ROUTED met2 ( 2947910 4961450 ) ( * 4987460 )
NEW met3 ( 2928590 4987460 ) ( 2947910 * )
NEW met2 ( 2928590 4987460 ) ( * 4988140 0 )
NEW met3 ( 3388820 2103580 ) ( * 2104260 0 )
NEW met3 ( 3387670 2103580 ) ( 3388820 * )
NEW met2 ( 3387670 2103580 ) ( * 2103750 )
NEW met1 ( 3380770 2103750 ) ( 3387670 * )
NEW met1 ( 2947910 4961450 ) ( 3373870 * )
NEW met3 ( 3388820 2568700 ) ( * 2570060 0 )
NEW met3 ( 3387670 2568700 ) ( 3388820 * )
NEW met2 ( 3387670 2568700 ) ( * 2568870 )
NEW met1 ( 3376170 2568870 ) ( 3387670 * )
NEW met2 ( 3387210 2539460 ) ( 3388130 * 0 )
NEW met2 ( 3387210 2539290 ) ( * 2539460 )
NEW met1 ( 3380770 2539290 ) ( 3387210 * )
NEW met2 ( 3380770 2103750 ) ( * 2568870 )
NEW met1 ( 3373870 4117230 ) ( 3380770 * )
NEW met3 ( 3388130 4093260 ) ( 3388820 * 0 )
NEW met2 ( 3387210 4093260 ) ( 3388130 * 0 )
NEW met2 ( 3387210 4093260 ) ( * 4093430 )
NEW met1 ( 3380770 4093430 ) ( 3387210 * )
NEW met2 ( 3380770 4093430 ) ( * 4117230 )
NEW met1 ( 3376170 4093430 ) ( 3380770 * )
NEW met2 ( 3376170 2568870 ) ( * 4093430 )
NEW met2 ( 3373870 4117230 ) ( * 4961450 )
NEW met1 ( 2947910 4961450 ) M1M2_PR
NEW met2 ( 2947910 4987460 ) via2_FR
NEW met2 ( 2928590 4987460 ) via2_FR
NEW met2 ( 3387670 2103580 ) via2_FR
NEW met1 ( 3387670 2103750 ) M1M2_PR
NEW met1 ( 3380770 2103750 ) M1M2_PR
NEW met1 ( 3373870 4961450 ) M1M2_PR
NEW met2 ( 3387670 2568700 ) via2_FR
NEW met1 ( 3387670 2568870 ) M1M2_PR
NEW met1 ( 3376170 2568870 ) M1M2_PR
NEW met1 ( 3380770 2568870 ) M1M2_PR
NEW met1 ( 3387210 2539290 ) M1M2_PR
NEW met1 ( 3380770 2539290 ) M1M2_PR
NEW met1 ( 3373870 4117230 ) M1M2_PR
NEW met2 ( 3388130 4093260 ) via2_FR
NEW met1 ( 3387210 4093430 ) M1M2_PR
NEW met1 ( 3380770 4093430 ) M1M2_PR
NEW met1 ( 3380770 4117230 ) M1M2_PR
NEW met1 ( 3376170 4093430 ) M1M2_PR
NEW met1 ( 3380770 2568870 ) RECT ( -595 -70 0 70 )
NEW met2 ( 3380770 2539290 ) RECT ( -70 0 70 485 )
NEW met1 ( 3380770 4117230 ) RECT ( -595 -70 0 70 ) ;
- vdda2 ( PIN vdda2 ) ( user2_vssa_hvclamp_pad DRN_HVC ) ( user2_vdda_hvclamp_pad VDDA ) ( user2_vdda_hvclamp_pad DRN_HVC ) + USE SIGNAL
+ ROUTED met3 ( 198260 2466020 0 ) ( 199180 * )
NEW met3 ( 199180 2464660 ) ( * 2466020 )
NEW met3 ( 198950 2464660 ) ( 199180 * )
NEW met2 ( 198950 2464660 ) ( * 2466020 )
NEW met2 ( 198030 2466020 0 ) ( 198950 * )
NEW met3 ( 199180 2466020 ) ( 221490 * )
NEW met3 ( 198260 4176220 0 ) ( 221490 * )
NEW met2 ( 221490 2466020 ) ( * 4176220 )
NEW met2 ( 198950 2464660 ) via2_FR
NEW met2 ( 221490 2466020 ) via2_FR
NEW met2 ( 221490 4176220 ) via2_FR ;
- vddio ( PIN vddio ) ( mgmt_vssio_hvclamp_pad\[1\] DRN_HVC ) ( mgmt_vssio_hvclamp_pad\[0\] DRN_HVC ) ( mgmt_vddio_hvclamp_pad\[1\] VDDIO ) ( mgmt_vddio_hvclamp_pad\[1\] DRN_HVC ) ( mgmt_vddio_hvclamp_pad\[0\] VDDIO ) ( mgmt_vddio_hvclamp_pad\[0\] DRN_HVC )
( gpio_pad HLD_H_N ) ( flash_io1_pad HLD_H_N ) ( flash_io0_pad HLD_H_N ) ( flash_csb_pad HLD_H_N ) ( flash_clk_pad HLD_H_N ) ( clock_pad HLD_H_N ) + USE SIGNAL
+ ROUTED met2 ( 1796990 209100 0 ) ( * 221850 )
NEW met2 ( 2344850 209100 ) ( 2345015 * 0 )
NEW met2 ( 2344850 209100 ) ( * 221850 )
NEW met2 ( 2071150 209100 0 ) ( * 221850 )
NEW met1 ( 2071150 221850 ) ( 2344850 * )
NEW met2 ( 2619010 209100 0 ) ( 2619470 * )
NEW met2 ( 2619470 208930 ) ( * 209100 )
NEW met1 ( 2619470 208930 ) ( 2628210 * )
NEW met1 ( 2628210 208930 ) ( * 209270 )
NEW met1 ( 2628210 209270 ) ( 2635110 * )
NEW met1 ( 2635110 207570 ) ( * 209270 )
NEW met2 ( 2845790 198220 0 ) ( * 207570 )
NEW met1 ( 2635110 207570 ) ( 2845790 * )
NEW met3 ( 199180 551999 ) ( * 552500 )
NEW met3 ( 198260 551999 ) ( 199180 * )
NEW met3 ( 198260 551820 0 ) ( * 551999 )
NEW met2 ( 980030 209100 0 ) ( * 227630 )
NEW met2 ( 223790 552500 ) ( * 553180 )
NEW met2 ( 223790 553180 ) ( 224710 * )
NEW met3 ( 199180 552500 ) ( 223790 * )
NEW met1 ( 221030 703970 ) ( 221950 * )
NEW met1 ( 221030 897090 ) ( 221950 * )
NEW met1 ( 221030 1090210 ) ( 221950 * )
NEW met2 ( 221030 1573180 ) ( 221950 * )
NEW met1 ( 221030 1669910 ) ( 221950 * )
NEW met2 ( 221950 1669910 ) ( * 1766300 )
NEW met2 ( 221030 1766300 ) ( 221950 * )
NEW met2 ( 221030 1573180 ) ( * 1669910 )
NEW met1 ( 221030 1863030 ) ( 221950 * )
NEW met2 ( 221950 1863030 ) ( * 1959420 )
NEW met2 ( 221030 1959420 ) ( 221950 * )
NEW met2 ( 221030 1766300 ) ( * 1863030 )
NEW met1 ( 221030 2056490 ) ( 221950 * )
NEW met1 ( 221030 2249610 ) ( 221950 * )
NEW met1 ( 221030 2442730 ) ( 222410 * )
NEW met2 ( 222410 2442730 ) ( * 2538610 )
NEW met1 ( 221030 2538610 ) ( 222410 * )
NEW met1 ( 221030 2635850 ) ( 222410 * )
NEW met1 ( 221030 2829310 ) ( 222410 * )
NEW met2 ( 222410 2829310 ) ( * 2925190 )
NEW met1 ( 221030 2925190 ) ( 222410 * )
NEW met1 ( 221030 3022430 ) ( 222410 * )
NEW met2 ( 222410 3022430 ) ( * 3118310 )
NEW met1 ( 221030 3118310 ) ( 222410 * )
NEW met2 ( 221030 2925190 ) ( * 3022430 )
NEW met1 ( 221030 3215550 ) ( 222410 * )
NEW met2 ( 222410 3215550 ) ( * 3311940 )
NEW met3 ( 221030 3311940 ) ( 222410 * )
NEW met2 ( 221030 3118310 ) ( * 3215550 )
NEW met1 ( 221030 3408670 ) ( 222410 * )
NEW met2 ( 222410 3408670 ) ( * 3505060 )
NEW met3 ( 221030 3505060 ) ( 222410 * )
NEW met2 ( 221030 3311940 ) ( * 3408670 )
NEW met1 ( 221030 3602130 ) ( 222410 * )
NEW met2 ( 222410 3602130 ) ( * 3698010 )
NEW met1 ( 221030 3698010 ) ( 222410 * )
NEW met2 ( 221030 3505060 ) ( * 3602130 )
NEW met1 ( 221030 4181490 ) ( 221950 * )
NEW met2 ( 224710 227630 ) ( * 553180 )
NEW met2 ( 221030 896580 ) ( 221490 * )
NEW met2 ( 221490 800700 ) ( * 896580 )
NEW met2 ( 221490 800700 ) ( 221950 * )
NEW met2 ( 221030 896580 ) ( * 897090 )
NEW met2 ( 221950 703970 ) ( * 800700 )
NEW met2 ( 221030 1089700 ) ( 221490 * )
NEW met2 ( 221490 993820 ) ( * 1089700 )
NEW met2 ( 221490 993820 ) ( 221950 * )
NEW met2 ( 221030 1089700 ) ( * 1090210 )
NEW met2 ( 221950 897090 ) ( * 993820 )
NEW met2 ( 221490 1186940 ) ( 221950 * )
NEW met2 ( 221950 1090210 ) ( * 1186940 )
NEW met2 ( 221030 1959420 ) ( * 2056490 )
NEW met2 ( 221030 2249100 ) ( 221490 * )
NEW met2 ( 221490 2153220 ) ( * 2249100 )
NEW met2 ( 221490 2153220 ) ( 221950 * )
NEW met2 ( 221030 2249100 ) ( * 2249610 )
NEW met2 ( 221950 2056490 ) ( * 2153220 )
NEW met2 ( 221030 2442220 ) ( 221490 * )
NEW met2 ( 221490 2441540 ) ( * 2442220 )
NEW met2 ( 221490 2441540 ) ( 221950 * )
NEW met2 ( 221030 2442220 ) ( * 2442730 )
NEW met2 ( 221950 2249610 ) ( * 2441540 )
NEW met2 ( 221030 2538610 ) ( * 2635850 )
NEW met1 ( 221030 2821490 ) ( 222410 * )
NEW met2 ( 221030 2821490 ) ( * 2829310 )
NEW met2 ( 222410 2635850 ) ( * 2821490 )
NEW met2 ( 221030 3698010 ) ( * 4181490 )
NEW met1 ( 224710 227630 ) ( 980030 * )
NEW met2 ( 1003950 221170 ) ( * 222190 )
NEW met1 ( 980030 222190 ) ( 1003950 * )
NEW met1 ( 2344850 221850 ) ( 2619010 * )
NEW met2 ( 2619010 209100 0 ) ( * 221850 )
NEW met2 ( 198030 601460 0 ) ( 199410 * )
NEW met2 ( 199410 601460 ) ( * 625770 )
NEW met1 ( 199410 625770 ) ( 221030 * )
NEW met1 ( 199410 600950 ) ( 223790 * )
NEW met2 ( 199410 600950 ) ( * 601460 )
NEW met2 ( 221030 625770 ) ( * 703970 )
NEW met2 ( 223790 553180 ) ( * 600950 )
NEW met1 ( 221490 1282990 ) ( * 1283670 )
NEW met2 ( 221490 1283670 ) ( * 1352350 )
NEW met1 ( 221490 1352350 ) ( 221950 * )
NEW met2 ( 221490 1186940 ) ( * 1282990 )
NEW met2 ( 221950 1352350 ) ( * 1573180 )
NEW met3 ( 198260 4349620 0 ) ( * 4350300 )
NEW met3 ( 198260 4350300 ) ( 221950 * )
NEW met3 ( 198260 4387020 0 ) ( * 4387700 )
NEW met3 ( 198260 4387700 ) ( 200790 * )
NEW met2 ( 200790 4350300 ) ( * 4387700 )
NEW met3 ( 200790 4387700 ) ( 220570 * )
NEW met2 ( 220570 4387700 ) ( * 4961110 )
NEW met2 ( 221950 4181490 ) ( * 4350300 )
NEW met2 ( 1522830 209100 ) ( 1523015 * 0 )
NEW met2 ( 1522830 209100 ) ( * 221170 )
NEW met1 ( 1522830 221170 ) ( * 221850 )
NEW met1 ( 1522830 221850 ) ( 2071150 * )
NEW met2 ( 1647950 4961110 ) ( * 4961620 )
NEW met3 ( 1647950 4961620 ) ( 1705220 * )
NEW met4 ( 1705220 4961620 ) ( * 4988140 )
NEW met1 ( 220570 4961110 ) ( 1647950 * )
NEW met1 ( 1003950 221170 ) ( 1522830 * )
NEW met1 ( 1796990 221850 ) M1M2_PR
NEW met1 ( 2344850 221850 ) M1M2_PR
NEW met1 ( 220570 4961110 ) M1M2_PR
NEW met1 ( 2071150 221850 ) M1M2_PR
NEW met1 ( 2619470 208930 ) M1M2_PR
NEW met1 ( 2845790 207570 ) M1M2_PR
NEW met1 ( 980030 227630 ) M1M2_PR
NEW met1 ( 980030 222190 ) M1M2_PR
NEW met2 ( 223790 552500 ) via2_FR
NEW met1 ( 221030 703970 ) M1M2_PR
NEW met1 ( 221950 703970 ) M1M2_PR
NEW met1 ( 221030 897090 ) M1M2_PR
NEW met1 ( 221950 897090 ) M1M2_PR
NEW met1 ( 221030 1090210 ) M1M2_PR
NEW met1 ( 221950 1090210 ) M1M2_PR
NEW met1 ( 221030 1669910 ) M1M2_PR
NEW met1 ( 221950 1669910 ) M1M2_PR
NEW met1 ( 221030 1863030 ) M1M2_PR
NEW met1 ( 221950 1863030 ) M1M2_PR
NEW met1 ( 221030 2056490 ) M1M2_PR
NEW met1 ( 221950 2056490 ) M1M2_PR
NEW met1 ( 221030 2249610 ) M1M2_PR
NEW met1 ( 221950 2249610 ) M1M2_PR
NEW met1 ( 221030 2442730 ) M1M2_PR
NEW met1 ( 222410 2442730 ) M1M2_PR
NEW met1 ( 222410 2538610 ) M1M2_PR
NEW met1 ( 221030 2538610 ) M1M2_PR
NEW met1 ( 221030 2635850 ) M1M2_PR
NEW met1 ( 222410 2635850 ) M1M2_PR
NEW met1 ( 221030 2829310 ) M1M2_PR
NEW met1 ( 222410 2829310 ) M1M2_PR
NEW met1 ( 222410 2925190 ) M1M2_PR
NEW met1 ( 221030 2925190 ) M1M2_PR
NEW met1 ( 221030 3022430 ) M1M2_PR
NEW met1 ( 222410 3022430 ) M1M2_PR
NEW met1 ( 222410 3118310 ) M1M2_PR
NEW met1 ( 221030 3118310 ) M1M2_PR
NEW met1 ( 221030 3215550 ) M1M2_PR
NEW met1 ( 222410 3215550 ) M1M2_PR
NEW met2 ( 222410 3311940 ) via2_FR
NEW met2 ( 221030 3311940 ) via2_FR
NEW met1 ( 221030 3408670 ) M1M2_PR
NEW met1 ( 222410 3408670 ) M1M2_PR
NEW met2 ( 222410 3505060 ) via2_FR
NEW met2 ( 221030 3505060 ) via2_FR
NEW met1 ( 221030 3602130 ) M1M2_PR
NEW met1 ( 222410 3602130 ) M1M2_PR
NEW met1 ( 222410 3698010 ) M1M2_PR
NEW met1 ( 221030 3698010 ) M1M2_PR
NEW met1 ( 221030 4181490 ) M1M2_PR
NEW met1 ( 221950 4181490 ) M1M2_PR
NEW met1 ( 224710 227630 ) M1M2_PR
NEW met1 ( 221030 2821490 ) M1M2_PR
NEW met1 ( 222410 2821490 ) M1M2_PR
NEW met1 ( 1003950 222190 ) M1M2_PR
NEW met1 ( 1003950 221170 ) M1M2_PR
NEW met1 ( 2619010 221850 ) M1M2_PR
NEW met1 ( 199410 625770 ) M1M2_PR
NEW met1 ( 221030 625770 ) M1M2_PR
NEW met1 ( 223790 600950 ) M1M2_PR
NEW met1 ( 199410 600950 ) M1M2_PR
NEW met1 ( 221490 1282990 ) M1M2_PR
NEW met1 ( 221490 1283670 ) M1M2_PR
NEW met1 ( 221490 1352350 ) M1M2_PR
NEW met1 ( 221950 1352350 ) M1M2_PR
NEW met2 ( 221950 4350300 ) via2_FR
NEW met2 ( 200790 4387700 ) via2_FR
NEW met2 ( 200790 4350300 ) via2_FR
NEW met2 ( 220570 4387700 ) via2_FR
NEW met1 ( 1522830 221170 ) M1M2_PR
NEW met1 ( 1647950 4961110 ) M1M2_PR
NEW met2 ( 1647950 4961620 ) via2_FR
NEW met3 ( 1705220 4961620 ) M3M4_PR_M
NEW met3 ( 1705220 4988140 ) M3M4_PR_M
NEW met1 ( 1796990 221850 ) RECT ( -595 -70 0 70 )
NEW met2 ( 980030 222190 ) RECT ( -70 -485 70 0 )
NEW met3 ( 200790 4350300 ) RECT ( -800 -150 0 150 ) ;
- vssa ( PIN vssa ) ( mgmt_vssd_lvclmap_pad BDY2_B2B ) ( mgmt_vssa_hvclamp_pad VSSA ) ( mgmt_vssa_hvclamp_pad SRC_BDY_HVC ) ( mgmt_vdda_hvclamp_pad SRC_BDY_HVC ) ( mgmt_vccd_lvclamp_pad BDY2_B2B ) ( gpio_pad ENABLE_VSWITCH_H )
( flash_io1_pad ENABLE_VSWITCH_H ) ( flash_io0_pad ENABLE_VSWITCH_H ) ( flash_csb_pad ENABLE_VSWITCH_H ) ( flash_clk_pad ENABLE_VSWITCH_H ) ( clock_pad ENABLE_VSWITCH_H ) + USE SIGNAL
+ ROUTED met2 ( 841570 206890 ) ( * 208590 )
NEW met2 ( 994750 209100 ) ( 995210 * 0 )
NEW met2 ( 994750 208930 ) ( * 209100 )
NEW met1 ( 994750 208590 ) ( * 208930 )
NEW met1 ( 989230 208590 ) ( 994750 * )
NEW met1 ( 989230 207230 ) ( * 208590 )
NEW met2 ( 1538010 209100 ) ( 1538195 * 0 )
NEW met2 ( 1538010 209100 ) ( * 221170 )
NEW met1 ( 1538010 221170 ) ( 1541690 * )
NEW met2 ( 1541690 221170 ) ( * 223210 )
NEW met2 ( 1538010 221170 ) ( * 223210 )
NEW met2 ( 3146630 200940 ) ( * 207230 )
NEW met3 ( 3146630 200940 ) ( 3152380 * )
NEW met3 ( 3152380 198220 0 ) ( * 200940 )
NEW met1 ( 842490 206890 ) ( * 207230 )
NEW met1 ( 841570 206890 ) ( 842490 * )
NEW met1 ( 842490 207230 ) ( 989230 * )
NEW met2 ( 2086330 209100 0 ) ( * 223210 )
NEW met2 ( 197837 375020 0 ) ( 198030 * )
NEW met2 ( 198030 375020 ) ( * 375700 )
NEW met2 ( 198030 375700 ) ( 198950 * )
NEW met2 ( 198950 220490 ) ( * 375700 )
NEW met1 ( 800170 207910 ) ( * 208590 )
NEW met1 ( 800170 208590 ) ( 841570 * )
NEW met2 ( 995210 209100 0 ) ( * 221850 )
NEW met3 ( 395140 198220 0 ) ( * 200940 )
NEW met3 ( 394910 200940 ) ( 395140 * )
NEW met2 ( 394910 200940 ) ( * 220490 )
NEW met3 ( 432860 198220 0 ) ( * 200940 )
NEW met3 ( 432630 200940 ) ( 432860 * )
NEW met2 ( 432630 200940 ) ( * 201110 )
NEW met1 ( 394910 201110 ) ( 432630 * )
NEW met2 ( 456550 201110 ) ( * 207910 )
NEW met1 ( 432630 201110 ) ( 456550 * )
NEW met1 ( 198950 220490 ) ( 394910 * )
NEW met2 ( 606970 207570 ) ( * 207740 )
NEW met2 ( 606970 207740 ) ( 607430 * )
NEW met2 ( 607430 207570 ) ( * 207740 )
NEW met1 ( 607430 207570 ) ( 627670 * )
NEW met1 ( 627670 207230 ) ( * 207570 )
NEW met2 ( 1238550 221850 ) ( * 223210 )
NEW met2 ( 1236710 197837 0 ) ( 1237170 * )
NEW met2 ( 1237170 197837 ) ( * 221850 )
NEW met1 ( 1238550 223210 ) ( 1538010 * )
NEW met2 ( 1545830 222700 ) ( * 223210 )
NEW met3 ( 1545830 222700 ) ( 1641970 * )
NEW met2 ( 1641970 222700 ) ( * 223210 )
NEW met1 ( 1541690 223210 ) ( 1545830 * )
NEW met2 ( 1812170 209100 0 ) ( * 218450 )
NEW met1 ( 1739030 218450 ) ( 1812170 * )
NEW met2 ( 1739030 218450 ) ( * 223210 )
NEW met1 ( 1835170 223210 ) ( * 223550 )
NEW met1 ( 1812170 223550 ) ( 1835170 * )
NEW met2 ( 1812170 218450 ) ( * 223550 )
NEW met1 ( 1641970 223210 ) ( 1739030 * )
NEW met2 ( 1932230 222700 ) ( * 223210 )
NEW met3 ( 1932230 222700 ) ( 2028370 * )
NEW met2 ( 2028370 222700 ) ( * 223210 )
NEW met1 ( 1835170 223210 ) ( 1932230 * )
NEW met2 ( 2125430 222700 ) ( * 223210 )
NEW met3 ( 2125430 222700 ) ( 2221570 * )
NEW met2 ( 2221570 222700 ) ( * 223210 )
NEW met1 ( 2028370 223210 ) ( 2125430 * )
NEW met3 ( 2360195 209100 ) ( 2361870 * )
NEW met2 ( 2361870 209100 ) ( * 223210 )
NEW met2 ( 2360030 223210 ) ( 2360490 * )
NEW met1 ( 2360490 223210 ) ( 2361870 * )
NEW met1 ( 2221570 223210 ) ( 2360030 * )
NEW met1 ( 483230 207570 ) ( * 207910 )
NEW met1 ( 483230 207570 ) ( 510370 * )
NEW met2 ( 510370 207570 ) ( * 207740 )
NEW met2 ( 510370 207740 ) ( 510830 * )
NEW met2 ( 510830 207740 ) ( * 207910 )
NEW met1 ( 510830 207910 ) ( 579370 * )
NEW met1 ( 579370 207570 ) ( * 207910 )
NEW met1 ( 456550 207910 ) ( 483230 * )
NEW met1 ( 579370 207570 ) ( 606970 * )
NEW met2 ( 676430 207060 ) ( * 207230 )
NEW met2 ( 676430 207060 ) ( 676890 * )
NEW met2 ( 676890 207060 ) ( * 208590 )
NEW met1 ( 676890 208590 ) ( 772570 * )
NEW met2 ( 772570 207910 ) ( * 208590 )
NEW met1 ( 627670 207230 ) ( 676430 * )
NEW met1 ( 772570 207910 ) ( 800170 * )
NEW met2 ( 1089970 221850 ) ( * 222020 )
NEW met2 ( 1089970 222020 ) ( 1090890 * )
NEW met2 ( 1090890 221850 ) ( * 222020 )
NEW met1 ( 995210 221850 ) ( 1089970 * )
NEW met1 ( 1090890 221850 ) ( 1238550 * )
NEW met2 ( 2442370 223210 ) ( * 223380 )
NEW met2 ( 2442370 223380 ) ( 2442830 * )
NEW met2 ( 2442830 223380 ) ( * 223550 )
NEW met1 ( 2361870 223210 ) ( 2442370 * )
NEW met2 ( 2634190 209100 0 ) ( 2634650 * )
NEW met2 ( 2634650 208930 ) ( * 209100 )
NEW met1 ( 2634650 207230 ) ( * 208930 )
NEW met1 ( 2608430 223210 ) ( * 223550 )
NEW met1 ( 2608430 223550 ) ( 2634190 * )
NEW met2 ( 2634190 209100 0 ) ( * 223550 )
NEW met1 ( 2634650 207230 ) ( 3146630 * )
NEW met2 ( 2498030 222700 ) ( * 223550 )
NEW met3 ( 2498030 222700 ) ( 2594170 * )
NEW met2 ( 2594170 222700 ) ( * 223210 )
NEW met1 ( 2442830 223550 ) ( 2498030 * )
NEW met1 ( 2594170 223210 ) ( 2608430 * )
NEW met1 ( 198950 220490 ) M1M2_PR
NEW met1 ( 841570 208590 ) M1M2_PR
NEW met1 ( 841570 206890 ) M1M2_PR
NEW met1 ( 994750 208930 ) M1M2_PR
NEW met1 ( 1538010 221170 ) M1M2_PR
NEW met1 ( 1541690 221170 ) M1M2_PR
NEW met1 ( 1541690 223210 ) M1M2_PR
NEW met1 ( 1538010 223210 ) M1M2_PR
NEW met1 ( 3146630 207230 ) M1M2_PR
NEW met2 ( 3146630 200940 ) via2_FR
NEW met1 ( 2086330 223210 ) M1M2_PR
NEW met1 ( 995210 221850 ) M1M2_PR
NEW met2 ( 394910 200940 ) via2_FR
NEW met1 ( 394910 220490 ) M1M2_PR
NEW met2 ( 432630 200940 ) via2_FR
NEW met1 ( 432630 201110 ) M1M2_PR
NEW met1 ( 394910 201110 ) M1M2_PR
NEW met1 ( 456550 207910 ) M1M2_PR
NEW met1 ( 456550 201110 ) M1M2_PR
NEW met1 ( 606970 207570 ) M1M2_PR
NEW met1 ( 607430 207570 ) M1M2_PR
NEW met1 ( 1238550 223210 ) M1M2_PR
NEW met1 ( 1238550 221850 ) M1M2_PR
NEW met1 ( 1237170 221850 ) M1M2_PR
NEW met1 ( 1545830 223210 ) M1M2_PR
NEW met2 ( 1545830 222700 ) via2_FR
NEW met2 ( 1641970 222700 ) via2_FR
NEW met1 ( 1641970 223210 ) M1M2_PR
NEW met1 ( 1812170 218450 ) M1M2_PR
NEW met1 ( 1739030 218450 ) M1M2_PR
NEW met1 ( 1739030 223210 ) M1M2_PR
NEW met1 ( 1812170 223550 ) M1M2_PR
NEW met1 ( 1932230 223210 ) M1M2_PR
NEW met2 ( 1932230 222700 ) via2_FR
NEW met2 ( 2028370 222700 ) via2_FR
NEW met1 ( 2028370 223210 ) M1M2_PR
NEW met1 ( 2125430 223210 ) M1M2_PR
NEW met2 ( 2125430 222700 ) via2_FR
NEW met2 ( 2221570 222700 ) via2_FR
NEW met1 ( 2221570 223210 ) M1M2_PR
NEW met2 ( 2360195 209100 ) via2_FR
NEW met2 ( 2361870 209100 ) via2_FR
NEW met1 ( 2361870 223210 ) M1M2_PR
NEW met1 ( 2360030 223210 ) M1M2_PR
NEW met1 ( 2360490 223210 ) M1M2_PR
NEW met1 ( 510370 207570 ) M1M2_PR
NEW met1 ( 510830 207910 ) M1M2_PR
NEW met1 ( 676430 207230 ) M1M2_PR
NEW met1 ( 676890 208590 ) M1M2_PR
NEW met1 ( 772570 208590 ) M1M2_PR
NEW met1 ( 772570 207910 ) M1M2_PR
NEW met1 ( 1089970 221850 ) M1M2_PR
NEW met1 ( 1090890 221850 ) M1M2_PR
NEW met1 ( 2442370 223210 ) M1M2_PR
NEW met1 ( 2442830 223550 ) M1M2_PR
NEW met1 ( 2634650 208930 ) M1M2_PR
NEW met1 ( 2634190 223550 ) M1M2_PR
NEW met1 ( 2498030 223550 ) M1M2_PR
NEW met2 ( 2498030 222700 ) via2_FR
NEW met2 ( 2594170 222700 ) via2_FR
NEW met1 ( 2594170 223210 ) M1M2_PR
NEW met1 ( 2086330 223210 ) RECT ( -595 -70 0 70 )
NEW met2 ( 394910 201110 ) RECT ( -70 -485 70 0 )
NEW met1 ( 1237170 221850 ) RECT ( -595 -70 0 70 ) ;
- vssa1 ( PIN vssa1 ) ( user1_vssa_hvclamp_pad\[1\] VSSA ) ( user1_vssa_hvclamp_pad\[1\] SRC_BDY_HVC ) ( user1_vssa_hvclamp_pad\[0\] VSSA ) ( user1_vssa_hvclamp_pad\[0\] SRC_BDY_HVC ) ( user1_vdda_hvclamp_pad\[1\] SRC_BDY_HVC ) ( user1_vdda_hvclamp_pad\[0\] SRC_BDY_HVC ) + USE SIGNAL
+ ROUTED met3 ( 2927900 4988140 ) ( 2928820 * 0 )
NEW met3 ( 2927900 4987460 ) ( * 4988140 )
NEW met3 ( 2925370 4987460 ) ( 2927900 * )
NEW met2 ( 2925370 4961110 ) ( * 4987460 )
NEW met3 ( 2903980 4988140 0 ) ( 2908580 * )
NEW met3 ( 2908580 4987460 ) ( * 4988140 )
NEW met3 ( 2908580 4987460 ) ( 2925370 * )
NEW met1 ( 3373410 4512650 ) ( * 4512990 )
NEW met1 ( 3373410 4512990 ) ( 3374330 * )
NEW met3 ( 3388130 2128740 ) ( 3388820 * 0 )
NEW met1 ( 3380310 2139110 ) ( 3387670 * )
NEW met2 ( 3387670 2128740 ) ( * 2139110 )
NEW met2 ( 3387670 2128740 ) ( 3388130 * 0 )
NEW met2 ( 3374790 3409180 ) ( 3375710 * )
NEW met2 ( 3374790 4084420 ) ( 3375250 * )
NEW met2 ( 3375250 3988540 ) ( * 4084420 )
NEW met2 ( 3375250 3988540 ) ( 3375710 * )
NEW met1 ( 3374790 4181490 ) ( 3375710 * )
NEW met1 ( 3373410 4471170 ) ( 3374330 * )
NEW met2 ( 3374330 4374780 ) ( * 4471170 )
NEW met2 ( 3374330 4374780 ) ( 3374790 * )
NEW met2 ( 3373410 4471170 ) ( * 4512650 )
NEW met1 ( 3373410 4663950 ) ( * 4664290 )
NEW met1 ( 3373410 4663950 ) ( 3374330 * )
NEW met2 ( 3374330 4512990 ) ( * 4663950 )
NEW met1 ( 2925370 4961110 ) ( 3376170 * )
NEW met2 ( 3387210 2570060 ) ( 3388130 * 0 )
NEW met2 ( 3387210 2570060 ) ( * 2570230 )
NEW met1 ( 3374790 2570230 ) ( 3387210 * )
NEW met2 ( 3380310 2139110 ) ( * 2570230 )
NEW met2 ( 3374790 3408500 ) ( 3375250 * )
NEW met2 ( 3374790 3408500 ) ( * 3409180 )
NEW met2 ( 3373870 3794740 ) ( 3375250 * )
NEW met2 ( 3388130 4139670 ) ( * 4142900 0 )
NEW met1 ( 3374790 4139670 ) ( 3388130 * )
NEW met2 ( 3374790 4084420 ) ( * 4139670 )
NEW met2 ( 3374790 4139670 ) ( * 4181490 )
NEW met2 ( 3374790 4374100 ) ( 3375250 * )
NEW met2 ( 3375250 4278220 ) ( * 4374100 )
NEW met2 ( 3375250 4278220 ) ( 3375710 * )
NEW met2 ( 3374790 4374100 ) ( * 4374780 )
NEW met2 ( 3375710 4181490 ) ( * 4278220 )
NEW met2 ( 3375710 4954140 ) ( 3376170 * )
NEW met2 ( 3376170 4954140 ) ( * 4961110 )
NEW met1 ( 3374330 2704870 ) ( 3375250 * )
NEW met1 ( 3374330 2994550 ) ( 3375250 * )
NEW met2 ( 3375250 2967860 ) ( * 2994550 )
NEW met2 ( 3375250 2967860 ) ( 3375710 * )
NEW met2 ( 3375710 2898500 ) ( * 2967860 )
NEW met2 ( 3375250 2898500 ) ( 3375710 * )
NEW met1 ( 3373870 3118310 ) ( * 3118990 )
NEW met1 ( 3373870 3118310 ) ( 3374790 * )
NEW met1 ( 3374330 3380790 ) ( 3375250 * )
NEW met2 ( 3374330 3284570 ) ( * 3380790 )
NEW met1 ( 3374330 3284570 ) ( 3375710 * )
NEW met2 ( 3375250 3380790 ) ( * 3408500 )
NEW met1 ( 3374330 3573910 ) ( 3375250 * )
NEW met2 ( 3374330 3477690 ) ( * 3573910 )
NEW met1 ( 3374330 3477690 ) ( 3375710 * )
NEW met2 ( 3375710 3409180 ) ( * 3477690 )
NEW met1 ( 3374330 3698010 ) ( 3374790 * )
NEW met2 ( 3374790 3698010 ) ( * 3707415 )
NEW met2 ( 3374790 3707415 ) ( 3375250 * )
NEW met2 ( 3375250 3707415 ) ( * 3794740 )
NEW met2 ( 3373870 3864100 ) ( 3374330 * )
NEW met2 ( 3374330 3864100 ) ( * 3960490 )
NEW met1 ( 3374330 3960490 ) ( 3375710 * )
NEW met2 ( 3373870 3794740 ) ( * 3864100 )
NEW met2 ( 3375710 3960490 ) ( * 3988540 )
NEW met1 ( 3373410 4830210 ) ( 3374330 * )
NEW met2 ( 3374330 4830210 ) ( * 4926430 )
NEW met1 ( 3374330 4926430 ) ( 3375710 * )
NEW met2 ( 3373410 4664290 ) ( * 4830210 )
NEW met2 ( 3375710 4926430 ) ( * 4954140 )
NEW met2 ( 3374790 2656420 ) ( 3375250 * )
NEW met2 ( 3374790 2570230 ) ( * 2656420 )
NEW met2 ( 3375250 2656420 ) ( * 2704870 )
NEW met1 ( 3373410 2801430 ) ( 3374330 * )
NEW met2 ( 3373410 2801430 ) ( * 2897990 )
NEW met1 ( 3373410 2897990 ) ( 3375250 * )
NEW met2 ( 3374330 2704870 ) ( * 2801430 )
NEW met2 ( 3375250 2897990 ) ( * 2898500 )
NEW met1 ( 3372950 2995230 ) ( 3374330 * )
NEW met2 ( 3372950 2995230 ) ( * 3091110 )
NEW met1 ( 3372950 3091110 ) ( 3374790 * )
NEW met2 ( 3374330 2994550 ) ( * 2995230 )
NEW met2 ( 3374790 3091110 ) ( * 3118310 )
NEW met1 ( 3373870 3188010 ) ( 3375710 * )
NEW met2 ( 3373870 3118990 ) ( * 3188010 )
NEW met2 ( 3375710 3188010 ) ( * 3284570 )
NEW met1 ( 3374330 3670470 ) ( 3374790 * )
NEW met2 ( 3374790 3643100 ) ( * 3670470 )
NEW met2 ( 3374790 3643100 ) ( 3375250 * )
NEW met2 ( 3374330 3670470 ) ( * 3698010 )
NEW met2 ( 3375250 3573910 ) ( * 3643100 )
NEW met2 ( 2925370 4987460 ) via2_FR
NEW met1 ( 2925370 4961110 ) M1M2_PR
NEW met1 ( 3373410 4512650 ) M1M2_PR
NEW met1 ( 3374330 4512990 ) M1M2_PR
NEW met2 ( 3388130 2128740 ) via2_FR
NEW met1 ( 3380310 2139110 ) M1M2_PR
NEW met1 ( 3387670 2139110 ) M1M2_PR
NEW met1 ( 3374790 4181490 ) M1M2_PR
NEW met1 ( 3375710 4181490 ) M1M2_PR
NEW met1 ( 3373410 4471170 ) M1M2_PR
NEW met1 ( 3374330 4471170 ) M1M2_PR
NEW met1 ( 3373410 4664290 ) M1M2_PR
NEW met1 ( 3374330 4663950 ) M1M2_PR
NEW met1 ( 3376170 4961110 ) M1M2_PR
NEW met1 ( 3387210 2570230 ) M1M2_PR
NEW met1 ( 3374790 2570230 ) M1M2_PR
NEW met1 ( 3380310 2570230 ) M1M2_PR
NEW met1 ( 3388130 4139670 ) M1M2_PR
NEW met1 ( 3374790 4139670 ) M1M2_PR
NEW met1 ( 3374330 2704870 ) M1M2_PR
NEW met1 ( 3375250 2704870 ) M1M2_PR
NEW met1 ( 3374330 2994550 ) M1M2_PR
NEW met1 ( 3375250 2994550 ) M1M2_PR
NEW met1 ( 3373870 3118990 ) M1M2_PR
NEW met1 ( 3374790 3118310 ) M1M2_PR
NEW met1 ( 3375250 3380790 ) M1M2_PR
NEW met1 ( 3374330 3380790 ) M1M2_PR
NEW met1 ( 3374330 3284570 ) M1M2_PR
NEW met1 ( 3375710 3284570 ) M1M2_PR
NEW met1 ( 3375250 3573910 ) M1M2_PR
NEW met1 ( 3374330 3573910 ) M1M2_PR
NEW met1 ( 3374330 3477690 ) M1M2_PR
NEW met1 ( 3375710 3477690 ) M1M2_PR
NEW met1 ( 3374330 3698010 ) M1M2_PR
NEW met1 ( 3374790 3698010 ) M1M2_PR
NEW met1 ( 3374330 3960490 ) M1M2_PR
NEW met1 ( 3375710 3960490 ) M1M2_PR
NEW met1 ( 3373410 4830210 ) M1M2_PR
NEW met1 ( 3374330 4830210 ) M1M2_PR
NEW met1 ( 3374330 4926430 ) M1M2_PR
NEW met1 ( 3375710 4926430 ) M1M2_PR
NEW met1 ( 3374330 2801430 ) M1M2_PR
NEW met1 ( 3373410 2801430 ) M1M2_PR
NEW met1 ( 3373410 2897990 ) M1M2_PR
NEW met1 ( 3375250 2897990 ) M1M2_PR
NEW met1 ( 3374330 2995230 ) M1M2_PR
NEW met1 ( 3372950 2995230 ) M1M2_PR
NEW met1 ( 3372950 3091110 ) M1M2_PR
NEW met1 ( 3374790 3091110 ) M1M2_PR
NEW met1 ( 3373870 3188010 ) M1M2_PR
NEW met1 ( 3375710 3188010 ) M1M2_PR
NEW met1 ( 3374330 3670470 ) M1M2_PR
NEW met1 ( 3374790 3670470 ) M1M2_PR
NEW met1 ( 3380310 2570230 ) RECT ( -595 -70 0 70 ) ;
- vssa2 ( PIN vssa2 ) ( user2_vssa_hvclamp_pad VSSA ) ( user2_vssa_hvclamp_pad SRC_BDY_HVC ) ( user2_vdda_hvclamp_pad SRC_BDY_HVC ) + USE SIGNAL
+ ROUTED met1 ( 221950 3836730 ) ( 222870 * )
NEW met2 ( 222870 3836730 ) ( * 3932780 )
NEW met2 ( 221950 3932780 ) ( 222870 * )
NEW met1 ( 221950 4029850 ) ( 222870 * )
NEW met2 ( 221950 3932780 ) ( * 4029850 )
NEW met3 ( 198260 2441540 0 ) ( 199180 * )
NEW met3 ( 199180 2441540 ) ( * 2442900 )
NEW met3 ( 199180 2442900 ) ( 221950 * )
NEW met2 ( 221950 2442900 ) ( * 3836730 )
NEW met2 ( 198030 4138820 0 ) ( 198950 * )
NEW met2 ( 198950 4137630 ) ( * 4138820 )
NEW met1 ( 198950 4137630 ) ( 222870 * )
NEW met3 ( 198260 4138820 0 ) ( 199180 * )
NEW met3 ( 199180 4137460 ) ( * 4138820 )
NEW met3 ( 198950 4137460 ) ( 199180 * )
NEW met2 ( 198950 4137460 ) ( * 4137630 )
NEW met2 ( 222870 4029850 ) ( * 4137630 )
NEW met1 ( 221950 3836730 ) M1M2_PR
NEW met1 ( 222870 3836730 ) M1M2_PR
NEW met1 ( 221950 4029850 ) M1M2_PR
NEW met1 ( 222870 4029850 ) M1M2_PR
NEW met2 ( 221950 2442900 ) via2_FR
NEW met1 ( 198950 4137630 ) M1M2_PR
NEW met1 ( 222870 4137630 ) M1M2_PR
NEW met2 ( 198950 4137460 ) via2_FR ;
- vssd ( PIN vssd ) ( user2_vssd_lvclmap_pad SRC_BDY_LVC2 ) ( user2_vccd_lvclamp_pad SRC_BDY_LVC2 ) ( user1_vssd_lvclmap_pad SRC_BDY_LVC2 ) ( user1_vccd_lvclamp_pad SRC_BDY_LVC2 ) ( mgmt_vssd_lvclmap_pad VSSD ) ( mgmt_vssd_lvclmap_pad SRC_BDY_LVC2 )
( mgmt_vccd_lvclamp_pad SRC_BDY_LVC2 ) ( gpio_pad VTRIP_SEL ) ( gpio_pad SLOW ) ( gpio_pad IB_MODE_SEL ) ( gpio_pad HLD_OVR ) ( gpio_pad ANALOG_SEL ) ( gpio_pad ANALOG_POL ) ( gpio_pad ANALOG_EN )
( flash_io1_pad VTRIP_SEL ) ( flash_io1_pad SLOW ) ( flash_io1_pad IB_MODE_SEL ) ( flash_io1_pad HLD_OVR ) ( flash_io1_pad ANALOG_SEL ) ( flash_io1_pad ANALOG_POL ) ( flash_io1_pad ANALOG_EN ) ( flash_io0_pad VTRIP_SEL )
( flash_io0_pad SLOW ) ( flash_io0_pad IB_MODE_SEL ) ( flash_io0_pad HLD_OVR ) ( flash_io0_pad ANALOG_SEL ) ( flash_io0_pad ANALOG_POL ) ( flash_io0_pad ANALOG_EN ) ( flash_csb_pad VTRIP_SEL ) ( flash_csb_pad SLOW )
( flash_csb_pad IB_MODE_SEL ) ( flash_csb_pad HLD_OVR ) ( flash_csb_pad DM[0] ) ( flash_csb_pad ANALOG_SEL ) ( flash_csb_pad ANALOG_POL ) ( flash_csb_pad ANALOG_EN ) ( flash_clk_pad VTRIP_SEL ) ( flash_clk_pad SLOW )
( flash_clk_pad IB_MODE_SEL ) ( flash_clk_pad HLD_OVR ) ( flash_clk_pad DM[0] ) ( flash_clk_pad ANALOG_SEL ) ( flash_clk_pad ANALOG_POL ) ( flash_clk_pad ANALOG_EN ) ( clock_pad VTRIP_SEL ) ( clock_pad SLOW )
( clock_pad OUT ) ( clock_pad IB_MODE_SEL ) ( clock_pad HLD_OVR ) ( clock_pad DM[2] ) ( clock_pad DM[1] ) ( clock_pad ANALOG_SEL ) ( clock_pad ANALOG_POL ) ( clock_pad ANALOG_EN ) + USE SIGNAL
+ ROUTED met1 ( 198490 261970 ) ( 199410 * )
NEW met2 ( 199410 227970 ) ( * 261970 )
NEW met2 ( 196650 4614820 0 ) ( 197570 * )
NEW met2 ( 197570 4614820 ) ( * 4640660 )
NEW met3 ( 197570 4640660 ) ( 206540 * )
NEW met1 ( 198490 4614650 ) ( 211370 * )
NEW met2 ( 198490 4614650 ) ( * 4614820 )
NEW met2 ( 197570 4614820 ) ( 198490 * )
NEW met2 ( 1001190 209100 0 ) ( 1004410 * 0 )
NEW met2 ( 991990 209100 0 ) ( 992450 * )
NEW met2 ( 992450 209100 ) ( * 209610 )
NEW met1 ( 992450 209610 ) ( 1000730 * )
NEW met2 ( 1000730 209100 ) ( * 209610 )
NEW met2 ( 1000730 209100 ) ( 1001190 * 0 )
NEW met2 ( 989230 209100 0 ) ( 991990 * 0 )
NEW met2 ( 986010 209100 0 ) ( 989230 * 0 )
NEW met2 ( 982790 209100 0 ) ( 986010 * 0 )
NEW met2 ( 967610 209100 0 ) ( 968070 * )
NEW met2 ( 968070 209100 ) ( * 209610 )
NEW met1 ( 968070 209610 ) ( 982330 * )
NEW met2 ( 982330 209100 ) ( * 209610 )
NEW met2 ( 982330 209100 ) ( 982790 * 0 )
NEW met2 ( 961170 209100 0 ) ( 961630 * )
NEW met2 ( 961630 209100 ) ( * 209610 )
NEW met1 ( 961630 209610 ) ( 968070 * )
NEW met2 ( 955190 209100 0 ) ( 955650 * )
NEW met2 ( 955650 209100 ) ( * 209610 )
NEW met1 ( 955650 209610 ) ( 961630 * )
NEW met2 ( 945990 209100 0 ) ( 946450 * )
NEW met2 ( 946450 209100 ) ( * 209610 )
NEW met1 ( 946450 209610 ) ( 955650 * )
NEW met3 ( 1206580 196860 0 ) ( * 198900 )
NEW met3 ( 1206580 198900 ) ( 1214630 * )
NEW met2 ( 1206350 196860 0 ) ( * 198900 )
NEW met3 ( 1206350 198900 ) ( 1206580 * )
NEW met2 ( 1547210 209100 ) ( 1547395 * 0 )
NEW met2 ( 1547210 209100 ) ( * 222870 )
NEW met1 ( 1547210 222870 ) ( 1554110 * )
NEW met1 ( 1554110 222530 ) ( * 222870 )
NEW met2 ( 1544175 209100 0 ) ( 1547210 * )
NEW met2 ( 1532030 209100 ) ( 1532215 * 0 )
NEW met2 ( 1532030 209100 ) ( * 220830 )
NEW met1 ( 1532030 220830 ) ( 1547210 * )
NEW met2 ( 1525775 209100 0 ) ( 1526510 * )
NEW met2 ( 1526510 208930 ) ( * 209100 )
NEW met1 ( 1526510 208930 ) ( 1531570 * )
NEW met2 ( 1531570 208930 ) ( * 209100 )
NEW met2 ( 1531570 209100 ) ( 1532030 * )
NEW met2 ( 1532030 220830 ) ( * 222190 )
NEW met2 ( 1762950 209100 0 ) ( * 222530 )
NEW met2 ( 1778130 209100 0 ) ( * 222530 )
NEW met1 ( 1762950 222530 ) ( 1778130 * )
NEW met2 ( 1778130 209100 0 ) ( 1781350 * 0 )
NEW met2 ( 1781350 209100 0 ) ( 1784570 * 0 )
NEW met2 ( 1799750 209100 0 ) ( * 222530 )
NEW met1 ( 1778130 222530 ) ( 1799750 * )
NEW met2 ( 1805730 209100 ) ( 1806190 * 0 )
NEW met2 ( 1805730 209100 ) ( * 209270 )
NEW met1 ( 1800210 209270 ) ( 1805730 * )
NEW met2 ( 1800210 209100 ) ( * 209270 )
NEW met2 ( 1799750 209100 0 ) ( 1800210 * )
NEW met1 ( 1805730 209270 ) ( * 209610 )
NEW met2 ( 2310810 209100 ) ( 2310975 * 0 )
NEW met2 ( 2310810 209100 ) ( * 222530 )
NEW met2 ( 2325990 209100 ) ( 2326155 * 0 )
NEW met2 ( 2325990 209100 ) ( * 222530 )
NEW met1 ( 2310810 222530 ) ( 2325990 * )
NEW met2 ( 2331970 209100 ) ( 2332595 * 0 )
NEW met2 ( 2331970 209100 ) ( * 209270 )
NEW met1 ( 2326910 209270 ) ( 2331970 * )
NEW met2 ( 2326910 209100 ) ( * 209270 )
NEW met2 ( 2326155 209100 0 ) ( 2326910 * )
NEW met2 ( 2347150 209100 ) ( 2347775 * 0 )
NEW met2 ( 2347150 209100 ) ( * 209270 )
NEW met1 ( 2331970 209270 ) ( 2347150 * )
NEW met2 ( 2353590 209100 ) ( 2354215 * 0 )
NEW met2 ( 2353590 209100 ) ( * 209270 )
NEW met1 ( 2347150 209270 ) ( 2353590 * )
NEW met2 ( 2365550 209100 ) ( 2366175 * 0 )
NEW met2 ( 2365550 209100 ) ( * 209270 )
NEW met1 ( 2353590 209270 ) ( 2365550 * )
NEW met2 ( 2366175 209100 0 ) ( 2369395 * 0 )
NEW met4 ( 206540 4640660 ) ( * 4954820 )
NEW met2 ( 1488790 209100 ) ( 1488975 * 0 )
NEW met2 ( 1488790 209100 ) ( * 222190 )
NEW met2 ( 1503970 209100 ) ( 1504155 * 0 )
NEW met2 ( 1503970 209100 ) ( * 222190 )
NEW met1 ( 1488790 222190 ) ( 1503970 * )
NEW met2 ( 1504155 209100 0 ) ( 1507375 * 0 )
NEW met2 ( 1507375 209100 0 ) ( 1510595 * 0 )
NEW met1 ( 1503970 222190 ) ( 1532030 * )
NEW met1 ( 1554110 222530 ) ( 1762950 * )
NEW met2 ( 1820910 209100 ) ( 1821370 * 0 )
NEW met2 ( 1820910 209100 ) ( * 222530 )
NEW met2 ( 1818150 209100 0 ) ( 1820910 * )
NEW met2 ( 1817690 209100 ) ( * 209610 )
NEW met2 ( 1817690 209100 ) ( 1818150 * 0 )
NEW met1 ( 1805730 209610 ) ( 1817690 * )
NEW met2 ( 2037110 209100 0 ) ( * 222530 )
NEW met2 ( 2052290 209100 0 ) ( * 222530 )
NEW met1 ( 2037110 222530 ) ( 2052290 * )
NEW met2 ( 2057810 209100 ) ( 2058730 * 0 )
NEW met2 ( 2057810 208930 ) ( * 209100 )
NEW met1 ( 2052750 208930 ) ( 2057810 * )
NEW met2 ( 2052750 208930 ) ( * 209100 )
NEW met2 ( 2052290 209100 0 ) ( 2052750 * )
NEW met2 ( 2072990 209100 ) ( 2073910 * 0 )
NEW met2 ( 2072990 208930 ) ( * 209100 )
NEW met1 ( 2057810 208930 ) ( 2072990 * )
NEW met2 ( 2079430 209100 ) ( 2080350 * 0 )
NEW met2 ( 2079430 208930 ) ( * 209100 )
NEW met1 ( 2072990 208930 ) ( 2079430 * )
NEW met2 ( 2091390 209100 ) ( 2092310 * 0 )
NEW met2 ( 2091390 208930 ) ( * 209100 )
NEW met1 ( 2079430 208930 ) ( 2091390 * )
NEW met2 ( 2092310 209100 0 ) ( 2095530 * 0 )
NEW met2 ( 2095530 209100 0 ) ( * 222530 )
NEW met1 ( 1820910 222530 ) ( 2037110 * )
NEW met1 ( 2095530 222530 ) ( 2310810 * )
NEW met2 ( 2640170 209100 0 ) ( 2643390 * 0 )
NEW met2 ( 2621770 209100 0 ) ( 2622230 * )
NEW met2 ( 2622230 209100 ) ( * 209270 )
NEW met1 ( 2622230 209270 ) ( 2627750 * )
NEW met2 ( 2627750 209100 ) ( * 209270 )
NEW met2 ( 2627750 209100 ) ( 2628210 * 0 )
NEW met2 ( 2606590 209100 0 ) ( 2607050 * )
NEW met2 ( 2607050 209100 ) ( * 209270 )
NEW met1 ( 2607050 209270 ) ( 2622230 * )
NEW met2 ( 2600150 209100 0 ) ( 2600610 * )
NEW met2 ( 2600610 209100 ) ( * 209270 )
NEW met1 ( 2600610 209270 ) ( 2607050 * )
NEW met2 ( 2584970 209100 0 ) ( 2585430 * )
NEW met2 ( 2585430 209100 ) ( * 209270 )
NEW met1 ( 2585430 209270 ) ( 2600610 * )
NEW met2 ( 3388590 4538660 ) ( 3390430 * 0 )
NEW met1 ( 198490 374510 ) ( 199410 * )
NEW met2 ( 198490 261970 ) ( * 374510 )
NEW met2 ( 196650 2259300 0 ) ( 197110 * )
NEW met2 ( 197110 2259300 ) ( * 2291090 )
NEW met1 ( 197110 2291090 ) ( 211370 * )
NEW met3 ( 198490 2259300 ) ( 201020 * )
NEW met2 ( 197110 2259300 ) ( 198490 * )
NEW met2 ( 211370 2291090 ) ( * 4614650 )
NEW met2 ( 946450 209610 ) ( * 227970 )
NEW met2 ( 2643390 209100 0 ) ( * 228310 )
NEW met2 ( 3388590 2299420 ) ( 3390430 * 0 )
NEW met3 ( 206540 4954820 ) ( 3381460 * )
NEW met1 ( 199410 227970 ) ( 946450 * )
NEW met2 ( 1004410 209100 0 ) ( * 222190 )
NEW met2 ( 1214630 198900 ) ( * 222190 )
NEW met2 ( 2369230 209100 ) ( * 222530 )
NEW met1 ( 2369230 222530 ) ( 2584970 * )
NEW met2 ( 2584970 209100 0 ) ( * 222530 )
NEW met2 ( 2628210 209100 0 ) ( * 220830 )
NEW met1 ( 2628210 220830 ) ( 2643390 * )
NEW met1 ( 2643390 228310 ) ( 3365590 * )
NEW met1 ( 3365590 2042550 ) ( 3381690 * )
NEW met2 ( 3365590 228310 ) ( * 2042550 )
NEW met1 ( 3381690 2200990 ) ( 3388590 * )
NEW met2 ( 3381690 2042550 ) ( * 2200990 )
NEW met2 ( 3388590 2200990 ) ( * 2299420 )
NEW met2 ( 196650 394740 0 ) ( * 395420 )
NEW met2 ( 196650 395420 ) ( 197110 * )
NEW met2 ( 197110 395420 ) ( * 415820 )
NEW met3 ( 197110 415820 ) ( 201020 * )
NEW met2 ( 197570 394740 ) ( 199410 * )
NEW met2 ( 197570 394740 ) ( * 395420 )
NEW met2 ( 197110 395420 ) ( 197570 * )
NEW met2 ( 199410 374510 ) ( * 394740 )
NEW met4 ( 201020 415820 ) ( * 2259300 )
NEW met2 ( 1089510 222190 ) ( * 222700 )
NEW met2 ( 1089510 222700 ) ( 1090430 * )
NEW met2 ( 1090430 222530 ) ( * 222700 )
NEW met1 ( 1090430 222530 ) ( 1106530 * )
NEW met1 ( 1106530 222190 ) ( * 222530 )
NEW met1 ( 1004410 222190 ) ( 1089510 * )
NEW met1 ( 1106530 222190 ) ( 1214630 * )
NEW met4 ( 3381460 4591700 ) ( 3387900 * )
NEW met3 ( 3387900 4591700 ) ( 3388590 * )
NEW met4 ( 3381460 4591700 ) ( * 4954820 )
NEW met2 ( 3388590 4538660 ) ( * 4591700 )
NEW met1 ( 1214630 222190 ) ( 1488790 * )
NEW met1 ( 198490 261970 ) M1M2_PR
NEW met1 ( 199410 261970 ) M1M2_PR
NEW met1 ( 199410 227970 ) M1M2_PR
NEW met2 ( 197570 4640660 ) via2_FR
NEW met3 ( 206540 4640660 ) M3M4_PR_M
NEW met1 ( 211370 4614650 ) M1M2_PR
NEW met1 ( 198490 4614650 ) M1M2_PR
NEW met1 ( 992450 209610 ) M1M2_PR
NEW met1 ( 1000730 209610 ) M1M2_PR
NEW met1 ( 968070 209610 ) M1M2_PR
NEW met1 ( 982330 209610 ) M1M2_PR
NEW met1 ( 961630 209610 ) M1M2_PR
NEW met1 ( 955650 209610 ) M1M2_PR
NEW met1 ( 946450 209610 ) M1M2_PR
NEW met2 ( 1214630 198900 ) via2_FR
NEW met2 ( 1206350 198900 ) via2_FR
NEW met1 ( 1547210 222870 ) M1M2_PR
NEW met1 ( 1532030 220830 ) M1M2_PR
NEW met1 ( 1547210 220830 ) M1M2_PR
NEW met1 ( 1526510 208930 ) M1M2_PR
NEW met1 ( 1531570 208930 ) M1M2_PR
NEW met1 ( 1532030 222190 ) M1M2_PR
NEW met1 ( 1762950 222530 ) M1M2_PR
NEW met1 ( 1778130 222530 ) M1M2_PR
NEW met1 ( 1799750 222530 ) M1M2_PR
NEW met1 ( 1805730 209270 ) M1M2_PR
NEW met1 ( 1800210 209270 ) M1M2_PR
NEW met1 ( 2310810 222530 ) M1M2_PR
NEW met1 ( 2325990 222530 ) M1M2_PR
NEW met1 ( 2331970 209270 ) M1M2_PR
NEW met1 ( 2326910 209270 ) M1M2_PR
NEW met1 ( 2347150 209270 ) M1M2_PR
NEW met1 ( 2353590 209270 ) M1M2_PR
NEW met1 ( 2365550 209270 ) M1M2_PR
NEW met3 ( 206540 4954820 ) M3M4_PR_M
NEW met1 ( 1488790 222190 ) M1M2_PR
NEW met1 ( 1503970 222190 ) M1M2_PR
NEW met1 ( 1820910 222530 ) M1M2_PR
NEW met1 ( 1817690 209610 ) M1M2_PR
NEW met1 ( 2037110 222530 ) M1M2_PR
NEW met1 ( 2052290 222530 ) M1M2_PR
NEW met1 ( 2057810 208930 ) M1M2_PR
NEW met1 ( 2052750 208930 ) M1M2_PR
NEW met1 ( 2072990 208930 ) M1M2_PR
NEW met1 ( 2079430 208930 ) M1M2_PR
NEW met1 ( 2091390 208930 ) M1M2_PR
NEW met1 ( 2095530 222530 ) M1M2_PR
NEW met1 ( 2622230 209270 ) M1M2_PR
NEW met1 ( 2627750 209270 ) M1M2_PR
NEW met1 ( 2607050 209270 ) M1M2_PR
NEW met1 ( 2600610 209270 ) M1M2_PR
NEW met1 ( 2585430 209270 ) M1M2_PR
NEW met1 ( 3365590 228310 ) M1M2_PR
NEW met1 ( 199410 374510 ) M1M2_PR
NEW met1 ( 198490 374510 ) M1M2_PR
NEW met1 ( 197110 2291090 ) M1M2_PR
NEW met1 ( 211370 2291090 ) M1M2_PR
NEW met3 ( 201020 2259300 ) M3M4_PR_M
NEW met2 ( 198490 2259300 ) via2_FR
NEW met1 ( 946450 227970 ) M1M2_PR
NEW met1 ( 2643390 228310 ) M1M2_PR
NEW met1 ( 2643390 220830 ) M1M2_PR
NEW met3 ( 3381460 4954820 ) M3M4_PR_M
NEW met1 ( 1004410 222190 ) M1M2_PR
NEW met1 ( 1214630 222190 ) M1M2_PR
NEW met1 ( 2369230 222530 ) M1M2_PR
NEW met1 ( 2584970 222530 ) M1M2_PR
NEW met1 ( 2628210 220830 ) M1M2_PR
NEW met1 ( 3365590 2042550 ) M1M2_PR
NEW met1 ( 3381690 2042550 ) M1M2_PR
NEW met1 ( 3381690 2200990 ) M1M2_PR
NEW met1 ( 3388590 2200990 ) M1M2_PR
NEW met2 ( 197110 415820 ) via2_FR
NEW met3 ( 201020 415820 ) M3M4_PR_M
NEW met1 ( 1089510 222190 ) M1M2_PR
NEW met1 ( 1090430 222530 ) M1M2_PR
NEW met3 ( 3387900 4591700 ) M3M4_PR_M
NEW met2 ( 3388590 4591700 ) via2_FR
NEW met3 ( 1206350 198900 ) RECT ( -570 -150 0 150 )
NEW met2 ( 1547210 220830 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2643390 220830 ) RECT ( -70 0 70 485 ) ;
- vssd1 ( PIN vssd1 ) ( user1_vssd_lvclmap_pad VSSD ) ( user1_vssd_lvclmap_pad SRC_BDY_LVC1 ) ( user1_vccd_lvclamp_pad SRC_BDY_LVC1 ) + USE SIGNAL
+ ROUTED met2 ( 3390430 2353820 0 ) ( * 2387820 )
NEW met3 ( 3387900 2387820 ) ( 3390430 * )
NEW met3 ( 3389510 2349740 ) ( 3390660 * 0 )
NEW met2 ( 3389510 2349740 ) ( * 2353820 )
NEW met2 ( 3389510 2353820 ) ( 3390430 * 0 )
NEW met3 ( 3387900 4546820 ) ( 3389050 * )
NEW met2 ( 3389050 4546820 ) ( * 4593060 )
NEW met2 ( 3389050 4593060 ) ( 3390430 * 0 )
NEW met4 ( 3387900 2387820 ) ( * 4546820 )
NEW met2 ( 3390430 2387820 ) via2_FR
NEW met3 ( 3387900 2387820 ) M3M4_PR_M
NEW met2 ( 3389510 2349740 ) via2_FR
NEW met3 ( 3387900 4546820 ) M3M4_PR_M
NEW met2 ( 3389050 4546820 ) via2_FR ;
- vssd2 ( PIN vssd2 ) ( user2_vssd_lvclmap_pad VSSD ) ( user2_vssd_lvclmap_pad SRC_BDY_LVC1 ) ( user2_vccd_lvclamp_pad SRC_BDY_LVC1 ) + USE SIGNAL
+ ROUTED met3 ( 199870 2276980 ) ( 200100 * )
NEW met2 ( 196650 2204900 0 ) ( 198030 * )
NEW met2 ( 198030 2204220 ) ( * 2204900 )
NEW met2 ( 198030 2204220 ) ( 199870 * )
NEW met3 ( 197340 2204900 0 ) ( 198490 * )
NEW met2 ( 198490 2204220 ) ( * 2204900 )
NEW met2 ( 199870 2204220 ) ( * 2276980 )
NEW met4 ( 199180 4180300 ) ( 200100 * )
NEW met4 ( 199180 4374100 ) ( 201020 * )
NEW met3 ( 198030 4540700 ) ( 201020 * )
NEW met2 ( 198030 4540700 ) ( * 4561100 )
NEW met2 ( 196650 4561100 0 ) ( 198030 * )
NEW met5 ( 201020 3139900 ) ( 205620 * )
NEW met5 ( 201020 3731500 ) ( * 3734900 )
NEW met5 ( 201020 3734900 ) ( 201940 * )
NEW met4 ( 200100 4057900 ) ( 201940 * )
NEW met4 ( 200100 4057900 ) ( * 4180300 )
NEW met3 ( 199180 4251020 ) ( * 4251700 )
NEW met3 ( 199180 4251700 ) ( 199410 * )
NEW met2 ( 199410 4251700 ) ( * 4346900 )
NEW met3 ( 199410 4346900 ) ( 201020 * )
NEW met4 ( 199180 4180300 ) ( * 4251020 )
NEW met4 ( 201020 4346900 ) ( * 4374100 )
NEW met3 ( 199180 4444140 ) ( * 4444820 )
NEW met3 ( 199180 4444820 ) ( 200330 * )
NEW met2 ( 200330 4444820 ) ( * 4540020 )
NEW met3 ( 200330 4540020 ) ( 201020 * )
NEW met4 ( 199180 4374100 ) ( * 4444140 )
NEW met4 ( 201020 4540020 ) ( * 4540700 )
NEW met4 ( 201940 3381300 ) ( 202860 * )
NEW met4 ( 202860 3381300 ) ( * 3476500 )
NEW met4 ( 201940 3476500 ) ( 202860 * )
NEW met3 ( 199180 2353140 ) ( 200330 * )
NEW met2 ( 200330 2304860 ) ( * 2353140 )
NEW met3 ( 200100 2304860 ) ( 200330 * )
NEW met4 ( 200100 2276980 ) ( * 2304860 )
NEW met4 ( 201940 2725100 ) ( 202860 * )
NEW met4 ( 201940 3850500 ) ( 203780 * )
NEW met3 ( 199180 2440180 ) ( 199410 * )
NEW met2 ( 199410 2440180 ) ( * 2452420 )
NEW met3 ( 199410 2452420 ) ( 201020 * )
NEW met4 ( 199180 2353140 ) ( * 2440180 )
NEW met4 ( 201020 2643500 ) ( 201940 * )
NEW met4 ( 201940 2643500 ) ( * 2725100 )
NEW met4 ( 201940 2837300 ) ( 202860 * )
NEW met4 ( 202860 2725100 ) ( * 2837300 )
NEW met5 ( 201020 3656700 ) ( 204700 * )
NEW met4 ( 204700 3561500 ) ( * 3656700 )
NEW met4 ( 201940 3561500 ) ( 204700 * )
NEW met4 ( 201020 3656700 ) ( * 3731500 )
NEW met4 ( 201940 3476500 ) ( * 3561500 )
NEW met4 ( 201940 3734900 ) ( * 3850500 )
NEW met4 ( 201940 4040900 ) ( 202860 * )
NEW met4 ( 202860 3959300 ) ( * 4040900 )
NEW met4 ( 202860 3959300 ) ( 203780 * )
NEW met4 ( 201940 4040900 ) ( * 4057900 )
NEW met4 ( 203780 3850500 ) ( * 3959300 )
NEW met3 ( 201020 2484380 ) ( * 2485060 )
NEW met3 ( 201020 2485060 ) ( 201250 * )
NEW met2 ( 201250 2485060 ) ( * 2580260 )
NEW met3 ( 201020 2580260 ) ( 201250 * )
NEW met4 ( 201020 2452420 ) ( * 2484380 )
NEW met4 ( 201020 2580260 ) ( * 2643500 )
NEW met5 ( 201940 3313300 ) ( 207460 * )
NEW met4 ( 201940 3313300 ) ( * 3381300 )
NEW met4 ( 201940 2993700 ) ( 202860 * )
NEW met4 ( 202860 2993700 ) ( * 3061700 )
NEW met4 ( 202860 3061700 ) ( 205620 * )
NEW met4 ( 201940 2837300 ) ( * 2993700 )
NEW met4 ( 205620 3061700 ) ( * 3139900 )
NEW met4 ( 201020 3160300 ) ( 205620 * )
NEW met4 ( 205620 3160300 ) ( * 3255500 )
NEW met4 ( 205620 3255500 ) ( 207460 * )
NEW met4 ( 201020 3139900 ) ( * 3160300 )
NEW met4 ( 207460 3255500 ) ( * 3313300 )
NEW met2 ( 199870 2276980 ) via2_FR
NEW met3 ( 200100 2276980 ) M3M4_PR_M
NEW met2 ( 198490 2204900 ) via2_FR
NEW met3 ( 201020 4540700 ) M3M4_PR_M
NEW met2 ( 198030 4540700 ) via2_FR
NEW met4 ( 201020 3139900 ) via4_FR
NEW met4 ( 205620 3139900 ) via4_FR
NEW met4 ( 201020 3731500 ) via4_FR
NEW met4 ( 201940 3734900 ) via4_FR
NEW met3 ( 199180 4251020 ) M3M4_PR_M
NEW met2 ( 199410 4251700 ) via2_FR
NEW met2 ( 199410 4346900 ) via2_FR
NEW met3 ( 201020 4346900 ) M3M4_PR_M
NEW met3 ( 199180 4444140 ) M3M4_PR_M
NEW met2 ( 200330 4444820 ) via2_FR
NEW met2 ( 200330 4540020 ) via2_FR
NEW met3 ( 201020 4540020 ) M3M4_PR_M
NEW met3 ( 199180 2353140 ) M3M4_PR_M
NEW met2 ( 200330 2353140 ) via2_FR
NEW met2 ( 200330 2304860 ) via2_FR
NEW met3 ( 200100 2304860 ) M3M4_PR_M
NEW met3 ( 199180 2440180 ) M3M4_PR_M
NEW met2 ( 199410 2440180 ) via2_FR
NEW met2 ( 199410 2452420 ) via2_FR
NEW met3 ( 201020 2452420 ) M3M4_PR_M
NEW met4 ( 201020 3656700 ) via4_FR
NEW met4 ( 204700 3656700 ) via4_FR
NEW met3 ( 201020 2484380 ) M3M4_PR_M
NEW met2 ( 201250 2485060 ) via2_FR
NEW met2 ( 201250 2580260 ) via2_FR
NEW met3 ( 201020 2580260 ) M3M4_PR_M
NEW met4 ( 201940 3313300 ) via4_FR
NEW met4 ( 207460 3313300 ) via4_FR
NEW met3 ( 199870 2276980 ) RECT ( -390 -150 0 150 )
NEW met3 ( 200330 2304860 ) RECT ( 0 -150 390 150 )
NEW met3 ( 199180 2440180 ) RECT ( -390 -150 0 150 )
NEW met3 ( 201250 2580260 ) RECT ( 0 -150 390 150 ) ;
- vssio ( PIN vssio ) ( user2_vssd_lvclmap_pad BDY2_B2B ) ( user2_vccd_lvclamp_pad BDY2_B2B ) ( user1_vssd_lvclmap_pad BDY2_B2B ) ( user1_vccd_lvclamp_pad BDY2_B2B ) ( resetb_pad PULLUP_H ) ( resetb_pad INP_SEL_H )
( resetb_pad FILT_IN_H ) ( resetb_pad EN_VDDIO_SIG_H ) ( resetb_pad DISABLE_PULLUP_H ) ( mprj_pads.area2_io_pad\[9\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[8\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[7\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[6\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[5\] ENABLE_VSWITCH_H )
( mprj_pads.area2_io_pad\[4\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[3\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[2\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[1\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[19\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[18\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[17\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[16\] ENABLE_VSWITCH_H )
( mprj_pads.area2_io_pad\[15\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[14\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[13\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[12\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[11\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[10\] ENABLE_VSWITCH_H ) ( mprj_pads.area2_io_pad\[0\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[9\] ENABLE_VSWITCH_H )
( mprj_pads.area1_io_pad\[8\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[7\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[6\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[5\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[4\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[3\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[2\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[1\] ENABLE_VSWITCH_H )
( mprj_pads.area1_io_pad\[17\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[16\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[15\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[14\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[13\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[12\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[11\] ENABLE_VSWITCH_H ) ( mprj_pads.area1_io_pad\[10\] ENABLE_VSWITCH_H )
( mprj_pads.area1_io_pad\[0\] ENABLE_VSWITCH_H ) ( mgmt_vssio_hvclamp_pad\[1\] VSSIO ) ( mgmt_vssio_hvclamp_pad\[1\] SRC_BDY_HVC ) ( mgmt_vssio_hvclamp_pad\[0\] VSSIO ) ( mgmt_vssio_hvclamp_pad\[0\] SRC_BDY_HVC ) ( mgmt_vssd_lvclmap_pad SRC_BDY_LVC1 ) ( mgmt_vddio_hvclamp_pad\[1\] SRC_BDY_HVC ) ( mgmt_vddio_hvclamp_pad\[0\] SRC_BDY_HVC )
( mgmt_vccd_lvclamp_pad SRC_BDY_LVC1 ) + USE SIGNAL
+ ROUTED met2 ( 208610 1572805 0 ) ( 211830 * )
NEW met2 ( 211830 1567060 ) ( * 1572805 )
NEW met2 ( 211830 1567060 ) ( 212750 * )
NEW met2 ( 1566070 222870 ) ( * 224230 )
NEW met2 ( 1904630 222870 ) ( * 223890 )
NEW met1 ( 1904630 223890 ) ( 2000770 * )
NEW met2 ( 2000770 222870 ) ( * 223890 )
NEW met2 ( 2097830 221510 ) ( * 222870 )
NEW met1 ( 2097830 221510 ) ( 2193970 * )
NEW met2 ( 2193970 221510 ) ( * 222870 )
NEW met2 ( 2291030 222870 ) ( * 224230 )
NEW met2 ( 197837 4595100 0 ) ( 199410 * )
NEW met2 ( 199410 4575550 ) ( * 4595100 )
NEW met1 ( 199410 4575550 ) ( 207230 * )
NEW met1 ( 207230 4575550 ) ( 212290 * )
NEW met2 ( 208610 4787805 0 ) ( 209070 * )
NEW met2 ( 209070 4785330 ) ( * 4787805 )
NEW met1 ( 209070 4785330 ) ( 211370 * )
NEW met1 ( 211370 4783970 ) ( * 4785330 )
NEW met2 ( 211370 4705940 ) ( * 4783970 )
NEW met2 ( 211370 4705940 ) ( 212290 * )
NEW met1 ( 211830 4802330 ) ( 213210 * )
NEW met2 ( 213210 4785330 ) ( * 4802330 )
NEW met1 ( 211370 4785330 ) ( 213210 * )
NEW met2 ( 212290 4575550 ) ( * 4705940 )
NEW met2 ( 211830 4802330 ) ( * 4950910 )
NEW met2 ( 397670 4953630 ) ( * 4977260 0 )
NEW met2 ( 397670 4950910 ) ( * 4953630 )
NEW met2 ( 938630 4952270 ) ( * 4953630 )
NEW met1 ( 938630 4952270 ) ( 1034770 * )
NEW met2 ( 1034770 4952270 ) ( * 4953630 )
NEW met2 ( 1168630 4977260 ) ( 1168805 * 0 )
NEW met2 ( 1168630 4951930 ) ( * 4977260 )
NEW met2 ( 1131830 4951930 ) ( * 4953630 )
NEW met1 ( 1131830 4951930 ) ( 1168630 * )
NEW met2 ( 3146630 4977260 ) ( 3146805 * 0 )
NEW met1 ( 1566070 222870 ) ( 1904630 * )
NEW met1 ( 2000770 222870 ) ( 2097830 * )
NEW met1 ( 2193970 222870 ) ( 2291030 * )
NEW met1 ( 3369270 648550 ) ( 3376630 * )
NEW met1 ( 3368810 841670 ) ( 3376170 * )
NEW met2 ( 3377090 1011500 ) ( * 1014220 0 )
NEW met2 ( 3376170 1011500 ) ( 3377090 * )
NEW met1 ( 3368350 1034790 ) ( 3376630 * )
NEW met2 ( 3376630 1014220 ) ( * 1034790 )
NEW met2 ( 3376630 1014220 ) ( 3377090 * 0 )
NEW met1 ( 211830 4950910 ) ( 397670 * )
NEW met2 ( 654810 4953630 ) ( * 4977260 0 )
NEW met2 ( 911805 4977260 0 ) ( 911950 * )
NEW met2 ( 911950 4953630 ) ( * 4977260 )
NEW met1 ( 397670 4953630 ) ( 911950 * )
NEW met1 ( 911950 4953630 ) ( 938630 * )
NEW met1 ( 1034770 4953630 ) ( 1131830 * )
NEW met2 ( 3377090 1240195 0 ) ( * 1242870 )
NEW met1 ( 3367430 1242870 ) ( 3377090 * )
NEW met2 ( 3368350 1034790 ) ( * 1242870 )
NEW met2 ( 3377090 1465060 0 ) ( * 1467950 )
NEW met1 ( 3368350 1467950 ) ( 3377090 * )
NEW met1 ( 3367430 1467950 ) ( 3368350 * )
NEW met2 ( 3367430 1242870 ) ( * 1467950 )
NEW met2 ( 3377090 1687590 ) ( * 1690140 0 )
NEW met1 ( 3368350 1687590 ) ( 3377090 * )
NEW met2 ( 3368350 1467950 ) ( * 1687590 )
NEW met1 ( 3367890 4553790 ) ( 3389510 * )
NEW met2 ( 196650 341020 0 ) ( 198030 * )
NEW met2 ( 198030 339830 ) ( * 341020 )
NEW met1 ( 198030 339830 ) ( 207230 * )
NEW met1 ( 207230 322490 ) ( 213670 * )
NEW met2 ( 207230 322490 ) ( * 339830 )
NEW met2 ( 213670 228990 ) ( * 322490 )
NEW met3 ( 198260 576980 0 ) ( * 577660 )
NEW met3 ( 198260 577660 ) ( 199180 * )
NEW met3 ( 199180 577660 ) ( * 579700 )
NEW met3 ( 199180 579700 ) ( 211830 * )
NEW met2 ( 208610 924805 0 ) ( 209070 * )
NEW met2 ( 209070 924805 ) ( * 927350 )
NEW met1 ( 209070 927350 ) ( 213670 * )
NEW met2 ( 213670 927350 ) ( * 986850 )
NEW met1 ( 211370 986850 ) ( 213670 * )
NEW met1 ( 211830 897090 ) ( 213670 * )
NEW met2 ( 213670 897090 ) ( * 927350 )
NEW met2 ( 208610 1140700 0 ) ( 209530 * )
NEW met2 ( 209530 1139340 ) ( * 1140700 )
NEW met2 ( 209530 1139340 ) ( 211370 * )
NEW met2 ( 211370 1139340 ) ( 212750 * )
NEW met2 ( 208610 1356940 0 ) ( 209070 * )
NEW met2 ( 209070 1354050 ) ( * 1356940 )
NEW met1 ( 209070 1354050 ) ( 214130 * )
NEW met1 ( 211830 1698130 ) ( 213210 * )
NEW met1 ( 211830 2125170 ) ( 214130 * )
NEW met1 ( 210910 2345830 ) ( 212290 * )
NEW met1 ( 210910 2491010 ) ( 212290 * )
NEW met2 ( 208610 2642820 0 ) ( 209070 * )
NEW met2 ( 209070 2642820 ) ( * 2645370 )
NEW met1 ( 209070 2645370 ) ( 214130 * )
NEW met2 ( 208610 2858805 0 ) ( 209070 * )
NEW met2 ( 209070 2858805 ) ( * 2861270 )
NEW met1 ( 209070 2861270 ) ( 214130 * )
NEW met2 ( 214130 2861270 ) ( * 2918390 )
NEW met1 ( 212290 2918390 ) ( 214130 * )
NEW met2 ( 208610 3074805 0 ) ( 209070 * )
NEW met2 ( 209070 3072070 ) ( * 3074805 )
NEW met1 ( 209070 3072070 ) ( 212290 * )
NEW met1 ( 212290 3072070 ) ( 214130 * )
NEW met2 ( 208610 3290860 0 ) ( 209070 * )
NEW met2 ( 209070 3288310 ) ( * 3290860 )
NEW met1 ( 209070 3288310 ) ( 213670 * )
NEW met2 ( 213670 3288140 ) ( * 3288310 )
NEW met2 ( 213670 3288140 ) ( 214130 * )
NEW met1 ( 213670 3288310 ) ( 215050 * )
NEW met1 ( 212750 3504550 ) ( 213670 * )
NEW met1 ( 209070 3504550 ) ( 212750 * )
NEW met1 ( 212290 3654150 ) ( 214130 * )
NEW met2 ( 212290 4036820 ) ( 213210 * )
NEW met1 ( 207230 4379370 ) ( 212290 * )
NEW met3 ( 198260 4375460 0 ) ( 207230 * )
NEW met2 ( 207230 4375460 ) ( * 4379370 )
NEW met2 ( 705110 199920 0 ) ( * 200940 )
NEW met2 ( 704950 200940 ) ( 705110 * )
NEW met1 ( 713000 199750 0 ) ( * 200430 )
NEW met1 ( 705110 200430 ) ( 713000 * )
NEW met2 ( 715490 199920 0 ) ( * 200430 )
NEW met1 ( 713000 200430 ) ( 715490 * )
NEW met2 ( 716910 199580 0 ) ( * 200430 )
NEW met1 ( 715490 200430 ) ( 716910 * )
NEW met1 ( 723350 199920 0 ) ( * 200430 )
NEW met1 ( 716910 200430 ) ( 723350 * )
NEW met2 ( 207230 339830 ) ( * 579700 )
NEW met2 ( 211830 579700 ) ( * 897090 )
NEW met2 ( 211370 986850 ) ( * 1139340 )
NEW met1 ( 212750 1187110 ) ( 214130 * )
NEW met2 ( 212750 1139340 ) ( * 1187110 )
NEW met2 ( 214130 1187110 ) ( * 1354050 )
NEW met1 ( 212750 1433270 ) ( 214130 * )
NEW met2 ( 212750 1433270 ) ( * 1567060 )
NEW met2 ( 214130 1354050 ) ( * 1433270 )
NEW met2 ( 211830 1572805 ) ( * 1698130 )
NEW met2 ( 208610 1788740 0 ) ( 209070 * )
NEW met2 ( 209070 1788740 ) ( * 1791290 )
NEW met1 ( 209070 1791290 ) ( 212290 * )
NEW met2 ( 212290 1791290 ) ( * 1851130 )
NEW met1 ( 210910 1851130 ) ( 212290 * )
NEW met1 ( 212290 1791290 ) ( 213210 * )
NEW met2 ( 213210 1698130 ) ( * 1791290 )
NEW met2 ( 208610 2004805 0 ) ( 209070 * )
NEW met2 ( 209070 2004805 ) ( * 2006850 )
NEW met1 ( 209070 2006850 ) ( 214130 * )
NEW met1 ( 210910 1989170 ) ( 214130 * )
NEW met2 ( 214130 1989170 ) ( * 2006850 )
NEW met2 ( 210910 1851130 ) ( * 1989170 )
NEW met2 ( 214130 2006850 ) ( * 2125170 )
NEW met1 ( 210450 2213570 ) ( 211830 * )
NEW met2 ( 211830 2125170 ) ( * 2213570 )
NEW met1 ( 210910 2394450 ) ( 212290 * )
NEW met2 ( 210910 2394450 ) ( * 2491010 )
NEW met2 ( 212290 2345830 ) ( * 2394450 )
NEW met2 ( 212290 2491010 ) ( * 2645370 )
NEW met1 ( 212290 2780690 ) ( 214130 * )
NEW met2 ( 212290 2780690 ) ( * 2861270 )
NEW met2 ( 214130 2645370 ) ( * 2780690 )
NEW met2 ( 212290 2918390 ) ( * 3072070 )
NEW met2 ( 214130 3072070 ) ( * 3288140 )
NEW met2 ( 213670 3343900 ) ( 215050 * )
NEW met2 ( 213670 3343900 ) ( * 3504550 )
NEW met2 ( 215050 3288310 ) ( * 3343900 )
NEW met1 ( 212750 3543650 ) ( 214130 * )
NEW met2 ( 208610 3506805 0 ) ( 209070 * )
NEW met2 ( 209070 3504550 ) ( * 3506805 )
NEW met2 ( 212750 3504550 ) ( * 3543650 )
NEW met2 ( 214130 3543650 ) ( * 3654150 )
NEW met2 ( 208610 3722805 0 ) ( 209070 * )
NEW met2 ( 209070 3722805 ) ( * 3725550 )
NEW met1 ( 209070 3725550 ) ( 214130 * )
NEW met2 ( 214130 3725550 ) ( * 3782670 )
NEW met1 ( 212290 3782670 ) ( 214130 * )
NEW met2 ( 212290 3654150 ) ( * 3725550 )
NEW met2 ( 208610 3938900 0 ) ( 209070 * )
NEW met2 ( 209070 3938900 ) ( * 3939410 )
NEW met1 ( 209070 3939410 ) ( 213210 * )
NEW met2 ( 212290 3782670 ) ( * 3939410 )
NEW met2 ( 213210 3939410 ) ( * 4036820 )
NEW met2 ( 212290 4036820 ) ( * 4379370 )
NEW met2 ( 207230 4379370 ) ( * 4575550 )
NEW met2 ( 704950 222530 ) ( * 228990 )
NEW met2 ( 704950 200940 ) ( * 222530 )
NEW met1 ( 1283630 4953290 ) ( * 4953630 )
NEW met1 ( 1283630 4953630 ) ( 1379770 * )
NEW met1 ( 1379770 4953630 ) ( * 4953970 )
NEW met2 ( 1478670 4951930 ) ( * 4953970 )
NEW met2 ( 3377090 560490 ) ( * 563195 0 )
NEW met1 ( 3367890 560490 ) ( 3377090 * )
NEW met2 ( 3376630 563195 ) ( 3377090 * 0 )
NEW met2 ( 3367890 228650 ) ( * 560490 )
NEW met2 ( 3376630 563195 ) ( * 648550 )
NEW met2 ( 3377090 786590 ) ( * 789140 0 )
NEW met1 ( 3369270 786590 ) ( 3377090 * )
NEW met2 ( 3376170 789140 ) ( 3377090 * 0 )
NEW met2 ( 3369270 648550 ) ( * 786590 )
NEW met2 ( 3376170 789140 ) ( * 841670 )
NEW met1 ( 3368810 922250 ) ( 3376170 * )
NEW met2 ( 3368810 841670 ) ( * 922250 )
NEW met2 ( 3376170 922250 ) ( * 1011500 )
NEW met2 ( 3377090 1913690 ) ( * 1916195 0 )
NEW met1 ( 3368350 1913690 ) ( 3377090 * )
NEW met1 ( 3376630 1943270 ) ( 3381230 * )
NEW met2 ( 3376630 1916195 ) ( * 1943270 )
NEW met2 ( 3376630 1916195 ) ( 3377090 * 0 )
NEW met1 ( 3381230 2299930 ) ( 3388590 * )
NEW met2 ( 3388590 2299930 ) ( * 2330020 )
NEW met2 ( 3388590 2330020 ) ( 3390162 * 0 )
NEW met1 ( 3368350 3022430 ) ( 3369730 * )
NEW met2 ( 3377090 3027530 ) ( * 3028195 0 )
NEW met1 ( 3368350 3027530 ) ( 3377090 * )
NEW met2 ( 3377090 3253195 0 ) ( * 3255670 )
NEW met1 ( 3368350 3255670 ) ( 3377090 * )
NEW met2 ( 3377090 3476670 ) ( * 3479220 0 )
NEW met1 ( 3368350 3476670 ) ( 3377090 * )
NEW met1 ( 3368350 3650070 ) ( 3370190 * )
NEW met2 ( 3389510 4569260 ) ( 3390162 * )
NEW met2 ( 3390162 4568580 0 ) ( * 4569260 )
NEW met2 ( 3389510 4553790 ) ( * 4569260 )
NEW met2 ( 3377090 4818650 ) ( * 4821195 0 )
NEW met1 ( 3367890 4818650 ) ( 3377090 * )
NEW met1 ( 213670 228990 ) ( 704950 * )
NEW met1 ( 800630 222530 ) ( * 222870 )
NEW met1 ( 704950 222530 ) ( 800630 * )
NEW met1 ( 993830 223210 ) ( * 223550 )
NEW met2 ( 1234870 4951930 ) ( * 4953290 )
NEW met1 ( 1168630 4951930 ) ( 1234870 * )
NEW met1 ( 1234870 4953290 ) ( 1283630 * )
NEW met2 ( 1380230 222530 ) ( * 223890 )
NEW met1 ( 1379770 4953970 ) ( 1426690 * )
NEW met2 ( 1426690 4951930 ) ( * 4977260 0 )
NEW met1 ( 1426690 4951930 ) ( 1478670 * )
NEW met1 ( 1620810 4953630 ) ( * 4953970 )
NEW met1 ( 1620810 4953630 ) ( 1621730 * )
NEW met2 ( 1621730 4952270 ) ( * 4953630 )
NEW met1 ( 1478670 4953970 ) ( 1620810 * )
NEW met2 ( 1766630 4953290 ) ( * 4953970 )
NEW met1 ( 1766630 4953290 ) ( 1767090 * )
NEW met1 ( 1767090 4952950 ) ( * 4953290 )
NEW met1 ( 2380730 4952610 ) ( 2441910 * )
NEW met2 ( 2441910 4952610 ) ( * 4953460 )
NEW met2 ( 2441910 4953460 ) ( 2442370 * )
NEW met2 ( 2442370 4953460 ) ( * 4953630 )
NEW met2 ( 2377050 4952610 ) ( * 4953970 )
NEW met1 ( 2377050 4952610 ) ( 2380730 * )
NEW met2 ( 2380730 4952610 ) ( * 4977260 0 )
NEW met2 ( 3146630 4950570 ) ( * 4977260 )
NEW met2 ( 3368350 1687590 ) ( * 1913690 )
NEW met2 ( 3381230 1943270 ) ( * 2299930 )
NEW met2 ( 3377090 2802195 0 ) ( * 2804830 )
NEW met1 ( 3369730 2804830 ) ( 3377090 * )
NEW met2 ( 3369730 2804830 ) ( * 3022430 )
NEW met2 ( 3368350 3022430 ) ( * 3255670 )
NEW met2 ( 3368350 3255670 ) ( * 3476670 )
NEW met2 ( 3368350 3476670 ) ( * 3650070 )
NEW met1 ( 3367890 3732690 ) ( 3370190 * )
NEW met2 ( 3377090 3703450 ) ( * 3704300 0 )
NEW met1 ( 3370190 3703450 ) ( 3377090 * )
NEW met2 ( 3370190 3650070 ) ( * 3732690 )
NEW met2 ( 3377090 3929195 0 ) ( * 3931930 )
NEW met1 ( 3367890 3931930 ) ( 3377090 * )
NEW met2 ( 3367890 3732690 ) ( * 3931930 )
NEW met1 ( 3367890 4374270 ) ( 3377090 * )
NEW met2 ( 3367890 3931930 ) ( * 4553790 )
NEW met2 ( 3377090 4374270 ) ( * 4375195 0 )
NEW met2 ( 3367890 4553790 ) ( * 4818650 )
NEW met1 ( 3146630 4950570 ) ( 3367890 * )
NEW met2 ( 3367890 4818650 ) ( * 4950570 )
NEW met2 ( 1159430 223380 ) ( * 223550 )
NEW met1 ( 993830 223550 ) ( 1159430 * )
NEW met2 ( 2732170 223550 ) ( * 224060 )
NEW met2 ( 2732170 224060 ) ( 2733090 * )
NEW met2 ( 2733090 224060 ) ( * 224230 )
NEW met2 ( 197837 2238900 0 ) ( 199410 * )
NEW met2 ( 199410 2238900 ) ( * 2318290 )
NEW met1 ( 199410 2318290 ) ( 210910 * )
NEW met3 ( 199410 2221900 ) ( 210450 * )
NEW met2 ( 199410 2221900 ) ( * 2238900 )
NEW met2 ( 210450 2213570 ) ( * 2221900 )
NEW met2 ( 210910 2318290 ) ( * 2345830 )
NEW met1 ( 1932230 4953290 ) ( * 4953630 )
NEW met1 ( 1932230 4953630 ) ( 1932690 * )
NEW met1 ( 1932690 4953630 ) ( * 4953970 )
NEW met2 ( 1935910 4953970 ) ( * 4977260 0 )
NEW met1 ( 1932690 4953970 ) ( 2377050 * )
NEW met2 ( 869630 222700 ) ( * 222870 )
NEW met3 ( 869630 222700 ) ( 965770 * )
NEW met2 ( 965770 222700 ) ( * 223210 )
NEW met1 ( 800630 222870 ) ( 869630 * )
NEW met1 ( 965770 223210 ) ( 993830 * )
NEW met1 ( 1449230 222530 ) ( * 222870 )
NEW met2 ( 1449230 222870 ) ( * 224230 )
NEW met1 ( 1380230 222530 ) ( 1449230 * )
NEW met1 ( 1449230 224230 ) ( 1566070 * )
NEW met1 ( 2656270 223550 ) ( * 224230 )
NEW met1 ( 2291030 224230 ) ( 2656270 * )
NEW met1 ( 2656270 223550 ) ( 2732170 * )
NEW met3 ( 2846020 198220 0 ) ( * 200940 )
NEW met3 ( 2802550 200940 ) ( 2846020 * )
NEW met2 ( 2802550 200940 ) ( * 224740 )
NEW met2 ( 2801630 224740 ) ( 2802550 * )
NEW met2 ( 2801630 224230 ) ( * 224740 )
NEW met3 ( 2883740 198220 0 ) ( * 200940 )
NEW met3 ( 2846020 200940 ) ( 2883740 * )
NEW met2 ( 2894090 200940 ) ( * 228650 )
NEW met3 ( 2883740 200940 ) ( 2894090 * )
NEW met1 ( 2733090 224230 ) ( 2801630 * )
NEW met1 ( 2894090 228650 ) ( 3367890 * )
NEW met3 ( 1718100 4985420 ) ( * 4988140 0 )
NEW met3 ( 1718100 4985420 ) ( 1718330 * )
NEW met2 ( 1718330 4953970 ) ( * 4985420 )
NEW met3 ( 1693260 4987460 ) ( * 4988140 0 )
NEW met3 ( 1693260 4987460 ) ( 1718100 * )
NEW met1 ( 1642430 4952270 ) ( * 4952950 )
NEW met1 ( 1642430 4952950 ) ( 1718330 * )
NEW met2 ( 1718330 4952950 ) ( * 4953970 )
NEW met1 ( 1621730 4952270 ) ( 1642430 * )
NEW met1 ( 1718330 4953970 ) ( 1766630 * )
NEW met2 ( 1861850 4952950 ) ( * 4953630 )
NEW met1 ( 1861850 4953630 ) ( 1879330 * )
NEW met1 ( 1879330 4953290 ) ( * 4953630 )
NEW met1 ( 1767090 4952950 ) ( 1861850 * )
NEW met1 ( 1879330 4953290 ) ( 1932230 * )
NEW met2 ( 1255110 223380 ) ( * 223890 )
NEW met2 ( 1260630 196860 0 ) ( * 223890 )
NEW met3 ( 1159430 223380 ) ( 1255110 * )
NEW met1 ( 1255110 223890 ) ( 1380230 * )
NEW met2 ( 2637870 4953630 ) ( * 4977260 0 )
NEW met1 ( 2442370 4953630 ) ( 3146630 * )
NEW met1 ( 213670 228990 ) M1M2_PR
NEW met1 ( 1566070 224230 ) M1M2_PR
NEW met1 ( 1566070 222870 ) M1M2_PR
NEW met1 ( 1904630 222870 ) M1M2_PR
NEW met1 ( 1904630 223890 ) M1M2_PR
NEW met1 ( 2000770 223890 ) M1M2_PR
NEW met1 ( 2000770 222870 ) M1M2_PR
NEW met1 ( 2097830 222870 ) M1M2_PR
NEW met1 ( 2097830 221510 ) M1M2_PR
NEW met1 ( 2193970 221510 ) M1M2_PR
NEW met1 ( 2193970 222870 ) M1M2_PR
NEW met1 ( 2291030 222870 ) M1M2_PR
NEW met1 ( 2291030 224230 ) M1M2_PR
NEW met1 ( 199410 4575550 ) M1M2_PR
NEW met1 ( 207230 4575550 ) M1M2_PR
NEW met1 ( 212290 4575550 ) M1M2_PR
NEW met1 ( 209070 4785330 ) M1M2_PR
NEW met1 ( 211370 4783970 ) M1M2_PR
NEW met1 ( 211830 4802330 ) M1M2_PR
NEW met1 ( 213210 4802330 ) M1M2_PR
NEW met1 ( 213210 4785330 ) M1M2_PR
NEW met1 ( 211830 4950910 ) M1M2_PR
NEW met1 ( 397670 4953630 ) M1M2_PR
NEW met1 ( 397670 4950910 ) M1M2_PR
NEW met1 ( 938630 4953630 ) M1M2_PR
NEW met1 ( 938630 4952270 ) M1M2_PR
NEW met1 ( 1034770 4952270 ) M1M2_PR
NEW met1 ( 1034770 4953630 ) M1M2_PR
NEW met1 ( 1168630 4951930 ) M1M2_PR
NEW met1 ( 1131830 4953630 ) M1M2_PR
NEW met1 ( 1131830 4951930 ) M1M2_PR
NEW met1 ( 3367890 228650 ) M1M2_PR
NEW met1 ( 3376630 648550 ) M1M2_PR
NEW met1 ( 3369270 648550 ) M1M2_PR
NEW met1 ( 3376170 841670 ) M1M2_PR
NEW met1 ( 3368810 841670 ) M1M2_PR
NEW met1 ( 3368350 1034790 ) M1M2_PR
NEW met1 ( 3376630 1034790 ) M1M2_PR
NEW met1 ( 654810 4953630 ) M1M2_PR
NEW met1 ( 911950 4953630 ) M1M2_PR
NEW met1 ( 3377090 1242870 ) M1M2_PR
NEW met1 ( 3367430 1242870 ) M1M2_PR
NEW met1 ( 3368350 1242870 ) M1M2_PR
NEW met1 ( 3377090 1467950 ) M1M2_PR
NEW met1 ( 3368350 1467950 ) M1M2_PR
NEW met1 ( 3367430 1467950 ) M1M2_PR
NEW met1 ( 3377090 1687590 ) M1M2_PR
NEW met1 ( 3368350 1687590 ) M1M2_PR
NEW met1 ( 3389510 4553790 ) M1M2_PR
NEW met1 ( 3367890 4553790 ) M1M2_PR
NEW met1 ( 198030 339830 ) M1M2_PR
NEW met1 ( 207230 339830 ) M1M2_PR
NEW met1 ( 213670 322490 ) M1M2_PR
NEW met1 ( 207230 322490 ) M1M2_PR
NEW met2 ( 211830 579700 ) via2_FR
NEW met2 ( 207230 579700 ) via2_FR
NEW met1 ( 209070 927350 ) M1M2_PR
NEW met1 ( 213670 927350 ) M1M2_PR
NEW met1 ( 213670 986850 ) M1M2_PR
NEW met1 ( 211370 986850 ) M1M2_PR
NEW met1 ( 211830 897090 ) M1M2_PR
NEW met1 ( 213670 897090 ) M1M2_PR
NEW met1 ( 209070 1354050 ) M1M2_PR
NEW met1 ( 214130 1354050 ) M1M2_PR
NEW met1 ( 211830 1698130 ) M1M2_PR
NEW met1 ( 213210 1698130 ) M1M2_PR
NEW met1 ( 211830 2125170 ) M1M2_PR
NEW met1 ( 214130 2125170 ) M1M2_PR
NEW met1 ( 210910 2345830 ) M1M2_PR
NEW met1 ( 212290 2345830 ) M1M2_PR
NEW met1 ( 210910 2491010 ) M1M2_PR
NEW met1 ( 212290 2491010 ) M1M2_PR
NEW met1 ( 209070 2645370 ) M1M2_PR
NEW met1 ( 214130 2645370 ) M1M2_PR
NEW met1 ( 212290 2645370 ) M1M2_PR
NEW met1 ( 209070 2861270 ) M1M2_PR
NEW met1 ( 214130 2861270 ) M1M2_PR
NEW met1 ( 214130 2918390 ) M1M2_PR
NEW met1 ( 212290 2918390 ) M1M2_PR
NEW met1 ( 212290 2861270 ) M1M2_PR
NEW met1 ( 209070 3072070 ) M1M2_PR
NEW met1 ( 212290 3072070 ) M1M2_PR
NEW met1 ( 214130 3072070 ) M1M2_PR
NEW met1 ( 209070 3288310 ) M1M2_PR
NEW met1 ( 213670 3288310 ) M1M2_PR
NEW met1 ( 215050 3288310 ) M1M2_PR
NEW met1 ( 212750 3504550 ) M1M2_PR
NEW met1 ( 213670 3504550 ) M1M2_PR
NEW met1 ( 209070 3504550 ) M1M2_PR
NEW met1 ( 212290 3654150 ) M1M2_PR
NEW met1 ( 214130 3654150 ) M1M2_PR
NEW met1 ( 212290 4379370 ) M1M2_PR
NEW met1 ( 207230 4379370 ) M1M2_PR
NEW met2 ( 207230 4375460 ) via2_FR
NEW met1 ( 705110 200430 ) M1M2_PR
NEW met1 ( 715490 200430 ) M1M2_PR
NEW met1 ( 716910 200430 ) M1M2_PR
NEW met1 ( 212750 1187110 ) M1M2_PR
NEW met1 ( 214130 1187110 ) M1M2_PR
NEW met1 ( 212750 1433270 ) M1M2_PR
NEW met1 ( 214130 1433270 ) M1M2_PR
NEW met1 ( 209070 1791290 ) M1M2_PR
NEW met1 ( 212290 1791290 ) M1M2_PR
NEW met1 ( 212290 1851130 ) M1M2_PR
NEW met1 ( 210910 1851130 ) M1M2_PR
NEW met1 ( 213210 1791290 ) M1M2_PR
NEW met1 ( 209070 2006850 ) M1M2_PR
NEW met1 ( 214130 2006850 ) M1M2_PR
NEW met1 ( 210910 1989170 ) M1M2_PR
NEW met1 ( 214130 1989170 ) M1M2_PR
NEW met1 ( 210450 2213570 ) M1M2_PR
NEW met1 ( 211830 2213570 ) M1M2_PR
NEW met1 ( 210910 2394450 ) M1M2_PR
NEW met1 ( 212290 2394450 ) M1M2_PR
NEW met1 ( 212290 2780690 ) M1M2_PR
NEW met1 ( 214130 2780690 ) M1M2_PR
NEW met1 ( 212750 3543650 ) M1M2_PR
NEW met1 ( 214130 3543650 ) M1M2_PR
NEW met1 ( 209070 3725550 ) M1M2_PR
NEW met1 ( 214130 3725550 ) M1M2_PR
NEW met1 ( 214130 3782670 ) M1M2_PR
NEW met1 ( 212290 3782670 ) M1M2_PR
NEW met1 ( 212290 3725550 ) M1M2_PR
NEW met1 ( 209070 3939410 ) M1M2_PR
NEW met1 ( 213210 3939410 ) M1M2_PR
NEW met1 ( 212290 3939410 ) M1M2_PR
NEW met1 ( 704950 222530 ) M1M2_PR
NEW met1 ( 704950 228990 ) M1M2_PR
NEW met1 ( 1478670 4951930 ) M1M2_PR
NEW met1 ( 1478670 4953970 ) M1M2_PR
NEW met1 ( 3377090 560490 ) M1M2_PR
NEW met1 ( 3367890 560490 ) M1M2_PR
NEW met1 ( 3377090 786590 ) M1M2_PR
NEW met1 ( 3369270 786590 ) M1M2_PR
NEW met1 ( 3368810 922250 ) M1M2_PR
NEW met1 ( 3376170 922250 ) M1M2_PR
NEW met1 ( 3377090 1913690 ) M1M2_PR
NEW met1 ( 3368350 1913690 ) M1M2_PR
NEW met1 ( 3381230 1943270 ) M1M2_PR
NEW met1 ( 3376630 1943270 ) M1M2_PR
NEW met1 ( 3381230 2299930 ) M1M2_PR
NEW met1 ( 3388590 2299930 ) M1M2_PR
NEW met1 ( 3369730 3022430 ) M1M2_PR
NEW met1 ( 3368350 3022430 ) M1M2_PR
NEW met1 ( 3377090 3027530 ) M1M2_PR
NEW met1 ( 3368350 3027530 ) M1M2_PR
NEW met1 ( 3377090 3255670 ) M1M2_PR
NEW met1 ( 3368350 3255670 ) M1M2_PR
NEW met1 ( 3377090 3476670 ) M1M2_PR
NEW met1 ( 3368350 3476670 ) M1M2_PR
NEW met1 ( 3368350 3650070 ) M1M2_PR
NEW met1 ( 3370190 3650070 ) M1M2_PR
NEW met1 ( 3377090 4818650 ) M1M2_PR
NEW met1 ( 3367890 4818650 ) M1M2_PR
NEW met1 ( 1234870 4951930 ) M1M2_PR
NEW met1 ( 1234870 4953290 ) M1M2_PR
NEW met1 ( 1380230 223890 ) M1M2_PR
NEW met1 ( 1380230 222530 ) M1M2_PR
NEW met1 ( 1426690 4951930 ) M1M2_PR
NEW met1 ( 1426690 4953970 ) M1M2_PR
NEW met1 ( 1621730 4953630 ) M1M2_PR
NEW met1 ( 1621730 4952270 ) M1M2_PR
NEW met1 ( 1766630 4953970 ) M1M2_PR
NEW met1 ( 1766630 4953290 ) M1M2_PR
NEW met1 ( 2380730 4952610 ) M1M2_PR
NEW met1 ( 2441910 4952610 ) M1M2_PR
NEW met1 ( 2442370 4953630 ) M1M2_PR
NEW met1 ( 2377050 4953970 ) M1M2_PR
NEW met1 ( 2377050 4952610 ) M1M2_PR
NEW met1 ( 3146630 4950570 ) M1M2_PR
NEW met1 ( 3146630 4953630 ) M1M2_PR
NEW met1 ( 3377090 2804830 ) M1M2_PR
NEW met1 ( 3369730 2804830 ) M1M2_PR
NEW met1 ( 3370190 3732690 ) M1M2_PR
NEW met1 ( 3367890 3732690 ) M1M2_PR
NEW met1 ( 3377090 3703450 ) M1M2_PR
NEW met1 ( 3370190 3703450 ) M1M2_PR
NEW met1 ( 3377090 3931930 ) M1M2_PR
NEW met1 ( 3367890 3931930 ) M1M2_PR
NEW met1 ( 3377090 4374270 ) M1M2_PR
NEW met1 ( 3367890 4374270 ) M1M2_PR
NEW met1 ( 3367890 4950570 ) M1M2_PR
NEW met1 ( 1159430 223550 ) M1M2_PR
NEW met2 ( 1159430 223380 ) via2_FR
NEW met1 ( 2732170 223550 ) M1M2_PR
NEW met1 ( 2733090 224230 ) M1M2_PR
NEW met1 ( 199410 2318290 ) M1M2_PR
NEW met1 ( 210910 2318290 ) M1M2_PR
NEW met2 ( 210450 2221900 ) via2_FR
NEW met2 ( 199410 2221900 ) via2_FR
NEW met1 ( 1935910 4953970 ) M1M2_PR
NEW met1 ( 869630 222870 ) M1M2_PR
NEW met2 ( 869630 222700 ) via2_FR
NEW met2 ( 965770 222700 ) via2_FR
NEW met1 ( 965770 223210 ) M1M2_PR
NEW met1 ( 1449230 222870 ) M1M2_PR
NEW met1 ( 1449230 224230 ) M1M2_PR
NEW met2 ( 2802550 200940 ) via2_FR
NEW met1 ( 2801630 224230 ) M1M2_PR
NEW met1 ( 2894090 228650 ) M1M2_PR
NEW met2 ( 2894090 200940 ) via2_FR
NEW met2 ( 1718330 4985420 ) via2_FR
NEW met1 ( 1718330 4953970 ) M1M2_PR
NEW met1 ( 1718330 4952950 ) M1M2_PR
NEW met1 ( 1861850 4952950 ) M1M2_PR
NEW met1 ( 1861850 4953630 ) M1M2_PR
NEW met2 ( 1255110 223380 ) via2_FR
NEW met1 ( 1255110 223890 ) M1M2_PR
NEW met1 ( 1260630 223890 ) M1M2_PR
NEW met1 ( 2637870 4953630 ) M1M2_PR
NEW met1 ( 654810 4953630 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3368350 1242870 ) RECT ( -595 -70 0 70 )
NEW met3 ( 207230 579700 ) RECT ( -800 -150 0 150 )
NEW met1 ( 212290 2645370 ) RECT ( -595 -70 0 70 )
NEW met1 ( 212290 2861270 ) RECT ( -595 -70 0 70 )
NEW met2 ( 705110 200430 ) RECT ( -70 -485 70 0 )
NEW met1 ( 212290 3725550 ) RECT ( -595 -70 0 70 )
NEW met1 ( 212290 3939410 ) RECT ( -595 -70 0 70 )
NEW met2 ( 3368350 3027530 ) RECT ( -70 -485 70 0 )
NEW met2 ( 1426690 4953970 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3146630 4953630 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3370190 3703450 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3367890 4374270 ) RECT ( -70 -485 70 0 )
NEW met1 ( 1935910 4953970 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1260630 223890 ) RECT ( -595 -70 0 70 )
NEW met1 ( 2637870 4953630 ) RECT ( -595 -70 0 70 ) ;
- loop_clock ( clock_pad TIE_LO_ESD ) ( clock_pad ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met1 ( 933570 220830 ) ( 973590 * )
NEW met2 ( 933570 209100 0 ) ( * 220830 )
NEW met2 ( 973590 209100 0 ) ( * 220830 )
NEW met1 ( 933570 220830 ) M1M2_PR
NEW met1 ( 973590 220830 ) M1M2_PR ;
- loop_flash_clk ( flash_clk_pad TIE_LO_ESD ) ( flash_clk_pad ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 1790550 209100 0 ) ( * 220830 )
NEW met1 ( 1750530 220830 ) ( 1790550 * )
NEW met2 ( 1750530 209100 0 ) ( * 220830 )
NEW met1 ( 1790550 220830 ) M1M2_PR
NEW met1 ( 1750530 220830 ) M1M2_PR ;
- loop_flash_csb ( flash_csb_pad TIE_LO_ESD ) ( flash_csb_pad ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 1516390 209100 ) ( 1516575 * 0 )
NEW met2 ( 1516390 209100 ) ( * 220830 )
NEW met2 ( 1476370 209100 ) ( 1476555 * 0 )
NEW met2 ( 1476370 209100 ) ( * 220830 )
NEW met1 ( 1476370 220830 ) ( 1516390 * )
NEW met1 ( 1516390 220830 ) M1M2_PR
NEW met1 ( 1476370 220830 ) M1M2_PR ;
- loop_flash_io0 ( flash_io0_pad TIE_LO_ESD ) ( flash_io0_pad ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 2064710 209100 0 ) ( * 220830 )
NEW met1 ( 2024690 220830 ) ( 2064710 * )
NEW met2 ( 2024690 209100 0 ) ( * 220830 )
NEW met1 ( 2064710 220830 ) M1M2_PR
NEW met1 ( 2024690 220830 ) M1M2_PR ;
- loop_flash_io1 ( flash_io1_pad TIE_LO_ESD ) ( flash_io1_pad ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 2338410 209100 ) ( 2338575 * 0 )
NEW met2 ( 2338410 209100 ) ( * 220830 )
NEW met1 ( 2298390 220830 ) ( 2338410 * )
NEW met2 ( 2298390 209100 ) ( * 220830 )
NEW met2 ( 2298390 209100 ) ( 2298555 * 0 )
NEW met1 ( 2338410 220830 ) M1M2_PR
NEW met1 ( 2298390 220830 ) M1M2_PR ;
- loop_gpio ( gpio_pad TIE_LO_ESD ) ( gpio_pad ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met1 ( 2572550 221170 ) ( 2612570 * )
NEW met2 ( 2572550 209100 0 ) ( * 221170 )
NEW met2 ( 2612570 209100 0 ) ( * 221170 )
NEW met1 ( 2572550 221170 ) M1M2_PR
NEW met1 ( 2612570 221170 ) M1M2_PR ;
- mprj_pads.loop1_io\[0\] ( mprj_pads.area1_io_pad\[0\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[0\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 501500 ) ( 3377090 * 0 )
NEW met2 ( 3376630 541620 ) ( 3377090 * 0 )
NEW met2 ( 3376630 501500 ) ( * 541620 ) ;
- mprj_pads.loop1_io\[10\] ( mprj_pads.area1_io_pad\[10\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[10\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3377090 3417555 0 ) ( * 3420230 )
NEW met1 ( 3375250 3420230 ) ( 3377090 * )
NEW met2 ( 3375250 3420230 ) ( * 3458310 )
NEW met1 ( 3375250 3458310 ) ( 3377090 * )
NEW met2 ( 3377090 3457460 0 ) ( * 3458310 )
NEW met1 ( 3377090 3420230 ) M1M2_PR
NEW met1 ( 3375250 3420230 ) M1M2_PR
NEW met1 ( 3375250 3458310 ) M1M2_PR
NEW met1 ( 3377090 3458310 ) M1M2_PR ;
- mprj_pads.loop1_io\[11\] ( mprj_pads.area1_io_pad\[11\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[11\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3377090 3679990 ) ( * 3682540 0 )
NEW met1 ( 3375250 3679990 ) ( 3377090 * )
NEW met2 ( 3375250 3645310 ) ( * 3679990 )
NEW met1 ( 3375250 3645310 ) ( 3377090 * )
NEW met2 ( 3377090 3642420 0 ) ( * 3645310 )
NEW met1 ( 3377090 3679990 ) M1M2_PR
NEW met1 ( 3375250 3679990 ) M1M2_PR
NEW met1 ( 3375250 3645310 ) M1M2_PR
NEW met1 ( 3377090 3645310 ) M1M2_PR ;
- mprj_pads.loop1_io\[12\] ( mprj_pads.area1_io_pad\[12\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[12\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3377090 3867500 0 ) ( * 3870050 )
NEW met1 ( 3375250 3870050 ) ( 3377090 * )
NEW met1 ( 3375250 3905070 ) ( 3377090 * )
NEW met2 ( 3377090 3905070 ) ( * 3907620 0 )
NEW met2 ( 3375250 3870050 ) ( * 3905070 )
NEW met1 ( 3377090 3870050 ) M1M2_PR
NEW met1 ( 3375250 3870050 ) M1M2_PR
NEW met1 ( 3375250 3905070 ) M1M2_PR
NEW met1 ( 3377090 3905070 ) M1M2_PR ;
- mprj_pads.loop1_io\[13\] ( mprj_pads.area1_io_pad\[13\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[13\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3377090 4350810 ) ( * 4353700 0 )
NEW met1 ( 3376170 4350810 ) ( 3377090 * )
NEW met2 ( 3376170 4313580 ) ( * 4350810 )
NEW met2 ( 3376170 4313580 ) ( 3377090 * 0 )
NEW met1 ( 3377090 4350810 ) M1M2_PR
NEW met1 ( 3376170 4350810 ) M1M2_PR ;
- mprj_pads.loop1_io\[14\] ( mprj_pads.area1_io_pad\[14\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[14\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 4799575 ) ( 3377090 * 0 )
NEW met2 ( 3377090 4758980 ) ( * 4759660 0 )
NEW met2 ( 3376630 4758980 ) ( 3377090 * )
NEW met2 ( 3376630 4758980 ) ( * 4799575 ) ;
- mprj_pads.loop1_io\[15\] ( mprj_pads.area1_io_pad\[15\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[15\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3168425 4977260 0 ) ( 3169170 * )
NEW met2 ( 3169170 4977260 ) ( * 4977430 )
NEW met1 ( 3169170 4977430 ) ( 3207810 * )
NEW met2 ( 3207810 4977260 ) ( * 4977430 )
NEW met2 ( 3207810 4977260 ) ( 3208445 * 0 )
NEW met1 ( 3169170 4977430 ) M1M2_PR
NEW met1 ( 3207810 4977430 ) M1M2_PR ;
- mprj_pads.loop1_io\[16\] ( mprj_pads.area1_io_pad\[16\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[16\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 2698590 4977260 ) ( * 4978110 )
NEW met2 ( 2698590 4977260 ) ( 2699510 * 0 )
NEW met2 ( 2659490 4977260 0 ) ( 2659950 * )
NEW met2 ( 2659950 4977260 ) ( * 4978110 )
NEW met1 ( 2659950 4978110 ) ( 2698590 * )
NEW met1 ( 2698590 4978110 ) M1M2_PR
NEW met1 ( 2659950 4978110 ) M1M2_PR ;
- mprj_pads.loop1_io\[17\] ( mprj_pads.area1_io_pad\[17\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[17\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 2402350 4976410 ) ( * 4977260 0 )
NEW met1 ( 2402350 4976410 ) ( 2442370 * )
NEW met2 ( 2442370 4976410 ) ( * 4977260 0 )
NEW met1 ( 2402350 4976410 ) M1M2_PR
NEW met1 ( 2442370 4976410 ) M1M2_PR ;
- mprj_pads.loop1_io\[18\] ( mprj_pads.area2_io_pad\[0\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[0\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 1957530 4976410 ) ( * 4977260 0 )
NEW met1 ( 1957530 4976410 ) ( 1997550 * )
NEW met2 ( 1997550 4976410 ) ( * 4977260 0 )
NEW met1 ( 1957530 4976410 ) M1M2_PR
NEW met1 ( 1997550 4976410 ) M1M2_PR ;
- mprj_pads.loop1_io\[19\] ( mprj_pads.area2_io_pad\[1\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[1\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 1488330 4976410 ) ( * 4977260 0 )
NEW met2 ( 1448310 4976410 ) ( * 4977260 0 )
NEW met1 ( 1448310 4976410 ) ( 1488330 * )
NEW met1 ( 1488330 4976410 ) M1M2_PR
NEW met1 ( 1448310 4976410 ) M1M2_PR ;
- mprj_pads.loop1_io\[1\] ( mprj_pads.area1_io_pad\[1\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[1\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 767575 ) ( 3377090 * 0 )
NEW met2 ( 3376630 727555 ) ( * 767575 )
NEW met2 ( 3376630 727555 ) ( 3377090 * 0 ) ;
- mprj_pads.loop1_io\[20\] ( mprj_pads.area2_io_pad\[2\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[2\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 1190425 4977260 0 ) ( 1191170 * )
NEW met2 ( 1191170 4977090 ) ( * 4977260 )
NEW met1 ( 1191170 4977090 ) ( 1229810 * )
NEW met2 ( 1229810 4977090 ) ( * 4977260 )
NEW met2 ( 1229810 4977260 ) ( 1230445 * 0 )
NEW met1 ( 1191170 4977090 ) M1M2_PR
NEW met1 ( 1229810 4977090 ) M1M2_PR ;
- mprj_pads.loop1_io\[21\] ( mprj_pads.area2_io_pad\[3\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[3\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 973445 4977260 0 ) ( 973590 * )
NEW met2 ( 973590 4952950 ) ( * 4977260 )
NEW met2 ( 933425 4977260 0 ) ( 933570 * )
NEW met2 ( 933570 4952950 ) ( * 4977260 )
NEW met1 ( 933570 4952950 ) ( 973590 * )
NEW met1 ( 973590 4952950 ) M1M2_PR
NEW met1 ( 933570 4952950 ) M1M2_PR ;
- mprj_pads.loop1_io\[22\] ( mprj_pads.area2_io_pad\[4\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[4\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 676430 4977260 0 ) ( 676890 * )
NEW met2 ( 676890 4977260 ) ( * 4977430 )
NEW met1 ( 676890 4977430 ) ( 715990 * )
NEW met2 ( 715990 4977260 ) ( * 4977430 )
NEW met2 ( 715990 4977260 ) ( 716450 * 0 )
NEW met1 ( 676890 4977430 ) M1M2_PR
NEW met1 ( 715990 4977430 ) M1M2_PR ;
- mprj_pads.loop1_io\[23\] ( mprj_pads.area2_io_pad\[5\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[5\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 419290 4977260 0 ) ( 420210 * )
NEW met2 ( 420210 4977260 ) ( * 4978110 )
NEW met2 ( 458850 4977260 ) ( * 4978110 )
NEW met2 ( 458850 4977260 ) ( 459310 * 0 )
NEW met1 ( 420210 4978110 ) ( 458850 * )
NEW met1 ( 420210 4978110 ) M1M2_PR
NEW met1 ( 458850 4978110 ) M1M2_PR ;
- mprj_pads.loop1_io\[24\] ( mprj_pads.area2_io_pad\[6\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[6\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 4809300 0 ) ( 209070 * )
NEW met2 ( 209070 4809300 ) ( * 4812190 )
NEW met1 ( 209070 4812190 ) ( 212290 * )
NEW met2 ( 212290 4812190 ) ( * 4846870 )
NEW met1 ( 209070 4846870 ) ( 212290 * )
NEW met2 ( 209070 4846870 ) ( * 4849420 )
NEW met2 ( 208610 4849420 0 ) ( 209070 * )
NEW met1 ( 209070 4812190 ) M1M2_PR
NEW met1 ( 212290 4812190 ) M1M2_PR
NEW met1 ( 212290 4846870 ) M1M2_PR
NEW met1 ( 209070 4846870 ) M1M2_PR ;
- mprj_pads.loop1_io\[25\] ( mprj_pads.area2_io_pad\[7\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[7\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 4000445 0 ) ( 209070 * )
NEW met2 ( 209070 3997890 ) ( * 4000445 )
NEW met1 ( 209070 3997890 ) ( 212290 * )
NEW met2 ( 208610 3960425 0 ) ( 209070 * )
NEW met2 ( 209070 3960425 ) ( * 3962870 )
NEW met1 ( 209070 3962870 ) ( 212290 * )
NEW met2 ( 212290 3962870 ) ( * 3997890 )
NEW met1 ( 209070 3997890 ) M1M2_PR
NEW met1 ( 212290 3997890 ) M1M2_PR
NEW met1 ( 209070 3962870 ) M1M2_PR
NEW met1 ( 212290 3962870 ) M1M2_PR ;
- mprj_pads.loop1_io\[26\] ( mprj_pads.area2_io_pad\[8\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[8\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 3744420 0 ) ( 209070 * )
NEW met2 ( 209070 3744420 ) ( * 3746970 )
NEW met1 ( 209070 3746970 ) ( 212290 * )
NEW met2 ( 212290 3746970 ) ( * 3781990 )
NEW met1 ( 209070 3781990 ) ( 212290 * )
NEW met2 ( 209070 3781990 ) ( * 3784540 )
NEW met2 ( 208610 3784540 0 ) ( 209070 * )
NEW met1 ( 209070 3746970 ) M1M2_PR
NEW met1 ( 212290 3746970 ) M1M2_PR
NEW met1 ( 212290 3781990 ) M1M2_PR
NEW met1 ( 209070 3781990 ) M1M2_PR ;
- mprj_pads.loop1_io\[27\] ( mprj_pads.area2_io_pad\[9\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[9\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 3528425 0 ) ( 209070 * )
NEW met2 ( 209070 3528425 ) ( * 3531070 )
NEW met1 ( 209070 3531070 ) ( 212290 * )
NEW met2 ( 212290 3531070 ) ( * 3565750 )
NEW met1 ( 209070 3565750 ) ( 212290 * )
NEW met2 ( 209070 3565750 ) ( * 3568445 )
NEW met2 ( 208610 3568445 0 ) ( 209070 * )
NEW met1 ( 209070 3531070 ) M1M2_PR
NEW met1 ( 212290 3531070 ) M1M2_PR
NEW met1 ( 212290 3565750 ) M1M2_PR
NEW met1 ( 209070 3565750 ) M1M2_PR ;
- mprj_pads.loop1_io\[28\] ( mprj_pads.area2_io_pad\[10\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[10\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 3312425 0 ) ( 209070 * )
NEW met2 ( 209070 3312425 ) ( * 3315170 )
NEW met1 ( 209070 3315170 ) ( 212290 * )
NEW met2 ( 212290 3315170 ) ( * 3349850 )
NEW met1 ( 209070 3349850 ) ( 212290 * )
NEW met2 ( 209070 3349850 ) ( * 3352445 )
NEW met2 ( 208610 3352445 0 ) ( 209070 * )
NEW met1 ( 209070 3315170 ) M1M2_PR
NEW met1 ( 212290 3315170 ) M1M2_PR
NEW met1 ( 212290 3349850 ) M1M2_PR
NEW met1 ( 209070 3349850 ) M1M2_PR ;
- mprj_pads.loop1_io\[29\] ( mprj_pads.area2_io_pad\[11\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[11\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 3096380 0 ) ( 209070 * )
NEW met2 ( 209070 3096380 ) ( * 3098930 )
NEW met1 ( 209070 3098930 ) ( 212290 * )
NEW met1 ( 209070 3133950 ) ( 212290 * )
NEW met2 ( 209070 3133950 ) ( * 3136500 )
NEW met2 ( 208610 3136500 0 ) ( 209070 * )
NEW met2 ( 212290 3098930 ) ( * 3133950 )
NEW met1 ( 209070 3098930 ) M1M2_PR
NEW met1 ( 212290 3098930 ) M1M2_PR
NEW met1 ( 212290 3133950 ) M1M2_PR
NEW met1 ( 209070 3133950 ) M1M2_PR ;
- mprj_pads.loop1_io\[2\] ( mprj_pads.area1_io_pad\[2\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[2\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 952555 ) ( 3377090 * 0 )
NEW met2 ( 3376630 993140 ) ( 3377090 * )
NEW met2 ( 3377090 992460 0 ) ( * 993140 )
NEW met2 ( 3376630 952555 ) ( * 993140 ) ;
- mprj_pads.loop1_io\[30\] ( mprj_pads.area2_io_pad\[12\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[12\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 2880425 0 ) ( 209070 * )
NEW met2 ( 209070 2880425 ) ( * 2883030 )
NEW met1 ( 209070 2883030 ) ( 212290 * )
NEW met2 ( 212290 2883030 ) ( * 2917710 )
NEW met1 ( 209070 2917710 ) ( 212290 * )
NEW met2 ( 209070 2917710 ) ( * 2920445 )
NEW met2 ( 208610 2920445 0 ) ( 209070 * )
NEW met1 ( 209070 2883030 ) M1M2_PR
NEW met1 ( 212290 2883030 ) M1M2_PR
NEW met1 ( 212290 2917710 ) M1M2_PR
NEW met1 ( 209070 2917710 ) M1M2_PR ;
- mprj_pads.loop1_io\[31\] ( mprj_pads.area2_io_pad\[13\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[13\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 2664425 0 ) ( 209070 * )
NEW met2 ( 209070 2664425 ) ( * 2667130 )
NEW met1 ( 209070 2667130 ) ( 212290 * )
NEW met2 ( 212290 2667130 ) ( * 2701810 )
NEW met1 ( 209070 2701810 ) ( 212290 * )
NEW met2 ( 209070 2701810 ) ( * 2704445 )
NEW met2 ( 208610 2704445 0 ) ( 209070 * )
NEW met1 ( 209070 2667130 ) M1M2_PR
NEW met1 ( 212290 2667130 ) M1M2_PR
NEW met1 ( 212290 2701810 ) M1M2_PR
NEW met1 ( 209070 2701810 ) M1M2_PR ;
- mprj_pads.loop1_io\[32\] ( mprj_pads.area2_io_pad\[14\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[14\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 2066445 0 ) ( 211370 * )
NEW met2 ( 208610 2026425 0 ) ( 209530 * )
NEW met2 ( 209530 2026425 ) ( * 2028780 )
NEW met2 ( 209530 2028780 ) ( 211370 * )
NEW met2 ( 211370 2028780 ) ( * 2066445 ) ;
- mprj_pads.loop1_io\[33\] ( mprj_pads.area2_io_pad\[15\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[15\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 1810500 0 ) ( 209070 * )
NEW met2 ( 209070 1810500 ) ( * 1811180 )
NEW met2 ( 209070 1811180 ) ( 211370 * )
NEW met2 ( 211370 1811180 ) ( * 1850445 )
NEW met2 ( 208610 1850445 0 ) ( 211370 * ) ;
- mprj_pads.loop1_io\[34\] ( mprj_pads.area2_io_pad\[16\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[16\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 1594425 0 ) ( 211370 * )
NEW met2 ( 211370 1594425 ) ( * 1634380 )
NEW met2 ( 208610 1634380 0 ) ( 211370 * ) ;
- mprj_pads.loop1_io\[35\] ( mprj_pads.area2_io_pad\[17\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[17\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 1378425 0 ) ( 209070 * )
NEW met2 ( 209070 1378020 ) ( * 1378425 )
NEW met2 ( 209070 1378020 ) ( 211370 * )
NEW met2 ( 208610 1418445 0 ) ( 209530 * )
NEW met2 ( 209530 1416100 ) ( * 1418445 )
NEW met2 ( 209530 1416100 ) ( 211370 * )
NEW met2 ( 211370 1378020 ) ( * 1416100 ) ;
- mprj_pads.loop1_io\[36\] ( mprj_pads.area2_io_pad\[18\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[18\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 1162460 0 ) ( 209070 * )
NEW met2 ( 209070 1162460 ) ( * 1163140 )
NEW met2 ( 209070 1163140 ) ( 211370 * )
NEW met2 ( 208610 1202580 0 ) ( 211370 * )
NEW met2 ( 211370 1163140 ) ( * 1202580 ) ;
- mprj_pads.loop1_io\[37\] ( mprj_pads.area2_io_pad\[19\] TIE_LO_ESD ) ( mprj_pads.area2_io_pad\[19\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 208610 946425 0 ) ( 209070 * )
NEW met2 ( 209070 946425 ) ( * 946900 )
NEW met2 ( 209070 946900 ) ( 211370 * )
NEW met2 ( 211370 946900 ) ( * 986340 )
NEW met2 ( 208610 986340 0 ) ( 211370 * ) ;
- mprj_pads.loop1_io\[3\] ( mprj_pads.area1_io_pad\[3\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[3\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 1218575 ) ( 3377090 * 0 )
NEW met2 ( 3376630 1178555 ) ( * 1218575 )
NEW met2 ( 3376630 1178555 ) ( 3377090 * 0 ) ;
- mprj_pads.loop1_io\[4\] ( mprj_pads.area1_io_pad\[4\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[4\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 1403555 ) ( 3377090 * 0 )
NEW met2 ( 3376630 1443575 ) ( 3377090 * 0 )
NEW met2 ( 3376630 1403555 ) ( * 1443575 ) ;
- mprj_pads.loop1_io\[5\] ( mprj_pads.area1_io_pad\[5\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[5\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 1668575 ) ( 3377090 * 0 )
NEW met2 ( 3376630 1628555 ) ( * 1668575 )
NEW met2 ( 3376630 1628555 ) ( 3377090 * 0 ) ;
- mprj_pads.loop1_io\[6\] ( mprj_pads.area1_io_pad\[6\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[6\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3376630 1894575 ) ( 3377090 * 0 )
NEW met2 ( 3376630 1854555 ) ( 3377090 * 0 )
NEW met2 ( 3376630 1854555 ) ( * 1894575 ) ;
- mprj_pads.loop1_io\[7\] ( mprj_pads.area1_io_pad\[7\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[7\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3377090 2777970 ) ( * 2780575 0 )
NEW met1 ( 3375710 2777970 ) ( 3377090 * )
NEW met2 ( 3375710 2743290 ) ( * 2777970 )
NEW met1 ( 3375710 2743290 ) ( 3377090 * )
NEW met2 ( 3377090 2740555 0 ) ( * 2743290 )
NEW met1 ( 3377090 2777970 ) M1M2_PR
NEW met1 ( 3375710 2777970 ) M1M2_PR
NEW met1 ( 3375710 2743290 ) M1M2_PR
NEW met1 ( 3377090 2743290 ) M1M2_PR ;
- mprj_pads.loop1_io\[8\] ( mprj_pads.area1_io_pad\[8\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[8\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met2 ( 3377090 3004070 ) ( * 3006620 0 )
NEW met1 ( 3375710 3004070 ) ( 3377090 * )
NEW met2 ( 3375710 2969050 ) ( * 3004070 )
NEW met1 ( 3375710 2969050 ) ( 3377090 * )
NEW met2 ( 3377090 2966500 0 ) ( * 2969050 )
NEW met1 ( 3377090 3004070 ) M1M2_PR
NEW met1 ( 3375710 3004070 ) M1M2_PR
NEW met1 ( 3375710 2969050 ) M1M2_PR
NEW met1 ( 3377090 2969050 ) M1M2_PR ;
- mprj_pads.loop1_io\[9\] ( mprj_pads.area1_io_pad\[9\] TIE_LO_ESD ) ( mprj_pads.area1_io_pad\[9\] ENABLE_INP_H ) + USE SIGNAL
+ ROUTED met1 ( 3375250 3228810 ) ( 3377090 * )
NEW met2 ( 3377090 3228810 ) ( * 3231700 0 )
NEW met2 ( 3377090 3191580 0 ) ( * 3194130 )
NEW met1 ( 3375250 3194130 ) ( 3377090 * )
NEW met2 ( 3375250 3194130 ) ( * 3228810 )
NEW met1 ( 3375250 3228810 ) M1M2_PR
NEW met1 ( 3377090 3228810 ) M1M2_PR
NEW met1 ( 3377090 3194130 ) M1M2_PR
NEW met1 ( 3375250 3194130 ) M1M2_PR ;
- xresloop ( resetb_pad TIE_WEAK_HI_H ) ( resetb_pad PAD_A_ESD_H ) + USE SIGNAL
+ ROUTED met2 ( 719210 199580 0 ) ( * 201110 )
NEW met2 ( 665620 199920 0 ) ( * 201110 )
NEW met1 ( 665620 201110 ) ( 719210 * )
NEW met1 ( 719210 201110 ) M1M2_PR
NEW met1 ( 665620 201110 ) M1M2_PR ;
- clock ( PIN clock ) ( clock_pad PAD ) + USE SIGNAL ;
- clock_core ( PIN clock_core ) ( clock_pad IN ) + USE SIGNAL ;
- por ( PIN por ) ( clock_pad INP_DIS ) + USE SIGNAL ;
- flash_clk ( PIN flash_clk ) ( flash_clk_pad PAD ) + USE SIGNAL ;
- flash_clk_core ( PIN flash_clk_core ) ( flash_clk_pad OUT ) + USE SIGNAL ;
- flash_clk_ieb_core ( PIN flash_clk_ieb_core ) ( flash_clk_pad INP_DIS ) + USE SIGNAL ;
- flash_clk_oeb_core ( PIN flash_clk_oeb_core ) ( flash_clk_pad OE_N ) + USE SIGNAL ;
- flash_csb ( PIN flash_csb ) ( flash_csb_pad PAD ) + USE SIGNAL ;
- flash_csb_core ( PIN flash_csb_core ) ( flash_csb_pad OUT ) + USE SIGNAL ;
- flash_csb_ieb_core ( PIN flash_csb_ieb_core ) ( flash_csb_pad INP_DIS ) + USE SIGNAL ;
- flash_csb_oeb_core ( PIN flash_csb_oeb_core ) ( flash_csb_pad OE_N ) + USE SIGNAL ;
- flash_io0 ( PIN flash_io0 ) ( flash_io0_pad PAD ) + USE SIGNAL ;
- flash_io0_di_core ( PIN flash_io0_di_core ) ( flash_io0_pad IN ) + USE SIGNAL ;
- flash_io0_do_core ( PIN flash_io0_do_core ) ( flash_io0_pad OUT ) + USE SIGNAL ;
- flash_io1 ( PIN flash_io1 ) ( flash_io1_pad PAD ) + USE SIGNAL ;
- flash_io1_di_core ( PIN flash_io1_di_core ) ( flash_io1_pad IN ) + USE SIGNAL ;
- flash_io1_do_core ( PIN flash_io1_do_core ) ( flash_io1_pad OUT ) + USE SIGNAL ;
- gpio ( PIN gpio ) ( gpio_pad PAD ) + USE SIGNAL ;
- gpio_in_core ( PIN gpio_in_core ) ( gpio_pad IN ) + USE SIGNAL ;
- gpio_inenb_core ( PIN gpio_inenb_core ) ( gpio_pad INP_DIS ) + USE SIGNAL ;
- gpio_mode0_core ( PIN gpio_mode0_core ) ( gpio_pad DM[0] ) + USE SIGNAL ;
- gpio_out_core ( PIN gpio_out_core ) ( gpio_pad OUT ) + USE SIGNAL ;
- gpio_outenb_core ( PIN gpio_outenb_core ) ( gpio_pad OE_N ) + USE SIGNAL ;
- mprj_io[0] ( PIN mprj_io[0] ) ( mprj_pads.area1_io_pad\[0\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[0] ( PIN mprj_io_analog_en[0] ) ( mprj_pads.area1_io_pad\[0\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[0] ( PIN mprj_io_analog_pol[0] ) ( mprj_pads.area1_io_pad\[0\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[0] ( PIN mprj_io_analog_sel[0] ) ( mprj_pads.area1_io_pad\[0\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[0] ( PIN mprj_io_dm[0] ) ( mprj_pads.area1_io_pad\[0\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[1] ( PIN mprj_io_dm[1] ) ( mprj_pads.area1_io_pad\[0\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[2] ( PIN mprj_io_dm[2] ) ( mprj_pads.area1_io_pad\[0\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[0] ( PIN mprj_io_enh[0] ) ( mprj_pads.area1_io_pad\[0\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[0] ( PIN mprj_io_hldh_n[0] ) ( mprj_pads.area1_io_pad\[0\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[0] ( PIN mprj_io_holdover[0] ) ( mprj_pads.area1_io_pad\[0\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[0] ( PIN mprj_io_ib_mode_sel[0] ) ( mprj_pads.area1_io_pad\[0\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[0] ( PIN mprj_io_inp_dis[0] ) ( mprj_pads.area1_io_pad\[0\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[0] ( PIN mprj_io_oeb[0] ) ( mprj_pads.area1_io_pad\[0\] OE_N ) + USE SIGNAL ;
- mprj_io_out[0] ( PIN mprj_io_out[0] ) ( mprj_pads.area1_io_pad\[0\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[0] ( PIN mprj_io_slow_sel[0] ) ( mprj_pads.area1_io_pad\[0\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[0] ( PIN mprj_io_vtrip_sel[0] ) ( mprj_pads.area1_io_pad\[0\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[0] ( PIN mprj_io_in[0] ) ( mprj_pads.area1_io_pad\[0\] IN ) + USE SIGNAL ;
- mprj_analog_io[3] ( PIN mprj_analog_io[3] ) ( mprj_pads.area1_io_pad\[10\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[10] ( PIN mprj_io[10] ) ( mprj_pads.area1_io_pad\[10\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[10] ( PIN mprj_io_analog_en[10] ) ( mprj_pads.area1_io_pad\[10\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[10] ( PIN mprj_io_analog_pol[10] ) ( mprj_pads.area1_io_pad\[10\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[10] ( PIN mprj_io_analog_sel[10] ) ( mprj_pads.area1_io_pad\[10\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[30] ( PIN mprj_io_dm[30] ) ( mprj_pads.area1_io_pad\[10\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[31] ( PIN mprj_io_dm[31] ) ( mprj_pads.area1_io_pad\[10\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[32] ( PIN mprj_io_dm[32] ) ( mprj_pads.area1_io_pad\[10\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[10] ( PIN mprj_io_enh[10] ) ( mprj_pads.area1_io_pad\[10\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[10] ( PIN mprj_io_hldh_n[10] ) ( mprj_pads.area1_io_pad\[10\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[10] ( PIN mprj_io_holdover[10] ) ( mprj_pads.area1_io_pad\[10\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[10] ( PIN mprj_io_ib_mode_sel[10] ) ( mprj_pads.area1_io_pad\[10\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[10] ( PIN mprj_io_inp_dis[10] ) ( mprj_pads.area1_io_pad\[10\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[10] ( PIN mprj_io_oeb[10] ) ( mprj_pads.area1_io_pad\[10\] OE_N ) + USE SIGNAL ;
- mprj_io_out[10] ( PIN mprj_io_out[10] ) ( mprj_pads.area1_io_pad\[10\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[10] ( PIN mprj_io_slow_sel[10] ) ( mprj_pads.area1_io_pad\[10\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[10] ( PIN mprj_io_vtrip_sel[10] ) ( mprj_pads.area1_io_pad\[10\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[10] ( PIN mprj_io_in[10] ) ( mprj_pads.area1_io_pad\[10\] IN ) + USE SIGNAL ;
- mprj_analog_io[4] ( PIN mprj_analog_io[4] ) ( mprj_pads.area1_io_pad\[11\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[11] ( PIN mprj_io[11] ) ( mprj_pads.area1_io_pad\[11\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[11] ( PIN mprj_io_analog_en[11] ) ( mprj_pads.area1_io_pad\[11\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[11] ( PIN mprj_io_analog_pol[11] ) ( mprj_pads.area1_io_pad\[11\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[11] ( PIN mprj_io_analog_sel[11] ) ( mprj_pads.area1_io_pad\[11\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[33] ( PIN mprj_io_dm[33] ) ( mprj_pads.area1_io_pad\[11\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[34] ( PIN mprj_io_dm[34] ) ( mprj_pads.area1_io_pad\[11\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[35] ( PIN mprj_io_dm[35] ) ( mprj_pads.area1_io_pad\[11\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[11] ( PIN mprj_io_enh[11] ) ( mprj_pads.area1_io_pad\[11\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[11] ( PIN mprj_io_hldh_n[11] ) ( mprj_pads.area1_io_pad\[11\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[11] ( PIN mprj_io_holdover[11] ) ( mprj_pads.area1_io_pad\[11\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[11] ( PIN mprj_io_ib_mode_sel[11] ) ( mprj_pads.area1_io_pad\[11\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[11] ( PIN mprj_io_inp_dis[11] ) ( mprj_pads.area1_io_pad\[11\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[11] ( PIN mprj_io_oeb[11] ) ( mprj_pads.area1_io_pad\[11\] OE_N ) + USE SIGNAL ;
- mprj_io_out[11] ( PIN mprj_io_out[11] ) ( mprj_pads.area1_io_pad\[11\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[11] ( PIN mprj_io_slow_sel[11] ) ( mprj_pads.area1_io_pad\[11\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[11] ( PIN mprj_io_vtrip_sel[11] ) ( mprj_pads.area1_io_pad\[11\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[11] ( PIN mprj_io_in[11] ) ( mprj_pads.area1_io_pad\[11\] IN ) + USE SIGNAL ;
- mprj_analog_io[5] ( PIN mprj_analog_io[5] ) ( mprj_pads.area1_io_pad\[12\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[12] ( PIN mprj_io[12] ) ( mprj_pads.area1_io_pad\[12\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[12] ( PIN mprj_io_analog_en[12] ) ( mprj_pads.area1_io_pad\[12\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[12] ( PIN mprj_io_analog_pol[12] ) ( mprj_pads.area1_io_pad\[12\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[12] ( PIN mprj_io_analog_sel[12] ) ( mprj_pads.area1_io_pad\[12\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[36] ( PIN mprj_io_dm[36] ) ( mprj_pads.area1_io_pad\[12\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[37] ( PIN mprj_io_dm[37] ) ( mprj_pads.area1_io_pad\[12\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[38] ( PIN mprj_io_dm[38] ) ( mprj_pads.area1_io_pad\[12\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[12] ( PIN mprj_io_enh[12] ) ( mprj_pads.area1_io_pad\[12\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[12] ( PIN mprj_io_hldh_n[12] ) ( mprj_pads.area1_io_pad\[12\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[12] ( PIN mprj_io_holdover[12] ) ( mprj_pads.area1_io_pad\[12\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[12] ( PIN mprj_io_ib_mode_sel[12] ) ( mprj_pads.area1_io_pad\[12\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[12] ( PIN mprj_io_inp_dis[12] ) ( mprj_pads.area1_io_pad\[12\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[12] ( PIN mprj_io_oeb[12] ) ( mprj_pads.area1_io_pad\[12\] OE_N ) + USE SIGNAL ;
- mprj_io_out[12] ( PIN mprj_io_out[12] ) ( mprj_pads.area1_io_pad\[12\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[12] ( PIN mprj_io_slow_sel[12] ) ( mprj_pads.area1_io_pad\[12\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[12] ( PIN mprj_io_vtrip_sel[12] ) ( mprj_pads.area1_io_pad\[12\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[12] ( PIN mprj_io_in[12] ) ( mprj_pads.area1_io_pad\[12\] IN ) + USE SIGNAL ;
- mprj_analog_io[6] ( PIN mprj_analog_io[6] ) ( mprj_pads.area1_io_pad\[13\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[13] ( PIN mprj_io[13] ) ( mprj_pads.area1_io_pad\[13\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[13] ( PIN mprj_io_analog_en[13] ) ( mprj_pads.area1_io_pad\[13\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[13] ( PIN mprj_io_analog_pol[13] ) ( mprj_pads.area1_io_pad\[13\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[13] ( PIN mprj_io_analog_sel[13] ) ( mprj_pads.area1_io_pad\[13\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[39] ( PIN mprj_io_dm[39] ) ( mprj_pads.area1_io_pad\[13\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[40] ( PIN mprj_io_dm[40] ) ( mprj_pads.area1_io_pad\[13\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[41] ( PIN mprj_io_dm[41] ) ( mprj_pads.area1_io_pad\[13\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[13] ( PIN mprj_io_enh[13] ) ( mprj_pads.area1_io_pad\[13\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[13] ( PIN mprj_io_hldh_n[13] ) ( mprj_pads.area1_io_pad\[13\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[13] ( PIN mprj_io_holdover[13] ) ( mprj_pads.area1_io_pad\[13\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[13] ( PIN mprj_io_ib_mode_sel[13] ) ( mprj_pads.area1_io_pad\[13\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[13] ( PIN mprj_io_inp_dis[13] ) ( mprj_pads.area1_io_pad\[13\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[13] ( PIN mprj_io_oeb[13] ) ( mprj_pads.area1_io_pad\[13\] OE_N ) + USE SIGNAL ;
- mprj_io_out[13] ( PIN mprj_io_out[13] ) ( mprj_pads.area1_io_pad\[13\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[13] ( PIN mprj_io_slow_sel[13] ) ( mprj_pads.area1_io_pad\[13\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[13] ( PIN mprj_io_vtrip_sel[13] ) ( mprj_pads.area1_io_pad\[13\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[13] ( PIN mprj_io_in[13] ) ( mprj_pads.area1_io_pad\[13\] IN ) + USE SIGNAL ;
- mprj_analog_io[7] ( PIN mprj_analog_io[7] ) ( mprj_pads.area1_io_pad\[14\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[14] ( PIN mprj_io[14] ) ( mprj_pads.area1_io_pad\[14\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[14] ( PIN mprj_io_analog_en[14] ) ( mprj_pads.area1_io_pad\[14\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[14] ( PIN mprj_io_analog_pol[14] ) ( mprj_pads.area1_io_pad\[14\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[14] ( PIN mprj_io_analog_sel[14] ) ( mprj_pads.area1_io_pad\[14\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[42] ( PIN mprj_io_dm[42] ) ( mprj_pads.area1_io_pad\[14\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[43] ( PIN mprj_io_dm[43] ) ( mprj_pads.area1_io_pad\[14\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[44] ( PIN mprj_io_dm[44] ) ( mprj_pads.area1_io_pad\[14\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[14] ( PIN mprj_io_enh[14] ) ( mprj_pads.area1_io_pad\[14\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[14] ( PIN mprj_io_hldh_n[14] ) ( mprj_pads.area1_io_pad\[14\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[14] ( PIN mprj_io_holdover[14] ) ( mprj_pads.area1_io_pad\[14\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[14] ( PIN mprj_io_ib_mode_sel[14] ) ( mprj_pads.area1_io_pad\[14\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[14] ( PIN mprj_io_inp_dis[14] ) ( mprj_pads.area1_io_pad\[14\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[14] ( PIN mprj_io_oeb[14] ) ( mprj_pads.area1_io_pad\[14\] OE_N ) + USE SIGNAL ;
- mprj_io_out[14] ( PIN mprj_io_out[14] ) ( mprj_pads.area1_io_pad\[14\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[14] ( PIN mprj_io_slow_sel[14] ) ( mprj_pads.area1_io_pad\[14\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[14] ( PIN mprj_io_vtrip_sel[14] ) ( mprj_pads.area1_io_pad\[14\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[14] ( PIN mprj_io_in[14] ) ( mprj_pads.area1_io_pad\[14\] IN ) + USE SIGNAL ;
- mprj_analog_io[8] ( PIN mprj_analog_io[8] ) ( mprj_pads.area1_io_pad\[15\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[15] ( PIN mprj_io[15] ) ( mprj_pads.area1_io_pad\[15\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[15] ( PIN mprj_io_analog_en[15] ) ( mprj_pads.area1_io_pad\[15\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[15] ( PIN mprj_io_analog_pol[15] ) ( mprj_pads.area1_io_pad\[15\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[15] ( PIN mprj_io_analog_sel[15] ) ( mprj_pads.area1_io_pad\[15\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[45] ( PIN mprj_io_dm[45] ) ( mprj_pads.area1_io_pad\[15\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[46] ( PIN mprj_io_dm[46] ) ( mprj_pads.area1_io_pad\[15\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[47] ( PIN mprj_io_dm[47] ) ( mprj_pads.area1_io_pad\[15\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[15] ( PIN mprj_io_enh[15] ) ( mprj_pads.area1_io_pad\[15\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[15] ( PIN mprj_io_hldh_n[15] ) ( mprj_pads.area1_io_pad\[15\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[15] ( PIN mprj_io_holdover[15] ) ( mprj_pads.area1_io_pad\[15\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[15] ( PIN mprj_io_ib_mode_sel[15] ) ( mprj_pads.area1_io_pad\[15\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[15] ( PIN mprj_io_inp_dis[15] ) ( mprj_pads.area1_io_pad\[15\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[15] ( PIN mprj_io_oeb[15] ) ( mprj_pads.area1_io_pad\[15\] OE_N ) + USE SIGNAL ;
- mprj_io_out[15] ( PIN mprj_io_out[15] ) ( mprj_pads.area1_io_pad\[15\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[15] ( PIN mprj_io_slow_sel[15] ) ( mprj_pads.area1_io_pad\[15\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[15] ( PIN mprj_io_vtrip_sel[15] ) ( mprj_pads.area1_io_pad\[15\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[15] ( PIN mprj_io_in[15] ) ( mprj_pads.area1_io_pad\[15\] IN ) + USE SIGNAL ;
- mprj_analog_io[9] ( PIN mprj_analog_io[9] ) ( mprj_pads.area1_io_pad\[16\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[16] ( PIN mprj_io[16] ) ( mprj_pads.area1_io_pad\[16\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[16] ( PIN mprj_io_analog_en[16] ) ( mprj_pads.area1_io_pad\[16\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[16] ( PIN mprj_io_analog_pol[16] ) ( mprj_pads.area1_io_pad\[16\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[16] ( PIN mprj_io_analog_sel[16] ) ( mprj_pads.area1_io_pad\[16\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[48] ( PIN mprj_io_dm[48] ) ( mprj_pads.area1_io_pad\[16\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[49] ( PIN mprj_io_dm[49] ) ( mprj_pads.area1_io_pad\[16\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[50] ( PIN mprj_io_dm[50] ) ( mprj_pads.area1_io_pad\[16\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[16] ( PIN mprj_io_enh[16] ) ( mprj_pads.area1_io_pad\[16\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[16] ( PIN mprj_io_hldh_n[16] ) ( mprj_pads.area1_io_pad\[16\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[16] ( PIN mprj_io_holdover[16] ) ( mprj_pads.area1_io_pad\[16\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[16] ( PIN mprj_io_ib_mode_sel[16] ) ( mprj_pads.area1_io_pad\[16\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[16] ( PIN mprj_io_inp_dis[16] ) ( mprj_pads.area1_io_pad\[16\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[16] ( PIN mprj_io_oeb[16] ) ( mprj_pads.area1_io_pad\[16\] OE_N ) + USE SIGNAL ;
- mprj_io_out[16] ( PIN mprj_io_out[16] ) ( mprj_pads.area1_io_pad\[16\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[16] ( PIN mprj_io_slow_sel[16] ) ( mprj_pads.area1_io_pad\[16\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[16] ( PIN mprj_io_vtrip_sel[16] ) ( mprj_pads.area1_io_pad\[16\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[16] ( PIN mprj_io_in[16] ) ( mprj_pads.area1_io_pad\[16\] IN ) + USE SIGNAL ;
- mprj_analog_io[10] ( PIN mprj_analog_io[10] ) ( mprj_pads.area1_io_pad\[17\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[17] ( PIN mprj_io[17] ) ( mprj_pads.area1_io_pad\[17\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[17] ( PIN mprj_io_analog_en[17] ) ( mprj_pads.area1_io_pad\[17\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[17] ( PIN mprj_io_analog_pol[17] ) ( mprj_pads.area1_io_pad\[17\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[17] ( PIN mprj_io_analog_sel[17] ) ( mprj_pads.area1_io_pad\[17\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[51] ( PIN mprj_io_dm[51] ) ( mprj_pads.area1_io_pad\[17\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[52] ( PIN mprj_io_dm[52] ) ( mprj_pads.area1_io_pad\[17\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[53] ( PIN mprj_io_dm[53] ) ( mprj_pads.area1_io_pad\[17\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[17] ( PIN mprj_io_enh[17] ) ( mprj_pads.area1_io_pad\[17\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[17] ( PIN mprj_io_hldh_n[17] ) ( mprj_pads.area1_io_pad\[17\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[17] ( PIN mprj_io_holdover[17] ) ( mprj_pads.area1_io_pad\[17\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[17] ( PIN mprj_io_ib_mode_sel[17] ) ( mprj_pads.area1_io_pad\[17\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[17] ( PIN mprj_io_inp_dis[17] ) ( mprj_pads.area1_io_pad\[17\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[17] ( PIN mprj_io_oeb[17] ) ( mprj_pads.area1_io_pad\[17\] OE_N ) + USE SIGNAL ;
- mprj_io_out[17] ( PIN mprj_io_out[17] ) ( mprj_pads.area1_io_pad\[17\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[17] ( PIN mprj_io_slow_sel[17] ) ( mprj_pads.area1_io_pad\[17\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[17] ( PIN mprj_io_vtrip_sel[17] ) ( mprj_pads.area1_io_pad\[17\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[17] ( PIN mprj_io_in[17] ) ( mprj_pads.area1_io_pad\[17\] IN ) + USE SIGNAL ;
- mprj_io[1] ( PIN mprj_io[1] ) ( mprj_pads.area1_io_pad\[1\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[1] ( PIN mprj_io_analog_en[1] ) ( mprj_pads.area1_io_pad\[1\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[1] ( PIN mprj_io_analog_pol[1] ) ( mprj_pads.area1_io_pad\[1\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[1] ( PIN mprj_io_analog_sel[1] ) ( mprj_pads.area1_io_pad\[1\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[3] ( PIN mprj_io_dm[3] ) ( mprj_pads.area1_io_pad\[1\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[4] ( PIN mprj_io_dm[4] ) ( mprj_pads.area1_io_pad\[1\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[5] ( PIN mprj_io_dm[5] ) ( mprj_pads.area1_io_pad\[1\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[1] ( PIN mprj_io_enh[1] ) ( mprj_pads.area1_io_pad\[1\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[1] ( PIN mprj_io_hldh_n[1] ) ( mprj_pads.area1_io_pad\[1\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[1] ( PIN mprj_io_holdover[1] ) ( mprj_pads.area1_io_pad\[1\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[1] ( PIN mprj_io_ib_mode_sel[1] ) ( mprj_pads.area1_io_pad\[1\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[1] ( PIN mprj_io_inp_dis[1] ) ( mprj_pads.area1_io_pad\[1\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[1] ( PIN mprj_io_oeb[1] ) ( mprj_pads.area1_io_pad\[1\] OE_N ) + USE SIGNAL ;
- mprj_io_out[1] ( PIN mprj_io_out[1] ) ( mprj_pads.area1_io_pad\[1\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[1] ( PIN mprj_io_slow_sel[1] ) ( mprj_pads.area1_io_pad\[1\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[1] ( PIN mprj_io_vtrip_sel[1] ) ( mprj_pads.area1_io_pad\[1\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[1] ( PIN mprj_io_in[1] ) ( mprj_pads.area1_io_pad\[1\] IN ) + USE SIGNAL ;
- mprj_io[2] ( PIN mprj_io[2] ) ( mprj_pads.area1_io_pad\[2\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[2] ( PIN mprj_io_analog_en[2] ) ( mprj_pads.area1_io_pad\[2\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[2] ( PIN mprj_io_analog_pol[2] ) ( mprj_pads.area1_io_pad\[2\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[2] ( PIN mprj_io_analog_sel[2] ) ( mprj_pads.area1_io_pad\[2\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[6] ( PIN mprj_io_dm[6] ) ( mprj_pads.area1_io_pad\[2\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[7] ( PIN mprj_io_dm[7] ) ( mprj_pads.area1_io_pad\[2\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[8] ( PIN mprj_io_dm[8] ) ( mprj_pads.area1_io_pad\[2\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[2] ( PIN mprj_io_enh[2] ) ( mprj_pads.area1_io_pad\[2\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[2] ( PIN mprj_io_hldh_n[2] ) ( mprj_pads.area1_io_pad\[2\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[2] ( PIN mprj_io_holdover[2] ) ( mprj_pads.area1_io_pad\[2\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[2] ( PIN mprj_io_ib_mode_sel[2] ) ( mprj_pads.area1_io_pad\[2\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[2] ( PIN mprj_io_inp_dis[2] ) ( mprj_pads.area1_io_pad\[2\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[2] ( PIN mprj_io_oeb[2] ) ( mprj_pads.area1_io_pad\[2\] OE_N ) + USE SIGNAL ;
- mprj_io_out[2] ( PIN mprj_io_out[2] ) ( mprj_pads.area1_io_pad\[2\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[2] ( PIN mprj_io_slow_sel[2] ) ( mprj_pads.area1_io_pad\[2\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[2] ( PIN mprj_io_vtrip_sel[2] ) ( mprj_pads.area1_io_pad\[2\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[2] ( PIN mprj_io_in[2] ) ( mprj_pads.area1_io_pad\[2\] IN ) + USE SIGNAL ;
- mprj_io[3] ( PIN mprj_io[3] ) ( mprj_pads.area1_io_pad\[3\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[3] ( PIN mprj_io_analog_en[3] ) ( mprj_pads.area1_io_pad\[3\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[3] ( PIN mprj_io_analog_pol[3] ) ( mprj_pads.area1_io_pad\[3\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[3] ( PIN mprj_io_analog_sel[3] ) ( mprj_pads.area1_io_pad\[3\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[10] ( PIN mprj_io_dm[10] ) ( mprj_pads.area1_io_pad\[3\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[11] ( PIN mprj_io_dm[11] ) ( mprj_pads.area1_io_pad\[3\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[9] ( PIN mprj_io_dm[9] ) ( mprj_pads.area1_io_pad\[3\] DM[0] ) + USE SIGNAL ;
- mprj_io_enh[3] ( PIN mprj_io_enh[3] ) ( mprj_pads.area1_io_pad\[3\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[3] ( PIN mprj_io_hldh_n[3] ) ( mprj_pads.area1_io_pad\[3\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[3] ( PIN mprj_io_holdover[3] ) ( mprj_pads.area1_io_pad\[3\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[3] ( PIN mprj_io_ib_mode_sel[3] ) ( mprj_pads.area1_io_pad\[3\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[3] ( PIN mprj_io_inp_dis[3] ) ( mprj_pads.area1_io_pad\[3\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[3] ( PIN mprj_io_oeb[3] ) ( mprj_pads.area1_io_pad\[3\] OE_N ) + USE SIGNAL ;
- mprj_io_out[3] ( PIN mprj_io_out[3] ) ( mprj_pads.area1_io_pad\[3\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[3] ( PIN mprj_io_slow_sel[3] ) ( mprj_pads.area1_io_pad\[3\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[3] ( PIN mprj_io_vtrip_sel[3] ) ( mprj_pads.area1_io_pad\[3\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[3] ( PIN mprj_io_in[3] ) ( mprj_pads.area1_io_pad\[3\] IN ) + USE SIGNAL ;
- mprj_io[4] ( PIN mprj_io[4] ) ( mprj_pads.area1_io_pad\[4\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[4] ( PIN mprj_io_analog_en[4] ) ( mprj_pads.area1_io_pad\[4\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[4] ( PIN mprj_io_analog_pol[4] ) ( mprj_pads.area1_io_pad\[4\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[4] ( PIN mprj_io_analog_sel[4] ) ( mprj_pads.area1_io_pad\[4\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[12] ( PIN mprj_io_dm[12] ) ( mprj_pads.area1_io_pad\[4\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[13] ( PIN mprj_io_dm[13] ) ( mprj_pads.area1_io_pad\[4\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[14] ( PIN mprj_io_dm[14] ) ( mprj_pads.area1_io_pad\[4\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[4] ( PIN mprj_io_enh[4] ) ( mprj_pads.area1_io_pad\[4\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[4] ( PIN mprj_io_hldh_n[4] ) ( mprj_pads.area1_io_pad\[4\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[4] ( PIN mprj_io_holdover[4] ) ( mprj_pads.area1_io_pad\[4\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[4] ( PIN mprj_io_ib_mode_sel[4] ) ( mprj_pads.area1_io_pad\[4\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[4] ( PIN mprj_io_inp_dis[4] ) ( mprj_pads.area1_io_pad\[4\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[4] ( PIN mprj_io_oeb[4] ) ( mprj_pads.area1_io_pad\[4\] OE_N ) + USE SIGNAL ;
- mprj_io_out[4] ( PIN mprj_io_out[4] ) ( mprj_pads.area1_io_pad\[4\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[4] ( PIN mprj_io_slow_sel[4] ) ( mprj_pads.area1_io_pad\[4\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[4] ( PIN mprj_io_vtrip_sel[4] ) ( mprj_pads.area1_io_pad\[4\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[4] ( PIN mprj_io_in[4] ) ( mprj_pads.area1_io_pad\[4\] IN ) + USE SIGNAL ;
- mprj_io[5] ( PIN mprj_io[5] ) ( mprj_pads.area1_io_pad\[5\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[5] ( PIN mprj_io_analog_en[5] ) ( mprj_pads.area1_io_pad\[5\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[5] ( PIN mprj_io_analog_pol[5] ) ( mprj_pads.area1_io_pad\[5\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[5] ( PIN mprj_io_analog_sel[5] ) ( mprj_pads.area1_io_pad\[5\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[15] ( PIN mprj_io_dm[15] ) ( mprj_pads.area1_io_pad\[5\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[16] ( PIN mprj_io_dm[16] ) ( mprj_pads.area1_io_pad\[5\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[17] ( PIN mprj_io_dm[17] ) ( mprj_pads.area1_io_pad\[5\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[5] ( PIN mprj_io_enh[5] ) ( mprj_pads.area1_io_pad\[5\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[5] ( PIN mprj_io_hldh_n[5] ) ( mprj_pads.area1_io_pad\[5\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[5] ( PIN mprj_io_holdover[5] ) ( mprj_pads.area1_io_pad\[5\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[5] ( PIN mprj_io_ib_mode_sel[5] ) ( mprj_pads.area1_io_pad\[5\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[5] ( PIN mprj_io_inp_dis[5] ) ( mprj_pads.area1_io_pad\[5\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[5] ( PIN mprj_io_oeb[5] ) ( mprj_pads.area1_io_pad\[5\] OE_N ) + USE SIGNAL ;
- mprj_io_out[5] ( PIN mprj_io_out[5] ) ( mprj_pads.area1_io_pad\[5\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[5] ( PIN mprj_io_slow_sel[5] ) ( mprj_pads.area1_io_pad\[5\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[5] ( PIN mprj_io_vtrip_sel[5] ) ( mprj_pads.area1_io_pad\[5\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[5] ( PIN mprj_io_in[5] ) ( mprj_pads.area1_io_pad\[5\] IN ) + USE SIGNAL ;
- mprj_io[6] ( PIN mprj_io[6] ) ( mprj_pads.area1_io_pad\[6\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[6] ( PIN mprj_io_analog_en[6] ) ( mprj_pads.area1_io_pad\[6\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[6] ( PIN mprj_io_analog_pol[6] ) ( mprj_pads.area1_io_pad\[6\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[6] ( PIN mprj_io_analog_sel[6] ) ( mprj_pads.area1_io_pad\[6\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[18] ( PIN mprj_io_dm[18] ) ( mprj_pads.area1_io_pad\[6\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[19] ( PIN mprj_io_dm[19] ) ( mprj_pads.area1_io_pad\[6\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[20] ( PIN mprj_io_dm[20] ) ( mprj_pads.area1_io_pad\[6\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[6] ( PIN mprj_io_enh[6] ) ( mprj_pads.area1_io_pad\[6\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[6] ( PIN mprj_io_hldh_n[6] ) ( mprj_pads.area1_io_pad\[6\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[6] ( PIN mprj_io_holdover[6] ) ( mprj_pads.area1_io_pad\[6\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[6] ( PIN mprj_io_ib_mode_sel[6] ) ( mprj_pads.area1_io_pad\[6\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[6] ( PIN mprj_io_inp_dis[6] ) ( mprj_pads.area1_io_pad\[6\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[6] ( PIN mprj_io_oeb[6] ) ( mprj_pads.area1_io_pad\[6\] OE_N ) + USE SIGNAL ;
- mprj_io_out[6] ( PIN mprj_io_out[6] ) ( mprj_pads.area1_io_pad\[6\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[6] ( PIN mprj_io_slow_sel[6] ) ( mprj_pads.area1_io_pad\[6\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[6] ( PIN mprj_io_vtrip_sel[6] ) ( mprj_pads.area1_io_pad\[6\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[6] ( PIN mprj_io_in[6] ) ( mprj_pads.area1_io_pad\[6\] IN ) + USE SIGNAL ;
- mprj_analog_io[0] ( PIN mprj_analog_io[0] ) ( mprj_pads.area1_io_pad\[7\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[7] ( PIN mprj_io[7] ) ( mprj_pads.area1_io_pad\[7\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[7] ( PIN mprj_io_analog_en[7] ) ( mprj_pads.area1_io_pad\[7\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[7] ( PIN mprj_io_analog_pol[7] ) ( mprj_pads.area1_io_pad\[7\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[7] ( PIN mprj_io_analog_sel[7] ) ( mprj_pads.area1_io_pad\[7\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[21] ( PIN mprj_io_dm[21] ) ( mprj_pads.area1_io_pad\[7\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[22] ( PIN mprj_io_dm[22] ) ( mprj_pads.area1_io_pad\[7\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[23] ( PIN mprj_io_dm[23] ) ( mprj_pads.area1_io_pad\[7\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[7] ( PIN mprj_io_enh[7] ) ( mprj_pads.area1_io_pad\[7\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[7] ( PIN mprj_io_hldh_n[7] ) ( mprj_pads.area1_io_pad\[7\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[7] ( PIN mprj_io_holdover[7] ) ( mprj_pads.area1_io_pad\[7\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[7] ( PIN mprj_io_ib_mode_sel[7] ) ( mprj_pads.area1_io_pad\[7\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[7] ( PIN mprj_io_inp_dis[7] ) ( mprj_pads.area1_io_pad\[7\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[7] ( PIN mprj_io_oeb[7] ) ( mprj_pads.area1_io_pad\[7\] OE_N ) + USE SIGNAL ;
- mprj_io_out[7] ( PIN mprj_io_out[7] ) ( mprj_pads.area1_io_pad\[7\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[7] ( PIN mprj_io_slow_sel[7] ) ( mprj_pads.area1_io_pad\[7\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[7] ( PIN mprj_io_vtrip_sel[7] ) ( mprj_pads.area1_io_pad\[7\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[7] ( PIN mprj_io_in[7] ) ( mprj_pads.area1_io_pad\[7\] IN ) + USE SIGNAL ;
- mprj_analog_io[1] ( PIN mprj_analog_io[1] ) ( mprj_pads.area1_io_pad\[8\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[8] ( PIN mprj_io[8] ) ( mprj_pads.area1_io_pad\[8\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[8] ( PIN mprj_io_analog_en[8] ) ( mprj_pads.area1_io_pad\[8\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[8] ( PIN mprj_io_analog_pol[8] ) ( mprj_pads.area1_io_pad\[8\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[8] ( PIN mprj_io_analog_sel[8] ) ( mprj_pads.area1_io_pad\[8\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[24] ( PIN mprj_io_dm[24] ) ( mprj_pads.area1_io_pad\[8\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[25] ( PIN mprj_io_dm[25] ) ( mprj_pads.area1_io_pad\[8\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[26] ( PIN mprj_io_dm[26] ) ( mprj_pads.area1_io_pad\[8\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[8] ( PIN mprj_io_enh[8] ) ( mprj_pads.area1_io_pad\[8\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[8] ( PIN mprj_io_hldh_n[8] ) ( mprj_pads.area1_io_pad\[8\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[8] ( PIN mprj_io_holdover[8] ) ( mprj_pads.area1_io_pad\[8\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[8] ( PIN mprj_io_ib_mode_sel[8] ) ( mprj_pads.area1_io_pad\[8\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[8] ( PIN mprj_io_inp_dis[8] ) ( mprj_pads.area1_io_pad\[8\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[8] ( PIN mprj_io_oeb[8] ) ( mprj_pads.area1_io_pad\[8\] OE_N ) + USE SIGNAL ;
- mprj_io_out[8] ( PIN mprj_io_out[8] ) ( mprj_pads.area1_io_pad\[8\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[8] ( PIN mprj_io_slow_sel[8] ) ( mprj_pads.area1_io_pad\[8\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[8] ( PIN mprj_io_vtrip_sel[8] ) ( mprj_pads.area1_io_pad\[8\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[8] ( PIN mprj_io_in[8] ) ( mprj_pads.area1_io_pad\[8\] IN ) + USE SIGNAL ;
- mprj_analog_io[2] ( PIN mprj_analog_io[2] ) ( mprj_pads.area1_io_pad\[9\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[9] ( PIN mprj_io[9] ) ( mprj_pads.area1_io_pad\[9\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[9] ( PIN mprj_io_analog_en[9] ) ( mprj_pads.area1_io_pad\[9\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[9] ( PIN mprj_io_analog_pol[9] ) ( mprj_pads.area1_io_pad\[9\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[9] ( PIN mprj_io_analog_sel[9] ) ( mprj_pads.area1_io_pad\[9\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[27] ( PIN mprj_io_dm[27] ) ( mprj_pads.area1_io_pad\[9\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[28] ( PIN mprj_io_dm[28] ) ( mprj_pads.area1_io_pad\[9\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[29] ( PIN mprj_io_dm[29] ) ( mprj_pads.area1_io_pad\[9\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[9] ( PIN mprj_io_enh[9] ) ( mprj_pads.area1_io_pad\[9\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[9] ( PIN mprj_io_hldh_n[9] ) ( mprj_pads.area1_io_pad\[9\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[9] ( PIN mprj_io_holdover[9] ) ( mprj_pads.area1_io_pad\[9\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[9] ( PIN mprj_io_ib_mode_sel[9] ) ( mprj_pads.area1_io_pad\[9\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[9] ( PIN mprj_io_inp_dis[9] ) ( mprj_pads.area1_io_pad\[9\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[9] ( PIN mprj_io_oeb[9] ) ( mprj_pads.area1_io_pad\[9\] OE_N ) + USE SIGNAL ;
- mprj_io_out[9] ( PIN mprj_io_out[9] ) ( mprj_pads.area1_io_pad\[9\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[9] ( PIN mprj_io_slow_sel[9] ) ( mprj_pads.area1_io_pad\[9\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[9] ( PIN mprj_io_vtrip_sel[9] ) ( mprj_pads.area1_io_pad\[9\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[9] ( PIN mprj_io_in[9] ) ( mprj_pads.area1_io_pad\[9\] IN ) + USE SIGNAL ;
- mprj_analog_io[11] ( PIN mprj_analog_io[11] ) ( mprj_pads.area2_io_pad\[0\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[18] ( PIN mprj_io[18] ) ( mprj_pads.area2_io_pad\[0\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[18] ( PIN mprj_io_analog_en[18] ) ( mprj_pads.area2_io_pad\[0\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[18] ( PIN mprj_io_analog_pol[18] ) ( mprj_pads.area2_io_pad\[0\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[18] ( PIN mprj_io_analog_sel[18] ) ( mprj_pads.area2_io_pad\[0\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[54] ( PIN mprj_io_dm[54] ) ( mprj_pads.area2_io_pad\[0\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[55] ( PIN mprj_io_dm[55] ) ( mprj_pads.area2_io_pad\[0\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[56] ( PIN mprj_io_dm[56] ) ( mprj_pads.area2_io_pad\[0\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[18] ( PIN mprj_io_enh[18] ) ( mprj_pads.area2_io_pad\[0\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[18] ( PIN mprj_io_hldh_n[18] ) ( mprj_pads.area2_io_pad\[0\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[18] ( PIN mprj_io_holdover[18] ) ( mprj_pads.area2_io_pad\[0\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[18] ( PIN mprj_io_ib_mode_sel[18] ) ( mprj_pads.area2_io_pad\[0\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[18] ( PIN mprj_io_inp_dis[18] ) ( mprj_pads.area2_io_pad\[0\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[18] ( PIN mprj_io_oeb[18] ) ( mprj_pads.area2_io_pad\[0\] OE_N ) + USE SIGNAL ;
- mprj_io_out[18] ( PIN mprj_io_out[18] ) ( mprj_pads.area2_io_pad\[0\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[18] ( PIN mprj_io_slow_sel[18] ) ( mprj_pads.area2_io_pad\[0\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[18] ( PIN mprj_io_vtrip_sel[18] ) ( mprj_pads.area2_io_pad\[0\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[18] ( PIN mprj_io_in[18] ) ( mprj_pads.area2_io_pad\[0\] IN ) + USE SIGNAL ;
- mprj_analog_io[21] ( PIN mprj_analog_io[21] ) ( mprj_pads.area2_io_pad\[10\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[28] ( PIN mprj_io[28] ) ( mprj_pads.area2_io_pad\[10\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[28] ( PIN mprj_io_analog_en[28] ) ( mprj_pads.area2_io_pad\[10\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[28] ( PIN mprj_io_analog_pol[28] ) ( mprj_pads.area2_io_pad\[10\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[28] ( PIN mprj_io_analog_sel[28] ) ( mprj_pads.area2_io_pad\[10\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[84] ( PIN mprj_io_dm[84] ) ( mprj_pads.area2_io_pad\[10\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[85] ( PIN mprj_io_dm[85] ) ( mprj_pads.area2_io_pad\[10\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[86] ( PIN mprj_io_dm[86] ) ( mprj_pads.area2_io_pad\[10\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[28] ( PIN mprj_io_enh[28] ) ( mprj_pads.area2_io_pad\[10\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[28] ( PIN mprj_io_hldh_n[28] ) ( mprj_pads.area2_io_pad\[10\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[28] ( PIN mprj_io_holdover[28] ) ( mprj_pads.area2_io_pad\[10\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[28] ( PIN mprj_io_ib_mode_sel[28] ) ( mprj_pads.area2_io_pad\[10\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[28] ( PIN mprj_io_inp_dis[28] ) ( mprj_pads.area2_io_pad\[10\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[28] ( PIN mprj_io_oeb[28] ) ( mprj_pads.area2_io_pad\[10\] OE_N ) + USE SIGNAL ;
- mprj_io_out[28] ( PIN mprj_io_out[28] ) ( mprj_pads.area2_io_pad\[10\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[28] ( PIN mprj_io_slow_sel[28] ) ( mprj_pads.area2_io_pad\[10\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[28] ( PIN mprj_io_vtrip_sel[28] ) ( mprj_pads.area2_io_pad\[10\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[28] ( PIN mprj_io_in[28] ) ( mprj_pads.area2_io_pad\[10\] IN ) + USE SIGNAL ;
- mprj_analog_io[22] ( PIN mprj_analog_io[22] ) ( mprj_pads.area2_io_pad\[11\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[29] ( PIN mprj_io[29] ) ( mprj_pads.area2_io_pad\[11\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[29] ( PIN mprj_io_analog_en[29] ) ( mprj_pads.area2_io_pad\[11\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[29] ( PIN mprj_io_analog_pol[29] ) ( mprj_pads.area2_io_pad\[11\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[29] ( PIN mprj_io_analog_sel[29] ) ( mprj_pads.area2_io_pad\[11\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[87] ( PIN mprj_io_dm[87] ) ( mprj_pads.area2_io_pad\[11\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[88] ( PIN mprj_io_dm[88] ) ( mprj_pads.area2_io_pad\[11\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[89] ( PIN mprj_io_dm[89] ) ( mprj_pads.area2_io_pad\[11\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[29] ( PIN mprj_io_enh[29] ) ( mprj_pads.area2_io_pad\[11\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[29] ( PIN mprj_io_hldh_n[29] ) ( mprj_pads.area2_io_pad\[11\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[29] ( PIN mprj_io_holdover[29] ) ( mprj_pads.area2_io_pad\[11\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[29] ( PIN mprj_io_ib_mode_sel[29] ) ( mprj_pads.area2_io_pad\[11\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[29] ( PIN mprj_io_inp_dis[29] ) ( mprj_pads.area2_io_pad\[11\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[29] ( PIN mprj_io_oeb[29] ) ( mprj_pads.area2_io_pad\[11\] OE_N ) + USE SIGNAL ;
- mprj_io_out[29] ( PIN mprj_io_out[29] ) ( mprj_pads.area2_io_pad\[11\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[29] ( PIN mprj_io_slow_sel[29] ) ( mprj_pads.area2_io_pad\[11\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[29] ( PIN mprj_io_vtrip_sel[29] ) ( mprj_pads.area2_io_pad\[11\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[29] ( PIN mprj_io_in[29] ) ( mprj_pads.area2_io_pad\[11\] IN ) + USE SIGNAL ;
- mprj_analog_io[23] ( PIN mprj_analog_io[23] ) ( mprj_pads.area2_io_pad\[12\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[30] ( PIN mprj_io[30] ) ( mprj_pads.area2_io_pad\[12\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[30] ( PIN mprj_io_analog_en[30] ) ( mprj_pads.area2_io_pad\[12\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[30] ( PIN mprj_io_analog_pol[30] ) ( mprj_pads.area2_io_pad\[12\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[30] ( PIN mprj_io_analog_sel[30] ) ( mprj_pads.area2_io_pad\[12\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[90] ( PIN mprj_io_dm[90] ) ( mprj_pads.area2_io_pad\[12\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[91] ( PIN mprj_io_dm[91] ) ( mprj_pads.area2_io_pad\[12\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[92] ( PIN mprj_io_dm[92] ) ( mprj_pads.area2_io_pad\[12\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[30] ( PIN mprj_io_enh[30] ) ( mprj_pads.area2_io_pad\[12\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[30] ( PIN mprj_io_hldh_n[30] ) ( mprj_pads.area2_io_pad\[12\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[30] ( PIN mprj_io_holdover[30] ) ( mprj_pads.area2_io_pad\[12\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[30] ( PIN mprj_io_ib_mode_sel[30] ) ( mprj_pads.area2_io_pad\[12\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[30] ( PIN mprj_io_inp_dis[30] ) ( mprj_pads.area2_io_pad\[12\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[30] ( PIN mprj_io_oeb[30] ) ( mprj_pads.area2_io_pad\[12\] OE_N ) + USE SIGNAL ;
- mprj_io_out[30] ( PIN mprj_io_out[30] ) ( mprj_pads.area2_io_pad\[12\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[30] ( PIN mprj_io_slow_sel[30] ) ( mprj_pads.area2_io_pad\[12\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[30] ( PIN mprj_io_vtrip_sel[30] ) ( mprj_pads.area2_io_pad\[12\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[30] ( PIN mprj_io_in[30] ) ( mprj_pads.area2_io_pad\[12\] IN ) + USE SIGNAL ;
- mprj_analog_io[24] ( PIN mprj_analog_io[24] ) ( mprj_pads.area2_io_pad\[13\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[31] ( PIN mprj_io[31] ) ( mprj_pads.area2_io_pad\[13\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[31] ( PIN mprj_io_analog_en[31] ) ( mprj_pads.area2_io_pad\[13\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[31] ( PIN mprj_io_analog_pol[31] ) ( mprj_pads.area2_io_pad\[13\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[31] ( PIN mprj_io_analog_sel[31] ) ( mprj_pads.area2_io_pad\[13\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[93] ( PIN mprj_io_dm[93] ) ( mprj_pads.area2_io_pad\[13\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[94] ( PIN mprj_io_dm[94] ) ( mprj_pads.area2_io_pad\[13\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[95] ( PIN mprj_io_dm[95] ) ( mprj_pads.area2_io_pad\[13\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[31] ( PIN mprj_io_enh[31] ) ( mprj_pads.area2_io_pad\[13\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[31] ( PIN mprj_io_hldh_n[31] ) ( mprj_pads.area2_io_pad\[13\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[31] ( PIN mprj_io_holdover[31] ) ( mprj_pads.area2_io_pad\[13\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[31] ( PIN mprj_io_ib_mode_sel[31] ) ( mprj_pads.area2_io_pad\[13\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[31] ( PIN mprj_io_inp_dis[31] ) ( mprj_pads.area2_io_pad\[13\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[31] ( PIN mprj_io_oeb[31] ) ( mprj_pads.area2_io_pad\[13\] OE_N ) + USE SIGNAL ;
- mprj_io_out[31] ( PIN mprj_io_out[31] ) ( mprj_pads.area2_io_pad\[13\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[31] ( PIN mprj_io_slow_sel[31] ) ( mprj_pads.area2_io_pad\[13\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[31] ( PIN mprj_io_vtrip_sel[31] ) ( mprj_pads.area2_io_pad\[13\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[31] ( PIN mprj_io_in[31] ) ( mprj_pads.area2_io_pad\[13\] IN ) + USE SIGNAL ;
- mprj_analog_io[25] ( PIN mprj_analog_io[25] ) ( mprj_pads.area2_io_pad\[14\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[32] ( PIN mprj_io[32] ) ( mprj_pads.area2_io_pad\[14\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[32] ( PIN mprj_io_analog_en[32] ) ( mprj_pads.area2_io_pad\[14\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[32] ( PIN mprj_io_analog_pol[32] ) ( mprj_pads.area2_io_pad\[14\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[32] ( PIN mprj_io_analog_sel[32] ) ( mprj_pads.area2_io_pad\[14\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[96] ( PIN mprj_io_dm[96] ) ( mprj_pads.area2_io_pad\[14\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[97] ( PIN mprj_io_dm[97] ) ( mprj_pads.area2_io_pad\[14\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[98] ( PIN mprj_io_dm[98] ) ( mprj_pads.area2_io_pad\[14\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[32] ( PIN mprj_io_enh[32] ) ( mprj_pads.area2_io_pad\[14\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[32] ( PIN mprj_io_hldh_n[32] ) ( mprj_pads.area2_io_pad\[14\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[32] ( PIN mprj_io_holdover[32] ) ( mprj_pads.area2_io_pad\[14\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[32] ( PIN mprj_io_ib_mode_sel[32] ) ( mprj_pads.area2_io_pad\[14\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[32] ( PIN mprj_io_inp_dis[32] ) ( mprj_pads.area2_io_pad\[14\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[32] ( PIN mprj_io_oeb[32] ) ( mprj_pads.area2_io_pad\[14\] OE_N ) + USE SIGNAL ;
- mprj_io_out[32] ( PIN mprj_io_out[32] ) ( mprj_pads.area2_io_pad\[14\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[32] ( PIN mprj_io_slow_sel[32] ) ( mprj_pads.area2_io_pad\[14\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[32] ( PIN mprj_io_vtrip_sel[32] ) ( mprj_pads.area2_io_pad\[14\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[32] ( PIN mprj_io_in[32] ) ( mprj_pads.area2_io_pad\[14\] IN ) + USE SIGNAL ;
- mprj_analog_io[26] ( PIN mprj_analog_io[26] ) ( mprj_pads.area2_io_pad\[15\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[33] ( PIN mprj_io[33] ) ( mprj_pads.area2_io_pad\[15\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[33] ( PIN mprj_io_analog_en[33] ) ( mprj_pads.area2_io_pad\[15\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[33] ( PIN mprj_io_analog_pol[33] ) ( mprj_pads.area2_io_pad\[15\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[33] ( PIN mprj_io_analog_sel[33] ) ( mprj_pads.area2_io_pad\[15\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[100] ( PIN mprj_io_dm[100] ) ( mprj_pads.area2_io_pad\[15\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[101] ( PIN mprj_io_dm[101] ) ( mprj_pads.area2_io_pad\[15\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[99] ( PIN mprj_io_dm[99] ) ( mprj_pads.area2_io_pad\[15\] DM[0] ) + USE SIGNAL ;
- mprj_io_enh[33] ( PIN mprj_io_enh[33] ) ( mprj_pads.area2_io_pad\[15\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[33] ( PIN mprj_io_hldh_n[33] ) ( mprj_pads.area2_io_pad\[15\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[33] ( PIN mprj_io_holdover[33] ) ( mprj_pads.area2_io_pad\[15\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[33] ( PIN mprj_io_ib_mode_sel[33] ) ( mprj_pads.area2_io_pad\[15\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[33] ( PIN mprj_io_inp_dis[33] ) ( mprj_pads.area2_io_pad\[15\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[33] ( PIN mprj_io_oeb[33] ) ( mprj_pads.area2_io_pad\[15\] OE_N ) + USE SIGNAL ;
- mprj_io_out[33] ( PIN mprj_io_out[33] ) ( mprj_pads.area2_io_pad\[15\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[33] ( PIN mprj_io_slow_sel[33] ) ( mprj_pads.area2_io_pad\[15\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[33] ( PIN mprj_io_vtrip_sel[33] ) ( mprj_pads.area2_io_pad\[15\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[33] ( PIN mprj_io_in[33] ) ( mprj_pads.area2_io_pad\[15\] IN ) + USE SIGNAL ;
- mprj_analog_io[27] ( PIN mprj_analog_io[27] ) ( mprj_pads.area2_io_pad\[16\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[34] ( PIN mprj_io[34] ) ( mprj_pads.area2_io_pad\[16\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[34] ( PIN mprj_io_analog_en[34] ) ( mprj_pads.area2_io_pad\[16\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[34] ( PIN mprj_io_analog_pol[34] ) ( mprj_pads.area2_io_pad\[16\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[34] ( PIN mprj_io_analog_sel[34] ) ( mprj_pads.area2_io_pad\[16\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[102] ( PIN mprj_io_dm[102] ) ( mprj_pads.area2_io_pad\[16\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[103] ( PIN mprj_io_dm[103] ) ( mprj_pads.area2_io_pad\[16\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[104] ( PIN mprj_io_dm[104] ) ( mprj_pads.area2_io_pad\[16\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[34] ( PIN mprj_io_enh[34] ) ( mprj_pads.area2_io_pad\[16\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[34] ( PIN mprj_io_hldh_n[34] ) ( mprj_pads.area2_io_pad\[16\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[34] ( PIN mprj_io_holdover[34] ) ( mprj_pads.area2_io_pad\[16\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[34] ( PIN mprj_io_ib_mode_sel[34] ) ( mprj_pads.area2_io_pad\[16\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[34] ( PIN mprj_io_inp_dis[34] ) ( mprj_pads.area2_io_pad\[16\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[34] ( PIN mprj_io_oeb[34] ) ( mprj_pads.area2_io_pad\[16\] OE_N ) + USE SIGNAL ;
- mprj_io_out[34] ( PIN mprj_io_out[34] ) ( mprj_pads.area2_io_pad\[16\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[34] ( PIN mprj_io_slow_sel[34] ) ( mprj_pads.area2_io_pad\[16\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[34] ( PIN mprj_io_vtrip_sel[34] ) ( mprj_pads.area2_io_pad\[16\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[34] ( PIN mprj_io_in[34] ) ( mprj_pads.area2_io_pad\[16\] IN ) + USE SIGNAL ;
- mprj_analog_io[28] ( PIN mprj_analog_io[28] ) ( mprj_pads.area2_io_pad\[17\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[35] ( PIN mprj_io[35] ) ( mprj_pads.area2_io_pad\[17\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[35] ( PIN mprj_io_analog_en[35] ) ( mprj_pads.area2_io_pad\[17\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[35] ( PIN mprj_io_analog_pol[35] ) ( mprj_pads.area2_io_pad\[17\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[35] ( PIN mprj_io_analog_sel[35] ) ( mprj_pads.area2_io_pad\[17\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[105] ( PIN mprj_io_dm[105] ) ( mprj_pads.area2_io_pad\[17\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[106] ( PIN mprj_io_dm[106] ) ( mprj_pads.area2_io_pad\[17\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[107] ( PIN mprj_io_dm[107] ) ( mprj_pads.area2_io_pad\[17\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[35] ( PIN mprj_io_enh[35] ) ( mprj_pads.area2_io_pad\[17\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[35] ( PIN mprj_io_hldh_n[35] ) ( mprj_pads.area2_io_pad\[17\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[35] ( PIN mprj_io_holdover[35] ) ( mprj_pads.area2_io_pad\[17\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[35] ( PIN mprj_io_ib_mode_sel[35] ) ( mprj_pads.area2_io_pad\[17\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[35] ( PIN mprj_io_inp_dis[35] ) ( mprj_pads.area2_io_pad\[17\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[35] ( PIN mprj_io_oeb[35] ) ( mprj_pads.area2_io_pad\[17\] OE_N ) + USE SIGNAL ;
- mprj_io_out[35] ( PIN mprj_io_out[35] ) ( mprj_pads.area2_io_pad\[17\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[35] ( PIN mprj_io_slow_sel[35] ) ( mprj_pads.area2_io_pad\[17\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[35] ( PIN mprj_io_vtrip_sel[35] ) ( mprj_pads.area2_io_pad\[17\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[35] ( PIN mprj_io_in[35] ) ( mprj_pads.area2_io_pad\[17\] IN ) + USE SIGNAL ;
- mprj_analog_io[29] ( PIN mprj_analog_io[29] ) ( mprj_pads.area2_io_pad\[18\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[36] ( PIN mprj_io[36] ) ( mprj_pads.area2_io_pad\[18\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[36] ( PIN mprj_io_analog_en[36] ) ( mprj_pads.area2_io_pad\[18\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[36] ( PIN mprj_io_analog_pol[36] ) ( mprj_pads.area2_io_pad\[18\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[36] ( PIN mprj_io_analog_sel[36] ) ( mprj_pads.area2_io_pad\[18\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[108] ( PIN mprj_io_dm[108] ) ( mprj_pads.area2_io_pad\[18\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[109] ( PIN mprj_io_dm[109] ) ( mprj_pads.area2_io_pad\[18\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[110] ( PIN mprj_io_dm[110] ) ( mprj_pads.area2_io_pad\[18\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[36] ( PIN mprj_io_enh[36] ) ( mprj_pads.area2_io_pad\[18\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[36] ( PIN mprj_io_hldh_n[36] ) ( mprj_pads.area2_io_pad\[18\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[36] ( PIN mprj_io_holdover[36] ) ( mprj_pads.area2_io_pad\[18\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[36] ( PIN mprj_io_ib_mode_sel[36] ) ( mprj_pads.area2_io_pad\[18\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[36] ( PIN mprj_io_inp_dis[36] ) ( mprj_pads.area2_io_pad\[18\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[36] ( PIN mprj_io_oeb[36] ) ( mprj_pads.area2_io_pad\[18\] OE_N ) + USE SIGNAL ;
- mprj_io_out[36] ( PIN mprj_io_out[36] ) ( mprj_pads.area2_io_pad\[18\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[36] ( PIN mprj_io_slow_sel[36] ) ( mprj_pads.area2_io_pad\[18\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[36] ( PIN mprj_io_vtrip_sel[36] ) ( mprj_pads.area2_io_pad\[18\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[36] ( PIN mprj_io_in[36] ) ( mprj_pads.area2_io_pad\[18\] IN ) + USE SIGNAL ;
- mprj_analog_io[30] ( PIN mprj_analog_io[30] ) ( mprj_pads.area2_io_pad\[19\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[37] ( PIN mprj_io[37] ) ( mprj_pads.area2_io_pad\[19\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[37] ( PIN mprj_io_analog_en[37] ) ( mprj_pads.area2_io_pad\[19\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[37] ( PIN mprj_io_analog_pol[37] ) ( mprj_pads.area2_io_pad\[19\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[37] ( PIN mprj_io_analog_sel[37] ) ( mprj_pads.area2_io_pad\[19\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[111] ( PIN mprj_io_dm[111] ) ( mprj_pads.area2_io_pad\[19\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[112] ( PIN mprj_io_dm[112] ) ( mprj_pads.area2_io_pad\[19\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[113] ( PIN mprj_io_dm[113] ) ( mprj_pads.area2_io_pad\[19\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[37] ( PIN mprj_io_enh[37] ) ( mprj_pads.area2_io_pad\[19\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[37] ( PIN mprj_io_hldh_n[37] ) ( mprj_pads.area2_io_pad\[19\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[37] ( PIN mprj_io_holdover[37] ) ( mprj_pads.area2_io_pad\[19\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[37] ( PIN mprj_io_ib_mode_sel[37] ) ( mprj_pads.area2_io_pad\[19\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[37] ( PIN mprj_io_inp_dis[37] ) ( mprj_pads.area2_io_pad\[19\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[37] ( PIN mprj_io_oeb[37] ) ( mprj_pads.area2_io_pad\[19\] OE_N ) + USE SIGNAL ;
- mprj_io_out[37] ( PIN mprj_io_out[37] ) ( mprj_pads.area2_io_pad\[19\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[37] ( PIN mprj_io_slow_sel[37] ) ( mprj_pads.area2_io_pad\[19\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[37] ( PIN mprj_io_vtrip_sel[37] ) ( mprj_pads.area2_io_pad\[19\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[37] ( PIN mprj_io_in[37] ) ( mprj_pads.area2_io_pad\[19\] IN ) + USE SIGNAL ;
- mprj_analog_io[12] ( PIN mprj_analog_io[12] ) ( mprj_pads.area2_io_pad\[1\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[19] ( PIN mprj_io[19] ) ( mprj_pads.area2_io_pad\[1\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[19] ( PIN mprj_io_analog_en[19] ) ( mprj_pads.area2_io_pad\[1\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[19] ( PIN mprj_io_analog_pol[19] ) ( mprj_pads.area2_io_pad\[1\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[19] ( PIN mprj_io_analog_sel[19] ) ( mprj_pads.area2_io_pad\[1\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[57] ( PIN mprj_io_dm[57] ) ( mprj_pads.area2_io_pad\[1\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[58] ( PIN mprj_io_dm[58] ) ( mprj_pads.area2_io_pad\[1\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[59] ( PIN mprj_io_dm[59] ) ( mprj_pads.area2_io_pad\[1\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[19] ( PIN mprj_io_enh[19] ) ( mprj_pads.area2_io_pad\[1\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[19] ( PIN mprj_io_hldh_n[19] ) ( mprj_pads.area2_io_pad\[1\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[19] ( PIN mprj_io_holdover[19] ) ( mprj_pads.area2_io_pad\[1\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[19] ( PIN mprj_io_ib_mode_sel[19] ) ( mprj_pads.area2_io_pad\[1\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[19] ( PIN mprj_io_inp_dis[19] ) ( mprj_pads.area2_io_pad\[1\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[19] ( PIN mprj_io_oeb[19] ) ( mprj_pads.area2_io_pad\[1\] OE_N ) + USE SIGNAL ;
- mprj_io_out[19] ( PIN mprj_io_out[19] ) ( mprj_pads.area2_io_pad\[1\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[19] ( PIN mprj_io_slow_sel[19] ) ( mprj_pads.area2_io_pad\[1\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[19] ( PIN mprj_io_vtrip_sel[19] ) ( mprj_pads.area2_io_pad\[1\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[19] ( PIN mprj_io_in[19] ) ( mprj_pads.area2_io_pad\[1\] IN ) + USE SIGNAL ;
- mprj_analog_io[13] ( PIN mprj_analog_io[13] ) ( mprj_pads.area2_io_pad\[2\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[20] ( PIN mprj_io[20] ) ( mprj_pads.area2_io_pad\[2\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[20] ( PIN mprj_io_analog_en[20] ) ( mprj_pads.area2_io_pad\[2\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[20] ( PIN mprj_io_analog_pol[20] ) ( mprj_pads.area2_io_pad\[2\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[20] ( PIN mprj_io_analog_sel[20] ) ( mprj_pads.area2_io_pad\[2\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[60] ( PIN mprj_io_dm[60] ) ( mprj_pads.area2_io_pad\[2\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[61] ( PIN mprj_io_dm[61] ) ( mprj_pads.area2_io_pad\[2\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[62] ( PIN mprj_io_dm[62] ) ( mprj_pads.area2_io_pad\[2\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[20] ( PIN mprj_io_enh[20] ) ( mprj_pads.area2_io_pad\[2\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[20] ( PIN mprj_io_hldh_n[20] ) ( mprj_pads.area2_io_pad\[2\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[20] ( PIN mprj_io_holdover[20] ) ( mprj_pads.area2_io_pad\[2\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[20] ( PIN mprj_io_ib_mode_sel[20] ) ( mprj_pads.area2_io_pad\[2\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[20] ( PIN mprj_io_inp_dis[20] ) ( mprj_pads.area2_io_pad\[2\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[20] ( PIN mprj_io_oeb[20] ) ( mprj_pads.area2_io_pad\[2\] OE_N ) + USE SIGNAL ;
- mprj_io_out[20] ( PIN mprj_io_out[20] ) ( mprj_pads.area2_io_pad\[2\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[20] ( PIN mprj_io_slow_sel[20] ) ( mprj_pads.area2_io_pad\[2\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[20] ( PIN mprj_io_vtrip_sel[20] ) ( mprj_pads.area2_io_pad\[2\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[20] ( PIN mprj_io_in[20] ) ( mprj_pads.area2_io_pad\[2\] IN ) + USE SIGNAL ;
- mprj_analog_io[14] ( PIN mprj_analog_io[14] ) ( mprj_pads.area2_io_pad\[3\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[21] ( PIN mprj_io[21] ) ( mprj_pads.area2_io_pad\[3\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[21] ( PIN mprj_io_analog_en[21] ) ( mprj_pads.area2_io_pad\[3\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[21] ( PIN mprj_io_analog_pol[21] ) ( mprj_pads.area2_io_pad\[3\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[21] ( PIN mprj_io_analog_sel[21] ) ( mprj_pads.area2_io_pad\[3\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[63] ( PIN mprj_io_dm[63] ) ( mprj_pads.area2_io_pad\[3\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[64] ( PIN mprj_io_dm[64] ) ( mprj_pads.area2_io_pad\[3\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[65] ( PIN mprj_io_dm[65] ) ( mprj_pads.area2_io_pad\[3\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[21] ( PIN mprj_io_enh[21] ) ( mprj_pads.area2_io_pad\[3\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[21] ( PIN mprj_io_hldh_n[21] ) ( mprj_pads.area2_io_pad\[3\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[21] ( PIN mprj_io_holdover[21] ) ( mprj_pads.area2_io_pad\[3\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[21] ( PIN mprj_io_ib_mode_sel[21] ) ( mprj_pads.area2_io_pad\[3\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[21] ( PIN mprj_io_inp_dis[21] ) ( mprj_pads.area2_io_pad\[3\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[21] ( PIN mprj_io_oeb[21] ) ( mprj_pads.area2_io_pad\[3\] OE_N ) + USE SIGNAL ;
- mprj_io_out[21] ( PIN mprj_io_out[21] ) ( mprj_pads.area2_io_pad\[3\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[21] ( PIN mprj_io_slow_sel[21] ) ( mprj_pads.area2_io_pad\[3\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[21] ( PIN mprj_io_vtrip_sel[21] ) ( mprj_pads.area2_io_pad\[3\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[21] ( PIN mprj_io_in[21] ) ( mprj_pads.area2_io_pad\[3\] IN ) + USE SIGNAL ;
- mprj_analog_io[15] ( PIN mprj_analog_io[15] ) ( mprj_pads.area2_io_pad\[4\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[22] ( PIN mprj_io[22] ) ( mprj_pads.area2_io_pad\[4\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[22] ( PIN mprj_io_analog_en[22] ) ( mprj_pads.area2_io_pad\[4\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[22] ( PIN mprj_io_analog_pol[22] ) ( mprj_pads.area2_io_pad\[4\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[22] ( PIN mprj_io_analog_sel[22] ) ( mprj_pads.area2_io_pad\[4\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[66] ( PIN mprj_io_dm[66] ) ( mprj_pads.area2_io_pad\[4\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[67] ( PIN mprj_io_dm[67] ) ( mprj_pads.area2_io_pad\[4\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[68] ( PIN mprj_io_dm[68] ) ( mprj_pads.area2_io_pad\[4\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[22] ( PIN mprj_io_enh[22] ) ( mprj_pads.area2_io_pad\[4\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[22] ( PIN mprj_io_hldh_n[22] ) ( mprj_pads.area2_io_pad\[4\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[22] ( PIN mprj_io_holdover[22] ) ( mprj_pads.area2_io_pad\[4\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[22] ( PIN mprj_io_ib_mode_sel[22] ) ( mprj_pads.area2_io_pad\[4\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[22] ( PIN mprj_io_inp_dis[22] ) ( mprj_pads.area2_io_pad\[4\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[22] ( PIN mprj_io_oeb[22] ) ( mprj_pads.area2_io_pad\[4\] OE_N ) + USE SIGNAL ;
- mprj_io_out[22] ( PIN mprj_io_out[22] ) ( mprj_pads.area2_io_pad\[4\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[22] ( PIN mprj_io_slow_sel[22] ) ( mprj_pads.area2_io_pad\[4\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[22] ( PIN mprj_io_vtrip_sel[22] ) ( mprj_pads.area2_io_pad\[4\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[22] ( PIN mprj_io_in[22] ) ( mprj_pads.area2_io_pad\[4\] IN ) + USE SIGNAL ;
- mprj_analog_io[16] ( PIN mprj_analog_io[16] ) ( mprj_pads.area2_io_pad\[5\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[23] ( PIN mprj_io[23] ) ( mprj_pads.area2_io_pad\[5\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[23] ( PIN mprj_io_analog_en[23] ) ( mprj_pads.area2_io_pad\[5\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[23] ( PIN mprj_io_analog_pol[23] ) ( mprj_pads.area2_io_pad\[5\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[23] ( PIN mprj_io_analog_sel[23] ) ( mprj_pads.area2_io_pad\[5\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[69] ( PIN mprj_io_dm[69] ) ( mprj_pads.area2_io_pad\[5\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[70] ( PIN mprj_io_dm[70] ) ( mprj_pads.area2_io_pad\[5\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[71] ( PIN mprj_io_dm[71] ) ( mprj_pads.area2_io_pad\[5\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[23] ( PIN mprj_io_enh[23] ) ( mprj_pads.area2_io_pad\[5\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[23] ( PIN mprj_io_hldh_n[23] ) ( mprj_pads.area2_io_pad\[5\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[23] ( PIN mprj_io_holdover[23] ) ( mprj_pads.area2_io_pad\[5\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[23] ( PIN mprj_io_ib_mode_sel[23] ) ( mprj_pads.area2_io_pad\[5\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[23] ( PIN mprj_io_inp_dis[23] ) ( mprj_pads.area2_io_pad\[5\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[23] ( PIN mprj_io_oeb[23] ) ( mprj_pads.area2_io_pad\[5\] OE_N ) + USE SIGNAL ;
- mprj_io_out[23] ( PIN mprj_io_out[23] ) ( mprj_pads.area2_io_pad\[5\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[23] ( PIN mprj_io_slow_sel[23] ) ( mprj_pads.area2_io_pad\[5\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[23] ( PIN mprj_io_vtrip_sel[23] ) ( mprj_pads.area2_io_pad\[5\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[23] ( PIN mprj_io_in[23] ) ( mprj_pads.area2_io_pad\[5\] IN ) + USE SIGNAL ;
- mprj_analog_io[17] ( PIN mprj_analog_io[17] ) ( mprj_pads.area2_io_pad\[6\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[24] ( PIN mprj_io[24] ) ( mprj_pads.area2_io_pad\[6\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[24] ( PIN mprj_io_analog_en[24] ) ( mprj_pads.area2_io_pad\[6\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[24] ( PIN mprj_io_analog_pol[24] ) ( mprj_pads.area2_io_pad\[6\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[24] ( PIN mprj_io_analog_sel[24] ) ( mprj_pads.area2_io_pad\[6\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[72] ( PIN mprj_io_dm[72] ) ( mprj_pads.area2_io_pad\[6\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[73] ( PIN mprj_io_dm[73] ) ( mprj_pads.area2_io_pad\[6\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[74] ( PIN mprj_io_dm[74] ) ( mprj_pads.area2_io_pad\[6\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[24] ( PIN mprj_io_enh[24] ) ( mprj_pads.area2_io_pad\[6\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[24] ( PIN mprj_io_hldh_n[24] ) ( mprj_pads.area2_io_pad\[6\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[24] ( PIN mprj_io_holdover[24] ) ( mprj_pads.area2_io_pad\[6\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[24] ( PIN mprj_io_ib_mode_sel[24] ) ( mprj_pads.area2_io_pad\[6\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[24] ( PIN mprj_io_inp_dis[24] ) ( mprj_pads.area2_io_pad\[6\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[24] ( PIN mprj_io_oeb[24] ) ( mprj_pads.area2_io_pad\[6\] OE_N ) + USE SIGNAL ;
- mprj_io_out[24] ( PIN mprj_io_out[24] ) ( mprj_pads.area2_io_pad\[6\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[24] ( PIN mprj_io_slow_sel[24] ) ( mprj_pads.area2_io_pad\[6\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[24] ( PIN mprj_io_vtrip_sel[24] ) ( mprj_pads.area2_io_pad\[6\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[24] ( PIN mprj_io_in[24] ) ( mprj_pads.area2_io_pad\[6\] IN ) + USE SIGNAL ;
- mprj_analog_io[18] ( PIN mprj_analog_io[18] ) ( mprj_pads.area2_io_pad\[7\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[25] ( PIN mprj_io[25] ) ( mprj_pads.area2_io_pad\[7\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[25] ( PIN mprj_io_analog_en[25] ) ( mprj_pads.area2_io_pad\[7\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[25] ( PIN mprj_io_analog_pol[25] ) ( mprj_pads.area2_io_pad\[7\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[25] ( PIN mprj_io_analog_sel[25] ) ( mprj_pads.area2_io_pad\[7\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[75] ( PIN mprj_io_dm[75] ) ( mprj_pads.area2_io_pad\[7\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[76] ( PIN mprj_io_dm[76] ) ( mprj_pads.area2_io_pad\[7\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[77] ( PIN mprj_io_dm[77] ) ( mprj_pads.area2_io_pad\[7\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[25] ( PIN mprj_io_enh[25] ) ( mprj_pads.area2_io_pad\[7\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[25] ( PIN mprj_io_hldh_n[25] ) ( mprj_pads.area2_io_pad\[7\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[25] ( PIN mprj_io_holdover[25] ) ( mprj_pads.area2_io_pad\[7\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[25] ( PIN mprj_io_ib_mode_sel[25] ) ( mprj_pads.area2_io_pad\[7\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[25] ( PIN mprj_io_inp_dis[25] ) ( mprj_pads.area2_io_pad\[7\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[25] ( PIN mprj_io_oeb[25] ) ( mprj_pads.area2_io_pad\[7\] OE_N ) + USE SIGNAL ;
- mprj_io_out[25] ( PIN mprj_io_out[25] ) ( mprj_pads.area2_io_pad\[7\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[25] ( PIN mprj_io_slow_sel[25] ) ( mprj_pads.area2_io_pad\[7\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[25] ( PIN mprj_io_vtrip_sel[25] ) ( mprj_pads.area2_io_pad\[7\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[25] ( PIN mprj_io_in[25] ) ( mprj_pads.area2_io_pad\[7\] IN ) + USE SIGNAL ;
- mprj_analog_io[19] ( PIN mprj_analog_io[19] ) ( mprj_pads.area2_io_pad\[8\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[26] ( PIN mprj_io[26] ) ( mprj_pads.area2_io_pad\[8\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[26] ( PIN mprj_io_analog_en[26] ) ( mprj_pads.area2_io_pad\[8\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[26] ( PIN mprj_io_analog_pol[26] ) ( mprj_pads.area2_io_pad\[8\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[26] ( PIN mprj_io_analog_sel[26] ) ( mprj_pads.area2_io_pad\[8\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[78] ( PIN mprj_io_dm[78] ) ( mprj_pads.area2_io_pad\[8\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[79] ( PIN mprj_io_dm[79] ) ( mprj_pads.area2_io_pad\[8\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[80] ( PIN mprj_io_dm[80] ) ( mprj_pads.area2_io_pad\[8\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[26] ( PIN mprj_io_enh[26] ) ( mprj_pads.area2_io_pad\[8\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[26] ( PIN mprj_io_hldh_n[26] ) ( mprj_pads.area2_io_pad\[8\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[26] ( PIN mprj_io_holdover[26] ) ( mprj_pads.area2_io_pad\[8\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[26] ( PIN mprj_io_ib_mode_sel[26] ) ( mprj_pads.area2_io_pad\[8\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[26] ( PIN mprj_io_inp_dis[26] ) ( mprj_pads.area2_io_pad\[8\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[26] ( PIN mprj_io_oeb[26] ) ( mprj_pads.area2_io_pad\[8\] OE_N ) + USE SIGNAL ;
- mprj_io_out[26] ( PIN mprj_io_out[26] ) ( mprj_pads.area2_io_pad\[8\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[26] ( PIN mprj_io_slow_sel[26] ) ( mprj_pads.area2_io_pad\[8\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[26] ( PIN mprj_io_vtrip_sel[26] ) ( mprj_pads.area2_io_pad\[8\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[26] ( PIN mprj_io_in[26] ) ( mprj_pads.area2_io_pad\[8\] IN ) + USE SIGNAL ;
- mprj_analog_io[20] ( PIN mprj_analog_io[20] ) ( mprj_pads.area2_io_pad\[9\] PAD_A_ESD_0_H ) + USE SIGNAL ;
- mprj_io[27] ( PIN mprj_io[27] ) ( mprj_pads.area2_io_pad\[9\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[27] ( PIN mprj_io_analog_en[27] ) ( mprj_pads.area2_io_pad\[9\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[27] ( PIN mprj_io_analog_pol[27] ) ( mprj_pads.area2_io_pad\[9\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[27] ( PIN mprj_io_analog_sel[27] ) ( mprj_pads.area2_io_pad\[9\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[81] ( PIN mprj_io_dm[81] ) ( mprj_pads.area2_io_pad\[9\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[82] ( PIN mprj_io_dm[82] ) ( mprj_pads.area2_io_pad\[9\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[83] ( PIN mprj_io_dm[83] ) ( mprj_pads.area2_io_pad\[9\] DM[2] ) + USE SIGNAL ;
- mprj_io_enh[27] ( PIN mprj_io_enh[27] ) ( mprj_pads.area2_io_pad\[9\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[27] ( PIN mprj_io_hldh_n[27] ) ( mprj_pads.area2_io_pad\[9\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[27] ( PIN mprj_io_holdover[27] ) ( mprj_pads.area2_io_pad\[9\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[27] ( PIN mprj_io_ib_mode_sel[27] ) ( mprj_pads.area2_io_pad\[9\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_inp_dis[27] ( PIN mprj_io_inp_dis[27] ) ( mprj_pads.area2_io_pad\[9\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[27] ( PIN mprj_io_oeb[27] ) ( mprj_pads.area2_io_pad\[9\] OE_N ) + USE SIGNAL ;
- mprj_io_out[27] ( PIN mprj_io_out[27] ) ( mprj_pads.area2_io_pad\[9\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[27] ( PIN mprj_io_slow_sel[27] ) ( mprj_pads.area2_io_pad\[9\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[27] ( PIN mprj_io_vtrip_sel[27] ) ( mprj_pads.area2_io_pad\[9\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_in[27] ( PIN mprj_io_in[27] ) ( mprj_pads.area2_io_pad\[9\] IN ) + USE SIGNAL ;
- resetb ( PIN resetb ) ( resetb_pad PAD ) + USE SIGNAL ;
- resetb_core_h ( PIN resetb_core_h ) ( resetb_pad XRES_H_N ) + USE SIGNAL ;
END NETS
END DESIGN