blob: 07391fcc5232a527f5e6377134752c430370eaf1 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "divider"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 clk
*2 cout1
*3 cout10
*4 cout2
*5 cout3
*6 cout4
*7 cout5
*8 cout6
*9 cout7
*10 cout8
*11 cout9
*14 _0000_
*15 _0001_
*16 _0002_
*17 _0003_
*18 _0004_
*19 _0005_
*20 _0006_
*21 _0007_
*22 _0008_
*23 _0009_
*24 _0010_
*25 _0011_
*26 _0012_
*27 _0013_
*28 _0014_
*29 _0015_
*30 _0037_
*31 _0038_
*32 _0039_
*33 _0040_
*34 _0041_
*35 _0042_
*36 _0065_
*37 _0066_
*38 _0067_
*39 _0068_
*40 _0069_
*41 _0093_
*42 _0094_
*43 _0095_
*44 _0096_
*45 _0121_
*46 _0122_
*47 _0123_
*48 _0149_
*49 _0150_
*50 _0177_
*51 _0205_
*52 _0206_
*53 _0207_
*54 _0208_
*55 _0209_
*56 _0210_
*57 _0211_
*58 _0212_
*59 _0213_
*60 _0214_
*61 _0233_
*62 _0234_
*63 _0235_
*64 _0236_
*65 _0237_
*66 _0238_
*67 _0239_
*68 _0240_
*69 _0241_
*70 _0261_
*71 _0262_
*72 _0263_
*73 _0264_
*74 _0265_
*75 _0266_
*76 _0267_
*77 _0268_
*78 _0289_
*79 _0290_
*80 _0291_
*81 _0292_
*82 _0293_
*83 _0294_
*84 _0295_
*85 _0296_
*86 _0297_
*87 _0298_
*88 _0299_
*89 _0300_
*90 _0301_
*91 _0302_
*92 _0303_
*93 _0304_
*94 _0305_
*95 _0306_
*96 _0307_
*97 _0308_
*98 _0309_
*99 _0310_
*100 _0311_
*101 _0312_
*102 _0313_
*103 _0314_
*104 _0315_
*105 _0316_
*106 _0317_
*107 _0318_
*108 _0319_
*109 _0320_
*110 _0321_
*111 _0322_
*112 _0323_
*113 _0324_
*114 _0325_
*115 _0326_
*116 _0327_
*117 _0328_
*118 _0329_
*119 _0330_
*120 _0331_
*121 _0332_
*122 _0333_
*123 _0334_
*124 _0335_
*125 _0336_
*126 _0337_
*127 _0338_
*128 _0339_
*129 _0340_
*130 _0341_
*131 _0342_
*132 _0343_
*133 _0344_
*134 _0345_
*135 _0346_
*136 _0347_
*137 _0348_
*138 _0349_
*139 _0350_
*140 _0351_
*141 _0352_
*142 _0353_
*143 _0354_
*144 _0355_
*145 _0356_
*146 _0357_
*147 _0358_
*148 _0359_
*149 _0360_
*150 _0361_
*151 _0362_
*152 _0363_
*153 _0364_
*154 _0365_
*155 _0366_
*156 _0367_
*157 _0368_
*158 _0369_
*159 _0370_
*160 _0371_
*161 _0372_
*162 _0373_
*163 _0374_
*164 _0375_
*165 _0376_
*166 _0377_
*167 _0378_
*168 _0379_
*169 _0380_
*170 _0381_
*171 _0382_
*172 _0383_
*173 _0384_
*174 _0385_
*175 _0386_
*176 _0387_
*177 _0388_
*178 _0389_
*179 _0390_
*180 _0391_
*181 _0392_
*182 _0393_
*183 _0394_
*184 _0395_
*185 _0396_
*186 _0397_
*187 _0398_
*188 _0399_
*189 _0400_
*190 _0401_
*191 _0402_
*192 _0403_
*193 _0404_
*194 _0405_
*195 _0406_
*196 _0407_
*197 _0408_
*198 _0409_
*199 _0410_
*200 _0411_
*201 _0412_
*202 _0413_
*203 _0414_
*204 _0415_
*205 _0416_
*206 _0417_
*207 _0418_
*208 _0419_
*209 _0420_
*210 _0421_
*211 _0422_
*212 _0423_
*213 _0424_
*214 _0425_
*215 _0426_
*216 _0427_
*217 _0428_
*218 _0429_
*219 _0430_
*220 _0431_
*221 _0432_
*222 _0433_
*223 _0434_
*224 _0435_
*225 _0436_
*226 _0437_
*227 _0438_
*228 _0439_
*229 _0440_
*230 _0441_
*231 _0442_
*232 _0443_
*233 _0444_
*234 _0445_
*235 _0446_
*236 _0447_
*237 _0448_
*238 _0449_
*239 _0450_
*240 _0451_
*241 _0452_
*242 _0453_
*243 _0454_
*244 _0455_
*245 _0456_
*246 _0457_
*247 _0458_
*248 _0459_
*249 _0460_
*250 _0461_
*251 _0462_
*252 _0463_
*253 _0464_
*254 _0465_
*255 _0466_
*256 _0467_
*257 _0468_
*258 _0469_
*259 _0470_
*260 _0471_
*261 _0472_
*262 _0473_
*263 _0474_
*264 clknet_0_clk
*265 clknet_2_0__leaf_clk
*266 clknet_2_1__leaf_clk
*267 clknet_2_2__leaf_clk
*268 clknet_2_3__leaf_clk
*269 clknet_leaf_0_clk
*270 clknet_leaf_10_clk
*271 clknet_leaf_11_clk
*272 clknet_leaf_12_clk
*273 clknet_leaf_13_clk
*274 clknet_leaf_14_clk
*275 clknet_leaf_15_clk
*276 clknet_leaf_16_clk
*277 clknet_leaf_17_clk
*278 clknet_leaf_18_clk
*279 clknet_leaf_19_clk
*280 clknet_leaf_1_clk
*281 clknet_leaf_20_clk
*282 clknet_leaf_21_clk
*283 clknet_leaf_22_clk
*284 clknet_leaf_23_clk
*285 clknet_leaf_24_clk
*286 clknet_leaf_25_clk
*287 clknet_leaf_26_clk
*288 clknet_leaf_27_clk
*289 clknet_leaf_29_clk
*290 clknet_leaf_2_clk
*291 clknet_leaf_30_clk
*292 clknet_leaf_31_clk
*293 clknet_leaf_32_clk
*294 clknet_leaf_33_clk
*295 clknet_leaf_34_clk
*296 clknet_leaf_35_clk
*297 clknet_leaf_36_clk
*298 clknet_leaf_37_clk
*299 clknet_leaf_38_clk
*300 clknet_leaf_39_clk
*301 clknet_leaf_3_clk
*302 clknet_leaf_40_clk
*303 clknet_leaf_41_clk
*304 clknet_leaf_42_clk
*305 clknet_leaf_43_clk
*306 clknet_leaf_44_clk
*307 clknet_leaf_45_clk
*308 clknet_leaf_46_clk
*309 clknet_leaf_47_clk
*310 clknet_leaf_48_clk
*311 clknet_leaf_49_clk
*312 clknet_leaf_4_clk
*313 clknet_leaf_50_clk
*314 clknet_leaf_5_clk
*315 clknet_leaf_6_clk
*316 clknet_leaf_7_clk
*317 clknet_leaf_8_clk
*318 clknet_leaf_9_clk
*319 counter10\[0\]
*320 counter10\[10\]
*321 counter10\[11\]
*322 counter10\[12\]
*323 counter10\[13\]
*324 counter10\[14\]
*325 counter10\[15\]
*326 counter10\[16\]
*327 counter10\[17\]
*328 counter10\[18\]
*329 counter10\[19\]
*330 counter10\[1\]
*331 counter10\[20\]
*332 counter10\[21\]
*333 counter10\[22\]
*334 counter10\[23\]
*335 counter10\[24\]
*336 counter10\[25\]
*337 counter10\[26\]
*338 counter10\[27\]
*339 counter10\[2\]
*340 counter10\[3\]
*341 counter10\[4\]
*342 counter10\[5\]
*343 counter10\[6\]
*344 counter10\[7\]
*345 counter10\[8\]
*346 counter10\[9\]
*347 counter2\[0\]
*348 counter2\[10\]
*349 counter2\[11\]
*350 counter2\[12\]
*351 counter2\[13\]
*352 counter2\[14\]
*353 counter2\[15\]
*354 counter2\[16\]
*355 counter2\[17\]
*356 counter2\[18\]
*357 counter2\[19\]
*358 counter2\[1\]
*359 counter2\[20\]
*360 counter2\[21\]
*361 counter2\[22\]
*362 counter2\[23\]
*363 counter2\[24\]
*364 counter2\[25\]
*365 counter2\[26\]
*366 counter2\[27\]
*367 counter2\[2\]
*368 counter2\[3\]
*369 counter2\[4\]
*370 counter2\[5\]
*371 counter2\[6\]
*372 counter2\[7\]
*373 counter2\[8\]
*374 counter2\[9\]
*375 counter3\[0\]
*376 counter3\[10\]
*377 counter3\[11\]
*378 counter3\[12\]
*379 counter3\[13\]
*380 counter3\[14\]
*381 counter3\[15\]
*382 counter3\[16\]
*383 counter3\[17\]
*384 counter3\[18\]
*385 counter3\[19\]
*386 counter3\[1\]
*387 counter3\[20\]
*388 counter3\[21\]
*389 counter3\[22\]
*390 counter3\[23\]
*391 counter3\[24\]
*392 counter3\[25\]
*393 counter3\[26\]
*394 counter3\[27\]
*395 counter3\[2\]
*396 counter3\[3\]
*397 counter3\[4\]
*398 counter3\[5\]
*399 counter3\[6\]
*400 counter3\[7\]
*401 counter3\[8\]
*402 counter3\[9\]
*403 counter4\[0\]
*404 counter4\[10\]
*405 counter4\[11\]
*406 counter4\[12\]
*407 counter4\[13\]
*408 counter4\[14\]
*409 counter4\[15\]
*410 counter4\[16\]
*411 counter4\[17\]
*412 counter4\[18\]
*413 counter4\[19\]
*414 counter4\[1\]
*415 counter4\[20\]
*416 counter4\[21\]
*417 counter4\[22\]
*418 counter4\[23\]
*419 counter4\[24\]
*420 counter4\[25\]
*421 counter4\[26\]
*422 counter4\[27\]
*423 counter4\[2\]
*424 counter4\[3\]
*425 counter4\[4\]
*426 counter4\[5\]
*427 counter4\[6\]
*428 counter4\[7\]
*429 counter4\[8\]
*430 counter4\[9\]
*431 counter5\[0\]
*432 counter5\[10\]
*433 counter5\[11\]
*434 counter5\[12\]
*435 counter5\[13\]
*436 counter5\[14\]
*437 counter5\[15\]
*438 counter5\[16\]
*439 counter5\[17\]
*440 counter5\[18\]
*441 counter5\[19\]
*442 counter5\[1\]
*443 counter5\[20\]
*444 counter5\[21\]
*445 counter5\[22\]
*446 counter5\[23\]
*447 counter5\[24\]
*448 counter5\[25\]
*449 counter5\[26\]
*450 counter5\[27\]
*451 counter5\[2\]
*452 counter5\[3\]
*453 counter5\[4\]
*454 counter5\[5\]
*455 counter5\[6\]
*456 counter5\[7\]
*457 counter5\[8\]
*458 counter5\[9\]
*459 counter6\[0\]
*460 counter6\[10\]
*461 counter6\[11\]
*462 counter6\[12\]
*463 counter6\[13\]
*464 counter6\[14\]
*465 counter6\[15\]
*466 counter6\[16\]
*467 counter6\[17\]
*468 counter6\[18\]
*469 counter6\[19\]
*470 counter6\[1\]
*471 counter6\[20\]
*472 counter6\[21\]
*473 counter6\[22\]
*474 counter6\[23\]
*475 counter6\[24\]
*476 counter6\[25\]
*477 counter6\[26\]
*478 counter6\[27\]
*479 counter6\[2\]
*480 counter6\[3\]
*481 counter6\[4\]
*482 counter6\[5\]
*483 counter6\[6\]
*484 counter6\[7\]
*485 counter6\[8\]
*486 counter6\[9\]
*487 counter7\[0\]
*488 counter7\[10\]
*489 counter7\[11\]
*490 counter7\[12\]
*491 counter7\[13\]
*492 counter7\[14\]
*493 counter7\[15\]
*494 counter7\[16\]
*495 counter7\[17\]
*496 counter7\[18\]
*497 counter7\[19\]
*498 counter7\[1\]
*499 counter7\[20\]
*500 counter7\[21\]
*501 counter7\[22\]
*502 counter7\[23\]
*503 counter7\[24\]
*504 counter7\[25\]
*505 counter7\[26\]
*506 counter7\[27\]
*507 counter7\[2\]
*508 counter7\[3\]
*509 counter7\[4\]
*510 counter7\[5\]
*511 counter7\[6\]
*512 counter7\[7\]
*513 counter7\[8\]
*514 counter7\[9\]
*515 counter8\[0\]
*516 counter8\[10\]
*517 counter8\[11\]
*518 counter8\[12\]
*519 counter8\[13\]
*520 counter8\[14\]
*521 counter8\[15\]
*522 counter8\[16\]
*523 counter8\[17\]
*524 counter8\[18\]
*525 counter8\[19\]
*526 counter8\[1\]
*527 counter8\[20\]
*528 counter8\[21\]
*529 counter8\[22\]
*530 counter8\[23\]
*531 counter8\[24\]
*532 counter8\[25\]
*533 counter8\[26\]
*534 counter8\[27\]
*535 counter8\[2\]
*536 counter8\[3\]
*537 counter8\[4\]
*538 counter8\[5\]
*539 counter8\[6\]
*540 counter8\[7\]
*541 counter8\[8\]
*542 counter8\[9\]
*543 counter9\[0\]
*544 counter9\[10\]
*545 counter9\[11\]
*546 counter9\[12\]
*547 counter9\[13\]
*548 counter9\[14\]
*549 counter9\[15\]
*550 counter9\[16\]
*551 counter9\[17\]
*552 counter9\[18\]
*553 counter9\[19\]
*554 counter9\[1\]
*555 counter9\[20\]
*556 counter9\[21\]
*557 counter9\[22\]
*558 counter9\[23\]
*559 counter9\[24\]
*560 counter9\[25\]
*561 counter9\[26\]
*562 counter9\[27\]
*563 counter9\[2\]
*564 counter9\[3\]
*565 counter9\[4\]
*566 counter9\[5\]
*567 counter9\[6\]
*568 counter9\[7\]
*569 counter9\[8\]
*570 counter9\[9\]
*571 counter\[0\]
*572 counter\[10\]
*573 counter\[11\]
*574 counter\[12\]
*575 counter\[13\]
*576 counter\[14\]
*577 counter\[15\]
*578 counter\[16\]
*579 counter\[17\]
*580 counter\[18\]
*581 counter\[19\]
*582 counter\[1\]
*583 counter\[20\]
*584 counter\[21\]
*585 counter\[22\]
*586 counter\[23\]
*587 counter\[24\]
*588 counter\[25\]
*589 counter\[26\]
*590 counter\[27\]
*591 counter\[2\]
*592 counter\[3\]
*593 counter\[4\]
*594 counter\[5\]
*595 counter\[6\]
*596 counter\[7\]
*597 counter\[8\]
*598 counter\[9\]
*599 net1
*600 net10
*601 net100
*602 net101
*603 net102
*604 net103
*605 net104
*606 net105
*607 net106
*608 net107
*609 net108
*610 net109
*611 net11
*612 net110
*613 net111
*614 net112
*615 net113
*616 net114
*617 net115
*618 net116
*619 net117
*620 net118
*621 net119
*622 net12
*623 net120
*624 net121
*625 net122
*626 net123
*627 net124
*628 net125
*629 net126
*630 net127
*631 net128
*632 net129
*633 net13
*634 net130
*635 net131
*636 net132
*637 net133
*638 net134
*639 net135
*640 net136
*641 net137
*642 net138
*643 net139
*644 net14
*645 net140
*646 net141
*647 net142
*648 net143
*649 net144
*650 net145
*651 net146
*652 net147
*653 net148
*654 net149
*655 net15
*656 net150
*657 net151
*658 net152
*659 net153
*660 net154
*661 net155
*662 net156
*663 net157
*664 net158
*665 net159
*666 net16
*667 net160
*668 net161
*669 net162
*670 net163
*671 net164
*672 net165
*673 net166
*674 net167
*675 net168
*676 net169
*677 net17
*678 net170
*679 net171
*680 net172
*681 net173
*682 net174
*683 net175
*684 net176
*685 net177
*686 net178
*687 net179
*688 net18
*689 net180
*690 net181
*691 net182
*692 net183
*693 net184
*694 net185
*695 net186
*696 net187
*697 net188
*698 net189
*699 net19
*700 net190
*701 net191
*702 net192
*703 net193
*704 net194
*705 net195
*706 net196
*707 net197
*708 net198
*709 net199
*710 net2
*711 net20
*712 net200
*713 net201
*714 net202
*715 net203
*716 net204
*717 net205
*718 net206
*719 net207
*720 net208
*721 net209
*722 net21
*723 net210
*724 net211
*725 net212
*726 net213
*727 net214
*728 net215
*729 net216
*730 net217
*731 net218
*732 net219
*733 net22
*734 net220
*735 net221
*736 net222
*737 net223
*738 net224
*739 net225
*740 net226
*741 net227
*742 net228
*743 net229
*744 net23
*745 net230
*746 net231
*747 net232
*748 net233
*749 net234
*750 net235
*751 net24
*752 net25
*753 net26
*754 net27
*755 net28
*756 net29
*757 net3
*758 net30
*759 net31
*760 net32
*761 net33
*762 net34
*763 net35
*764 net36
*765 net37
*766 net38
*767 net39
*768 net4
*769 net40
*770 net41
*771 net42
*772 net43
*773 net44
*774 net45
*775 net46
*776 net47
*777 net48
*778 net49
*779 net5
*780 net50
*781 net51
*782 net52
*783 net53
*784 net54
*785 net55
*786 net56
*787 net57
*788 net58
*789 net59
*790 net6
*791 net60
*792 net61
*793 net62
*794 net63
*795 net64
*796 net65
*797 net66
*798 net67
*799 net68
*800 net69
*801 net7
*802 net70
*803 net71
*804 net72
*805 net73
*806 net74
*807 net75
*808 net76
*809 net77
*810 net78
*811 net79
*812 net8
*813 net80
*814 net81
*815 net82
*816 net83
*817 net84
*818 net85
*819 net86
*820 net87
*821 net88
*822 net89
*823 net9
*824 net90
*825 net91
*826 net92
*827 net93
*828 net94
*829 net95
*830 net96
*831 net97
*832 net98
*833 net99
*834 ANTENNA__0493__A2
*835 ANTENNA__0503__A4
*836 ANTENNA__0504__A2
*837 ANTENNA__0513__I
*838 ANTENNA__0543__A2
*839 ANTENNA__0561__A3
*840 ANTENNA__0572__I
*841 ANTENNA__0586__A1
*842 ANTENNA__0589__A1
*843 ANTENNA__0595__A2
*844 ANTENNA__0598__A2
*845 ANTENNA__0600__A1
*846 ANTENNA__0607__A1
*847 ANTENNA__0630__A1
*848 ANTENNA__0631__A2
*849 ANTENNA__0633__A1
*850 ANTENNA__0636__A1
*851 ANTENNA__0644__A3
*852 ANTENNA__0648__A1
*853 ANTENNA__0648__A2
*854 ANTENNA__0650__A1
*855 ANTENNA__0650__A2
*856 ANTENNA__0656__I
*857 ANTENNA__0660__A2
*858 ANTENNA__0663__A1
*859 ANTENNA__0665__A2
*860 ANTENNA__0666__A2
*861 ANTENNA__0671__B2
*862 ANTENNA__0676__B
*863 ANTENNA__0697__A2
*864 ANTENNA__0705__I
*865 ANTENNA__0706__A2
*866 ANTENNA__0708__A1
*867 ANTENNA__0711__A2
*868 ANTENNA__0714__A2
*869 ANTENNA__0716__A1
*870 ANTENNA__0719__A2
*871 ANTENNA__0723__B
*872 ANTENNA__0725__CLK
*873 ANTENNA__0726__CLK
*874 ANTENNA__0727__CLK
*875 ANTENNA__0728__CLK
*876 ANTENNA__0731__CLK
*877 ANTENNA__0732__CLK
*878 ANTENNA__0733__CLK
*879 ANTENNA__0734__CLK
*880 ANTENNA__0735__CLK
*881 ANTENNA__0736__CLK
*882 ANTENNA__0737__CLK
*883 ANTENNA__0738__CLK
*884 ANTENNA__0739__CLK
*885 ANTENNA__0740__CLK
*886 ANTENNA__0741__CLK
*887 ANTENNA__0742__CLK
*888 ANTENNA__0743__CLK
*889 ANTENNA__0744__CLK
*890 ANTENNA__0745__CLK
*891 ANTENNA__0746__CLK
*892 ANTENNA__0747__CLK
*893 ANTENNA__0748__CLK
*894 ANTENNA__0749__CLK
*895 ANTENNA__0750__CLK
*896 ANTENNA__0751__CLK
*897 ANTENNA__0752__CLK
*898 ANTENNA__0752__D
*899 ANTENNA__0753__CLK
*900 ANTENNA__0754__CLK
*901 ANTENNA__0756__CLK
*902 ANTENNA__0757__CLK
*903 ANTENNA__0758__CLK
*904 ANTENNA__0759__CLK
*905 ANTENNA__0760__CLK
*906 ANTENNA__0761__CLK
*907 ANTENNA__0762__CLK
*908 ANTENNA__0763__CLK
*909 ANTENNA__0764__CLK
*910 ANTENNA__0765__CLK
*911 ANTENNA__0766__CLK
*912 ANTENNA__0768__CLK
*913 ANTENNA__0769__CLK
*914 ANTENNA__0772__CLK
*915 ANTENNA__0773__CLK
*916 ANTENNA__0774__CLK
*917 ANTENNA__0775__CLK
*918 ANTENNA__0776__CLK
*919 ANTENNA__0777__CLK
*920 ANTENNA__0778__CLK
*921 ANTENNA__0779__CLK
*922 ANTENNA__0780__CLK
*923 ANTENNA__0781__CLK
*924 ANTENNA__0782__CLK
*925 ANTENNA__0783__CLK
*926 ANTENNA__0785__CLK
*927 ANTENNA__0787__CLK
*928 ANTENNA__0788__CLK
*929 ANTENNA__0789__CLK
*930 ANTENNA__0790__CLK
*931 ANTENNA__0791__CLK
*932 ANTENNA__0792__CLK
*933 ANTENNA__0794__CLK
*934 ANTENNA__0795__CLK
*935 ANTENNA__0796__CLK
*936 ANTENNA__0797__CLK
*937 ANTENNA__0798__CLK
*938 ANTENNA__0799__CLK
*939 ANTENNA__0800__CLK
*940 ANTENNA__0801__CLK
*941 ANTENNA__0803__CLK
*942 ANTENNA__0805__CLK
*943 ANTENNA__0806__CLK
*944 ANTENNA__0807__CLK
*945 ANTENNA__0808__CLK
*946 ANTENNA__0809__CLK
*947 ANTENNA__0810__CLK
*948 ANTENNA__0811__CLK
*949 ANTENNA__0812__CLK
*950 ANTENNA__0813__CLK
*951 ANTENNA__0814__CLK
*952 ANTENNA__0815__CLK
*953 ANTENNA__0816__CLK
*954 ANTENNA__0817__CLK
*955 ANTENNA__0818__CLK
*956 ANTENNA__0819__CLK
*957 ANTENNA__0820__CLK
*958 ANTENNA__0821__CLK
*959 ANTENNA__0822__CLK
*960 ANTENNA__0823__CLK
*961 ANTENNA__0824__CLK
*962 ANTENNA__0825__CLK
*963 ANTENNA__0826__CLK
*964 ANTENNA__0827__CLK
*965 ANTENNA__0828__CLK
*966 ANTENNA__0829__CLK
*967 ANTENNA__0830__CLK
*968 ANTENNA__0831__CLK
*969 ANTENNA__0832__CLK
*970 ANTENNA__0833__CLK
*971 ANTENNA__0834__CLK
*972 ANTENNA__0835__CLK
*973 ANTENNA__0836__CLK
*974 ANTENNA__0837__CLK
*975 ANTENNA__0838__CLK
*976 ANTENNA__0839__CLK
*977 ANTENNA__0840__CLK
*978 ANTENNA__0841__CLK
*979 ANTENNA__0842__CLK
*980 ANTENNA__0843__CLK
*981 ANTENNA__0844__CLK
*982 ANTENNA__0845__CLK
*983 ANTENNA__0846__CLK
*984 ANTENNA__0847__CLK
*985 ANTENNA__0848__CLK
*986 ANTENNA__0849__CLK
*987 ANTENNA__0850__CLK
*988 ANTENNA__0851__CLK
*989 ANTENNA__0852__CLK
*990 ANTENNA__0853__CLK
*991 ANTENNA__0855__CLK
*992 ANTENNA__0857__CLK
*993 ANTENNA__0858__CLK
*994 ANTENNA__0859__CLK
*995 ANTENNA__0860__CLK
*996 ANTENNA__0861__CLK
*997 ANTENNA__0865__CLK
*998 ANTENNA__0867__CLK
*999 ANTENNA__0868__CLK
*1000 ANTENNA__0869__CLK
*1001 ANTENNA__0870__CLK
*1002 ANTENNA__0871__CLK
*1003 ANTENNA__0872__CLK
*1004 ANTENNA__0873__CLK
*1005 ANTENNA__0874__CLK
*1006 ANTENNA__0875__CLK
*1007 ANTENNA__0876__CLK
*1008 ANTENNA__0877__CLK
*1009 ANTENNA__0878__CLK
*1010 ANTENNA__0879__CLK
*1011 ANTENNA__0880__CLK
*1012 ANTENNA__0881__CLK
*1013 ANTENNA__0882__CLK
*1014 ANTENNA__0886__CLK
*1015 ANTENNA__0887__CLK
*1016 ANTENNA__0888__CLK
*1017 ANTENNA__0889__CLK
*1018 ANTENNA__0890__CLK
*1019 ANTENNA__0891__CLK
*1020 ANTENNA__0892__CLK
*1021 ANTENNA__0893__CLK
*1022 ANTENNA__0894__CLK
*1023 ANTENNA__0895__CLK
*1024 ANTENNA__0896__CLK
*1025 ANTENNA__0898__CLK
*1026 ANTENNA__0899__CLK
*1027 ANTENNA__0902__CLK
*1028 ANTENNA__0903__CLK
*1029 ANTENNA__0904__CLK
*1030 ANTENNA__0905__CLK
*1031 ANTENNA__0906__CLK
*1032 ANTENNA__0907__CLK
*1033 ANTENNA__0908__CLK
*1034 ANTENNA__0909__CLK
*1035 ANTENNA__0910__CLK
*1036 ANTENNA__0911__CLK
*1037 ANTENNA__0912__CLK
*1038 ANTENNA__0913__CLK
*1039 ANTENNA__0914__CLK
*1040 ANTENNA__0915__CLK
*1041 ANTENNA__0916__CLK
*1042 ANTENNA__0917__CLK
*1043 ANTENNA__0918__CLK
*1044 ANTENNA__0919__CLK
*1045 ANTENNA__0920__CLK
*1046 ANTENNA__0921__CLK
*1047 ANTENNA__0922__CLK
*1048 ANTENNA__0923__CLK
*1049 ANTENNA__0924__CLK
*1050 ANTENNA__0926__CLK
*1051 ANTENNA__0932__CLK
*1052 ANTENNA__0933__CLK
*1053 ANTENNA__0934__CLK
*1054 ANTENNA__0935__CLK
*1055 ANTENNA__0937__CLK
*1056 ANTENNA__0938__CLK
*1057 ANTENNA__0939__CLK
*1058 ANTENNA__0940__CLK
*1059 ANTENNA__0941__CLK
*1060 ANTENNA__0942__CLK
*1061 ANTENNA__0943__CLK
*1062 ANTENNA__0944__CLK
*1063 ANTENNA__0945__CLK
*1064 ANTENNA__0946__CLK
*1065 ANTENNA__0947__CLK
*1066 ANTENNA__0948__CLK
*1067 ANTENNA__0949__CLK
*1068 ANTENNA__0950__CLK
*1069 ANTENNA__0951__CLK
*1070 ANTENNA__0952__CLK
*1071 ANTENNA__0953__CLK
*1072 ANTENNA__0954__CLK
*1073 ANTENNA__0955__CLK
*1074 ANTENNA__0956__CLK
*1075 ANTENNA__0957__CLK
*1076 ANTENNA__0958__CLK
*1077 ANTENNA__0959__CLK
*1078 ANTENNA__0960__CLK
*1079 ANTENNA__0961__CLK
*1080 ANTENNA__0962__CLK
*1081 ANTENNA__0963__CLK
*1082 ANTENNA__0964__CLK
*1083 ANTENNA__0965__CLK
*1084 ANTENNA__0966__CLK
*1085 ANTENNA__0967__CLK
*1086 ANTENNA__0969__CLK
*1087 ANTENNA__0970__CLK
*1088 ANTENNA__0974__CLK
*1089 ANTENNA__0975__CLK
*1090 ANTENNA__0976__CLK
*1091 ANTENNA__0977__CLK
*1092 ANTENNA__0978__CLK
*1093 ANTENNA__0979__CLK
*1094 ANTENNA__0983__CLK
*1095 ANTENNA__0985__CLK
*1096 ANTENNA__0986__CLK
*1097 ANTENNA__0987__CLK
*1098 ANTENNA__0988__CLK
*1099 ANTENNA__0990__CLK
*1100 ANTENNA__0991__CLK
*1101 ANTENNA__0992__CLK
*1102 ANTENNA__0993__CLK
*1103 ANTENNA__0994__CLK
*1104 ANTENNA__0995__CLK
*1105 ANTENNA__0996__CLK
*1106 ANTENNA__0997__CLK
*1107 ANTENNA__0998__CLK
*1108 ANTENNA__1000__CLK
*1109 ANTENNA__1001__CLK
*1110 ANTENNA__1002__CLK
*1111 ANTENNA__1003__CLK
*1112 ANTENNA__1004__CLK
*1113 ANTENNA__1005__CLK
*1114 ANTENNA__1006__CLK
*1115 ANTENNA__1007__CLK
*1116 ANTENNA__1008__CLK
*1117 ANTENNA__1009__CLK
*1118 ANTENNA__1010__CLK
*1119 ANTENNA__1011__CLK
*1120 ANTENNA__1012__CLK
*1121 ANTENNA__1013__CLK
*1122 ANTENNA__1014__CLK
*1123 ANTENNA_clkbuf_0_clk_I
*1124 ANTENNA_clkbuf_2_0__f_clk_I
*1125 ANTENNA_clkbuf_2_1__f_clk_I
*1126 ANTENNA_clkbuf_2_2__f_clk_I
*1127 ANTENNA_clkbuf_2_3__f_clk_I
*1128 ANTENNA_clkbuf_leaf_0_clk_I
*1129 ANTENNA_clkbuf_leaf_10_clk_I
*1130 ANTENNA_clkbuf_leaf_11_clk_I
*1131 ANTENNA_clkbuf_leaf_12_clk_I
*1132 ANTENNA_clkbuf_leaf_13_clk_I
*1133 ANTENNA_clkbuf_leaf_14_clk_I
*1134 ANTENNA_clkbuf_leaf_15_clk_I
*1135 ANTENNA_clkbuf_leaf_16_clk_I
*1136 ANTENNA_clkbuf_leaf_17_clk_I
*1137 ANTENNA_clkbuf_leaf_18_clk_I
*1138 ANTENNA_clkbuf_leaf_19_clk_I
*1139 ANTENNA_clkbuf_leaf_1_clk_I
*1140 ANTENNA_clkbuf_leaf_20_clk_I
*1141 ANTENNA_clkbuf_leaf_21_clk_I
*1142 ANTENNA_clkbuf_leaf_22_clk_I
*1143 ANTENNA_clkbuf_leaf_23_clk_I
*1144 ANTENNA_clkbuf_leaf_24_clk_I
*1145 ANTENNA_clkbuf_leaf_25_clk_I
*1146 ANTENNA_clkbuf_leaf_26_clk_I
*1147 ANTENNA_clkbuf_leaf_27_clk_I
*1148 ANTENNA_clkbuf_leaf_29_clk_I
*1149 ANTENNA_clkbuf_leaf_2_clk_I
*1150 ANTENNA_clkbuf_leaf_30_clk_I
*1151 ANTENNA_clkbuf_leaf_31_clk_I
*1152 ANTENNA_clkbuf_leaf_32_clk_I
*1153 ANTENNA_clkbuf_leaf_33_clk_I
*1154 ANTENNA_clkbuf_leaf_34_clk_I
*1155 ANTENNA_clkbuf_leaf_35_clk_I
*1156 ANTENNA_clkbuf_leaf_36_clk_I
*1157 ANTENNA_clkbuf_leaf_37_clk_I
*1158 ANTENNA_clkbuf_leaf_38_clk_I
*1159 ANTENNA_clkbuf_leaf_39_clk_I
*1160 ANTENNA_clkbuf_leaf_3_clk_I
*1161 ANTENNA_clkbuf_leaf_40_clk_I
*1162 ANTENNA_clkbuf_leaf_41_clk_I
*1163 ANTENNA_clkbuf_leaf_42_clk_I
*1164 ANTENNA_clkbuf_leaf_43_clk_I
*1165 ANTENNA_clkbuf_leaf_44_clk_I
*1166 ANTENNA_clkbuf_leaf_45_clk_I
*1167 ANTENNA_clkbuf_leaf_46_clk_I
*1168 ANTENNA_clkbuf_leaf_47_clk_I
*1169 ANTENNA_clkbuf_leaf_48_clk_I
*1170 ANTENNA_clkbuf_leaf_49_clk_I
*1171 ANTENNA_clkbuf_leaf_4_clk_I
*1172 ANTENNA_clkbuf_leaf_50_clk_I
*1173 ANTENNA_clkbuf_leaf_5_clk_I
*1174 ANTENNA_clkbuf_leaf_6_clk_I
*1175 ANTENNA_clkbuf_leaf_7_clk_I
*1176 ANTENNA_clkbuf_leaf_8_clk_I
*1177 ANTENNA_clkbuf_leaf_9_clk_I
*1178 ANTENNA_output8_I
*1179 FILLER_0_104
*1180 FILLER_0_107
*1181 FILLER_0_139
*1182 FILLER_0_142
*1183 FILLER_0_17
*1184 FILLER_0_174
*1185 FILLER_0_177
*1186 FILLER_0_195
*1187 FILLER_0_2
*1188 FILLER_0_203
*1189 FILLER_0_207
*1190 FILLER_0_209
*1191 FILLER_0_212
*1192 FILLER_0_244
*1193 FILLER_0_247
*1194 FILLER_0_279
*1195 FILLER_0_282
*1196 FILLER_0_314
*1197 FILLER_0_317
*1198 FILLER_0_33
*1199 FILLER_0_349
*1200 FILLER_0_352
*1201 FILLER_0_37
*1202 FILLER_0_384
*1203 FILLER_0_387
*1204 FILLER_0_402
*1205 FILLER_0_418
*1206 FILLER_0_422
*1207 FILLER_0_454
*1208 FILLER_0_457
*1209 FILLER_0_489
*1210 FILLER_0_492
*1211 FILLER_0_508
*1212 FILLER_0_69
*1213 FILLER_0_72
*1214 FILLER_10_105
*1215 FILLER_10_108
*1216 FILLER_10_112
*1217 FILLER_10_115
*1218 FILLER_10_117
*1219 FILLER_10_168
*1220 FILLER_10_172
*1221 FILLER_10_176
*1222 FILLER_10_179
*1223 FILLER_10_189
*1224 FILLER_10_193
*1225 FILLER_10_195
*1226 FILLER_10_2
*1227 FILLER_10_212
*1228 FILLER_10_243
*1229 FILLER_10_247
*1230 FILLER_10_250
*1231 FILLER_10_258
*1232 FILLER_10_309
*1233 FILLER_10_313
*1234 FILLER_10_317
*1235 FILLER_10_321
*1236 FILLER_10_335
*1237 FILLER_10_339
*1238 FILLER_10_34
*1239 FILLER_10_37
*1240 FILLER_10_371
*1241 FILLER_10_387
*1242 FILLER_10_389
*1243 FILLER_10_392
*1244 FILLER_10_404
*1245 FILLER_10_413
*1246 FILLER_10_415
*1247 FILLER_10_445
*1248 FILLER_10_449
*1249 FILLER_10_457
*1250 FILLER_10_463
*1251 FILLER_10_474
*1252 FILLER_10_480
*1253 FILLER_10_496
*1254 FILLER_10_504
*1255 FILLER_10_508
*1256 FILLER_10_67
*1257 FILLER_10_69
*1258 FILLER_10_72
*1259 FILLER_10_74
*1260 FILLER_10_81
*1261 FILLER_10_85
*1262 FILLER_10_93
*1263 FILLER_10_96
*1264 FILLER_11_113
*1265 FILLER_11_131
*1266 FILLER_11_139
*1267 FILLER_11_141
*1268 FILLER_11_144
*1269 FILLER_11_160
*1270 FILLER_11_164
*1271 FILLER_11_18
*1272 FILLER_11_194
*1273 FILLER_11_198
*1274 FILLER_11_2
*1275 FILLER_11_207
*1276 FILLER_11_211
*1277 FILLER_11_215
*1278 FILLER_11_219
*1279 FILLER_11_223
*1280 FILLER_11_231
*1281 FILLER_11_235
*1282 FILLER_11_245
*1283 FILLER_11_276
*1284 FILLER_11_286
*1285 FILLER_11_288
*1286 FILLER_11_293
*1287 FILLER_11_309
*1288 FILLER_11_313
*1289 FILLER_11_315
*1290 FILLER_11_345
*1291 FILLER_11_349
*1292 FILLER_11_353
*1293 FILLER_11_357
*1294 FILLER_11_387
*1295 FILLER_11_391
*1296 FILLER_11_422
*1297 FILLER_11_428
*1298 FILLER_11_433
*1299 FILLER_11_437
*1300 FILLER_11_439
*1301 FILLER_11_469
*1302 FILLER_11_477
*1303 FILLER_11_481
*1304 FILLER_11_499
*1305 FILLER_11_507
*1306 FILLER_11_70
*1307 FILLER_11_73
*1308 FILLER_11_82
*1309 FILLER_12_105
*1310 FILLER_12_108
*1311 FILLER_12_112
*1312 FILLER_12_115
*1313 FILLER_12_123
*1314 FILLER_12_125
*1315 FILLER_12_130
*1316 FILLER_12_134
*1317 FILLER_12_140
*1318 FILLER_12_144
*1319 FILLER_12_152
*1320 FILLER_12_154
*1321 FILLER_12_167
*1322 FILLER_12_175
*1323 FILLER_12_179
*1324 FILLER_12_184
*1325 FILLER_12_2
*1326 FILLER_12_206
*1327 FILLER_12_222
*1328 FILLER_12_225
*1329 FILLER_12_233
*1330 FILLER_12_245
*1331 FILLER_12_247
*1332 FILLER_12_250
*1333 FILLER_12_283
*1334 FILLER_12_314
*1335 FILLER_12_318
*1336 FILLER_12_321
*1337 FILLER_12_329
*1338 FILLER_12_333
*1339 FILLER_12_34
*1340 FILLER_12_364
*1341 FILLER_12_37
*1342 FILLER_12_372
*1343 FILLER_12_376
*1344 FILLER_12_378
*1345 FILLER_12_383
*1346 FILLER_12_387
*1347 FILLER_12_389
*1348 FILLER_12_392
*1349 FILLER_12_422
*1350 FILLER_12_426
*1351 FILLER_12_44
*1352 FILLER_12_458
*1353 FILLER_12_460
*1354 FILLER_12_463
*1355 FILLER_12_473
*1356 FILLER_12_505
*1357 FILLER_12_55
*1358 FILLER_12_59
*1359 FILLER_12_63
*1360 FILLER_12_65
*1361 FILLER_12_74
*1362 FILLER_12_82
*1363 FILLER_12_91
*1364 FILLER_13_10
*1365 FILLER_13_108
*1366 FILLER_13_14
*1367 FILLER_13_141
*1368 FILLER_13_144
*1369 FILLER_13_150
*1370 FILLER_13_16
*1371 FILLER_13_166
*1372 FILLER_13_199
*1373 FILLER_13_2
*1374 FILLER_13_203
*1375 FILLER_13_211
*1376 FILLER_13_215
*1377 FILLER_13_223
*1378 FILLER_13_275
*1379 FILLER_13_283
*1380 FILLER_13_286
*1381 FILLER_13_289
*1382 FILLER_13_297
*1383 FILLER_13_301
*1384 FILLER_13_332
*1385 FILLER_13_336
*1386 FILLER_13_348
*1387 FILLER_13_352
*1388 FILLER_13_354
*1389 FILLER_13_357
*1390 FILLER_13_361
*1391 FILLER_13_413
*1392 FILLER_13_417
*1393 FILLER_13_425
*1394 FILLER_13_428
*1395 FILLER_13_46
*1396 FILLER_13_460
*1397 FILLER_13_471
*1398 FILLER_13_487
*1399 FILLER_13_495
*1400 FILLER_13_499
*1401 FILLER_13_507
*1402 FILLER_13_52
*1403 FILLER_13_56
*1404 FILLER_13_70
*1405 FILLER_13_73
*1406 FILLER_13_92
*1407 FILLER_14_108
*1408 FILLER_14_124
*1409 FILLER_14_132
*1410 FILLER_14_135
*1411 FILLER_14_166
*1412 FILLER_14_170
*1413 FILLER_14_176
*1414 FILLER_14_179
*1415 FILLER_14_2
*1416 FILLER_14_210
*1417 FILLER_14_241
*1418 FILLER_14_245
*1419 FILLER_14_247
*1420 FILLER_14_250
*1421 FILLER_14_254
*1422 FILLER_14_259
*1423 FILLER_14_267
*1424 FILLER_14_271
*1425 FILLER_14_301
*1426 FILLER_14_305
*1427 FILLER_14_309
*1428 FILLER_14_315
*1429 FILLER_14_321
*1430 FILLER_14_325
*1431 FILLER_14_34
*1432 FILLER_14_37
*1433 FILLER_14_377
*1434 FILLER_14_381
*1435 FILLER_14_389
*1436 FILLER_14_392
*1437 FILLER_14_396
*1438 FILLER_14_398
*1439 FILLER_14_403
*1440 FILLER_14_407
*1441 FILLER_14_409
*1442 FILLER_14_439
*1443 FILLER_14_443
*1444 FILLER_14_459
*1445 FILLER_14_463
*1446 FILLER_14_493
*1447 FILLER_14_497
*1448 FILLER_14_505
*1449 FILLER_14_53
*1450 FILLER_14_86
*1451 FILLER_14_90
*1452 FILLER_15_133
*1453 FILLER_15_137
*1454 FILLER_15_141
*1455 FILLER_15_144
*1456 FILLER_15_152
*1457 FILLER_15_158
*1458 FILLER_15_2
*1459 FILLER_15_210
*1460 FILLER_15_212
*1461 FILLER_15_215
*1462 FILLER_15_219
*1463 FILLER_15_249
*1464 FILLER_15_281
*1465 FILLER_15_283
*1466 FILLER_15_286
*1467 FILLER_15_302
*1468 FILLER_15_333
*1469 FILLER_15_337
*1470 FILLER_15_353
*1471 FILLER_15_357
*1472 FILLER_15_361
*1473 FILLER_15_367
*1474 FILLER_15_383
*1475 FILLER_15_391
*1476 FILLER_15_393
*1477 FILLER_15_404
*1478 FILLER_15_412
*1479 FILLER_15_416
*1480 FILLER_15_418
*1481 FILLER_15_423
*1482 FILLER_15_425
*1483 FILLER_15_428
*1484 FILLER_15_458
*1485 FILLER_15_462
*1486 FILLER_15_466
*1487 FILLER_15_468
*1488 FILLER_15_473
*1489 FILLER_15_489
*1490 FILLER_15_499
*1491 FILLER_15_507
*1492 FILLER_15_66
*1493 FILLER_15_70
*1494 FILLER_15_73
*1495 FILLER_15_75
*1496 FILLER_15_80
*1497 FILLER_15_96
*1498 FILLER_16_100
*1499 FILLER_16_104
*1500 FILLER_16_108
*1501 FILLER_16_119
*1502 FILLER_16_127
*1503 FILLER_16_131
*1504 FILLER_16_133
*1505 FILLER_16_163
*1506 FILLER_16_167
*1507 FILLER_16_175
*1508 FILLER_16_179
*1509 FILLER_16_18
*1510 FILLER_16_195
*1511 FILLER_16_2
*1512 FILLER_16_203
*1513 FILLER_16_219
*1514 FILLER_16_227
*1515 FILLER_16_233
*1516 FILLER_16_241
*1517 FILLER_16_245
*1518 FILLER_16_247
*1519 FILLER_16_250
*1520 FILLER_16_254
*1521 FILLER_16_26
*1522 FILLER_16_284
*1523 FILLER_16_290
*1524 FILLER_16_294
*1525 FILLER_16_31
*1526 FILLER_16_310
*1527 FILLER_16_316
*1528 FILLER_16_318
*1529 FILLER_16_321
*1530 FILLER_16_331
*1531 FILLER_16_362
*1532 FILLER_16_366
*1533 FILLER_16_37
*1534 FILLER_16_374
*1535 FILLER_16_378
*1536 FILLER_16_383
*1537 FILLER_16_389
*1538 FILLER_16_392
*1539 FILLER_16_40
*1540 FILLER_16_422
*1541 FILLER_16_424
*1542 FILLER_16_429
*1543 FILLER_16_460
*1544 FILLER_16_463
*1545 FILLER_16_468
*1546 FILLER_16_500
*1547 FILLER_16_508
*1548 FILLER_16_56
*1549 FILLER_16_93
*1550 FILLER_16_95
*1551 FILLER_17_117
*1552 FILLER_17_123
*1553 FILLER_17_139
*1554 FILLER_17_141
*1555 FILLER_17_144
*1556 FILLER_17_149
*1557 FILLER_17_157
*1558 FILLER_17_188
*1559 FILLER_17_192
*1560 FILLER_17_194
*1561 FILLER_17_2
*1562 FILLER_17_203
*1563 FILLER_17_211
*1564 FILLER_17_215
*1565 FILLER_17_217
*1566 FILLER_17_220
*1567 FILLER_17_228
*1568 FILLER_17_232
*1569 FILLER_17_236
*1570 FILLER_17_267
*1571 FILLER_17_273
*1572 FILLER_17_281
*1573 FILLER_17_283
*1574 FILLER_17_286
*1575 FILLER_17_305
*1576 FILLER_17_313
*1577 FILLER_17_315
*1578 FILLER_17_318
*1579 FILLER_17_336
*1580 FILLER_17_340
*1581 FILLER_17_345
*1582 FILLER_17_35
*1583 FILLER_17_353
*1584 FILLER_17_357
*1585 FILLER_17_365
*1586 FILLER_17_367
*1587 FILLER_17_397
*1588 FILLER_17_401
*1589 FILLER_17_417
*1590 FILLER_17_421
*1591 FILLER_17_425
*1592 FILLER_17_428
*1593 FILLER_17_479
*1594 FILLER_17_495
*1595 FILLER_17_499
*1596 FILLER_17_507
*1597 FILLER_17_66
*1598 FILLER_17_70
*1599 FILLER_17_73
*1600 FILLER_17_78
*1601 FILLER_17_82
*1602 FILLER_17_86
*1603 FILLER_18_105
*1604 FILLER_18_108
*1605 FILLER_18_111
*1606 FILLER_18_127
*1607 FILLER_18_135
*1608 FILLER_18_139
*1609 FILLER_18_143
*1610 FILLER_18_151
*1611 FILLER_18_159
*1612 FILLER_18_171
*1613 FILLER_18_175
*1614 FILLER_18_179
*1615 FILLER_18_187
*1616 FILLER_18_2
*1617 FILLER_18_218
*1618 FILLER_18_222
*1619 FILLER_18_227
*1620 FILLER_18_243
*1621 FILLER_18_247
*1622 FILLER_18_250
*1623 FILLER_18_255
*1624 FILLER_18_287
*1625 FILLER_18_295
*1626 FILLER_18_299
*1627 FILLER_18_304
*1628 FILLER_18_312
*1629 FILLER_18_316
*1630 FILLER_18_318
*1631 FILLER_18_321
*1632 FILLER_18_337
*1633 FILLER_18_34
*1634 FILLER_18_345
*1635 FILLER_18_349
*1636 FILLER_18_351
*1637 FILLER_18_356
*1638 FILLER_18_37
*1639 FILLER_18_387
*1640 FILLER_18_389
*1641 FILLER_18_392
*1642 FILLER_18_422
*1643 FILLER_18_426
*1644 FILLER_18_442
*1645 FILLER_18_450
*1646 FILLER_18_454
*1647 FILLER_18_460
*1648 FILLER_18_463
*1649 FILLER_18_49
*1650 FILLER_18_496
*1651 FILLER_18_500
*1652 FILLER_18_508
*1653 FILLER_18_53
*1654 FILLER_19_110
*1655 FILLER_19_141
*1656 FILLER_19_144
*1657 FILLER_19_175
*1658 FILLER_19_179
*1659 FILLER_19_191
*1660 FILLER_19_195
*1661 FILLER_19_2
*1662 FILLER_19_201
*1663 FILLER_19_209
*1664 FILLER_19_215
*1665 FILLER_19_245
*1666 FILLER_19_249
*1667 FILLER_19_265
*1668 FILLER_19_273
*1669 FILLER_19_281
*1670 FILLER_19_283
*1671 FILLER_19_286
*1672 FILLER_19_290
*1673 FILLER_19_320
*1674 FILLER_19_324
*1675 FILLER_19_332
*1676 FILLER_19_338
*1677 FILLER_19_346
*1678 FILLER_19_350
*1679 FILLER_19_354
*1680 FILLER_19_357
*1681 FILLER_19_36
*1682 FILLER_19_411
*1683 FILLER_19_419
*1684 FILLER_19_423
*1685 FILLER_19_425
*1686 FILLER_19_428
*1687 FILLER_19_458
*1688 FILLER_19_460
*1689 FILLER_19_471
*1690 FILLER_19_479
*1691 FILLER_19_495
*1692 FILLER_19_499
*1693 FILLER_19_507
*1694 FILLER_19_52
*1695 FILLER_19_68
*1696 FILLER_19_70
*1697 FILLER_19_73
*1698 FILLER_19_81
*1699 FILLER_19_86
*1700 FILLER_19_94
*1701 FILLER_19_98
*1702 FILLER_1_137
*1703 FILLER_1_141
*1704 FILLER_1_144
*1705 FILLER_1_2
*1706 FILLER_1_208
*1707 FILLER_1_212
*1708 FILLER_1_215
*1709 FILLER_1_279
*1710 FILLER_1_283
*1711 FILLER_1_286
*1712 FILLER_1_350
*1713 FILLER_1_354
*1714 FILLER_1_357
*1715 FILLER_1_421
*1716 FILLER_1_425
*1717 FILLER_1_428
*1718 FILLER_1_492
*1719 FILLER_1_496
*1720 FILLER_1_499
*1721 FILLER_1_507
*1722 FILLER_1_66
*1723 FILLER_1_70
*1724 FILLER_1_73
*1725 FILLER_20_102
*1726 FILLER_20_108
*1727 FILLER_20_111
*1728 FILLER_20_119
*1729 FILLER_20_123
*1730 FILLER_20_174
*1731 FILLER_20_176
*1732 FILLER_20_179
*1733 FILLER_20_182
*1734 FILLER_20_190
*1735 FILLER_20_192
*1736 FILLER_20_195
*1737 FILLER_20_2
*1738 FILLER_20_247
*1739 FILLER_20_250
*1740 FILLER_20_258
*1741 FILLER_20_289
*1742 FILLER_20_293
*1743 FILLER_20_309
*1744 FILLER_20_317
*1745 FILLER_20_321
*1746 FILLER_20_325
*1747 FILLER_20_34
*1748 FILLER_20_355
*1749 FILLER_20_359
*1750 FILLER_20_37
*1751 FILLER_20_375
*1752 FILLER_20_383
*1753 FILLER_20_387
*1754 FILLER_20_389
*1755 FILLER_20_392
*1756 FILLER_20_4
*1757 FILLER_20_400
*1758 FILLER_20_405
*1759 FILLER_20_421
*1760 FILLER_20_452
*1761 FILLER_20_460
*1762 FILLER_20_463
*1763 FILLER_20_493
*1764 FILLER_20_497
*1765 FILLER_20_505
*1766 FILLER_20_53
*1767 FILLER_20_69
*1768 FILLER_21_102
*1769 FILLER_21_110
*1770 FILLER_21_140
*1771 FILLER_21_144
*1772 FILLER_21_155
*1773 FILLER_21_159
*1774 FILLER_21_165
*1775 FILLER_21_169
*1776 FILLER_21_171
*1777 FILLER_21_174
*1778 FILLER_21_2
*1779 FILLER_21_205
*1780 FILLER_21_209
*1781 FILLER_21_215
*1782 FILLER_21_218
*1783 FILLER_21_226
*1784 FILLER_21_230
*1785 FILLER_21_236
*1786 FILLER_21_256
*1787 FILLER_21_260
*1788 FILLER_21_262
*1789 FILLER_21_269
*1790 FILLER_21_277
*1791 FILLER_21_281
*1792 FILLER_21_283
*1793 FILLER_21_286
*1794 FILLER_21_290
*1795 FILLER_21_296
*1796 FILLER_21_327
*1797 FILLER_21_347
*1798 FILLER_21_35
*1799 FILLER_21_353
*1800 FILLER_21_357
*1801 FILLER_21_373
*1802 FILLER_21_381
*1803 FILLER_21_389
*1804 FILLER_21_419
*1805 FILLER_21_423
*1806 FILLER_21_425
*1807 FILLER_21_428
*1808 FILLER_21_436
*1809 FILLER_21_442
*1810 FILLER_21_446
*1811 FILLER_21_476
*1812 FILLER_21_480
*1813 FILLER_21_496
*1814 FILLER_21_499
*1815 FILLER_21_507
*1816 FILLER_21_66
*1817 FILLER_21_70
*1818 FILLER_21_73
*1819 FILLER_21_79
*1820 FILLER_21_81
*1821 FILLER_21_86
*1822 FILLER_22_10
*1823 FILLER_22_100
*1824 FILLER_22_104
*1825 FILLER_22_108
*1826 FILLER_22_116
*1827 FILLER_22_118
*1828 FILLER_22_123
*1829 FILLER_22_129
*1830 FILLER_22_137
*1831 FILLER_22_139
*1832 FILLER_22_14
*1833 FILLER_22_142
*1834 FILLER_22_146
*1835 FILLER_22_176
*1836 FILLER_22_179
*1837 FILLER_22_185
*1838 FILLER_22_19
*1839 FILLER_22_2
*1840 FILLER_22_216
*1841 FILLER_22_247
*1842 FILLER_22_25
*1843 FILLER_22_250
*1844 FILLER_22_280
*1845 FILLER_22_284
*1846 FILLER_22_286
*1847 FILLER_22_316
*1848 FILLER_22_318
*1849 FILLER_22_321
*1850 FILLER_22_326
*1851 FILLER_22_33
*1852 FILLER_22_330
*1853 FILLER_22_334
*1854 FILLER_22_336
*1855 FILLER_22_366
*1856 FILLER_22_37
*1857 FILLER_22_370
*1858 FILLER_22_386
*1859 FILLER_22_392
*1860 FILLER_22_395
*1861 FILLER_22_40
*1862 FILLER_22_403
*1863 FILLER_22_411
*1864 FILLER_22_44
*1865 FILLER_22_444
*1866 FILLER_22_448
*1867 FILLER_22_456
*1868 FILLER_22_460
*1869 FILLER_22_463
*1870 FILLER_22_49
*1871 FILLER_22_495
*1872 FILLER_22_503
*1873 FILLER_22_507
*1874 FILLER_22_65
*1875 FILLER_22_96
*1876 FILLER_23_103
*1877 FILLER_23_107
*1878 FILLER_23_111
*1879 FILLER_23_113
*1880 FILLER_23_116
*1881 FILLER_23_132
*1882 FILLER_23_140
*1883 FILLER_23_144
*1884 FILLER_23_160
*1885 FILLER_23_168
*1886 FILLER_23_17
*1887 FILLER_23_172
*1888 FILLER_23_176
*1889 FILLER_23_180
*1890 FILLER_23_184
*1891 FILLER_23_189
*1892 FILLER_23_197
*1893 FILLER_23_199
*1894 FILLER_23_2
*1895 FILLER_23_210
*1896 FILLER_23_212
*1897 FILLER_23_215
*1898 FILLER_23_220
*1899 FILLER_23_228
*1900 FILLER_23_232
*1901 FILLER_23_25
*1902 FILLER_23_262
*1903 FILLER_23_278
*1904 FILLER_23_282
*1905 FILLER_23_286
*1906 FILLER_23_29
*1907 FILLER_23_31
*1908 FILLER_23_337
*1909 FILLER_23_34
*1910 FILLER_23_341
*1911 FILLER_23_349
*1912 FILLER_23_353
*1913 FILLER_23_357
*1914 FILLER_23_360
*1915 FILLER_23_362
*1916 FILLER_23_392
*1917 FILLER_23_402
*1918 FILLER_23_404
*1919 FILLER_23_413
*1920 FILLER_23_421
*1921 FILLER_23_425
*1922 FILLER_23_428
*1923 FILLER_23_436
*1924 FILLER_23_440
*1925 FILLER_23_444
*1926 FILLER_23_496
*1927 FILLER_23_499
*1928 FILLER_23_50
*1929 FILLER_23_507
*1930 FILLER_23_56
*1931 FILLER_23_64
*1932 FILLER_23_68
*1933 FILLER_23_70
*1934 FILLER_23_73
*1935 FILLER_24_103
*1936 FILLER_24_105
*1937 FILLER_24_108
*1938 FILLER_24_111
*1939 FILLER_24_115
*1940 FILLER_24_145
*1941 FILLER_24_176
*1942 FILLER_24_179
*1943 FILLER_24_2
*1944 FILLER_24_209
*1945 FILLER_24_217
*1946 FILLER_24_247
*1947 FILLER_24_250
*1948 FILLER_24_258
*1949 FILLER_24_262
*1950 FILLER_24_293
*1951 FILLER_24_299
*1952 FILLER_24_303
*1953 FILLER_24_32
*1954 FILLER_24_321
*1955 FILLER_24_34
*1956 FILLER_24_354
*1957 FILLER_24_364
*1958 FILLER_24_368
*1959 FILLER_24_37
*1960 FILLER_24_384
*1961 FILLER_24_388
*1962 FILLER_24_392
*1963 FILLER_24_396
*1964 FILLER_24_398
*1965 FILLER_24_410
*1966 FILLER_24_420
*1967 FILLER_24_436
*1968 FILLER_24_454
*1969 FILLER_24_458
*1970 FILLER_24_460
*1971 FILLER_24_463
*1972 FILLER_24_495
*1973 FILLER_24_503
*1974 FILLER_24_507
*1975 FILLER_24_88
*1976 FILLER_24_92
*1977 FILLER_25_128
*1978 FILLER_25_134
*1979 FILLER_25_138
*1980 FILLER_25_144
*1981 FILLER_25_195
*1982 FILLER_25_199
*1983 FILLER_25_2
*1984 FILLER_25_207
*1985 FILLER_25_211
*1986 FILLER_25_215
*1987 FILLER_25_225
*1988 FILLER_25_277
*1989 FILLER_25_281
*1990 FILLER_25_283
*1991 FILLER_25_286
*1992 FILLER_25_316
*1993 FILLER_25_324
*1994 FILLER_25_354
*1995 FILLER_25_357
*1996 FILLER_25_39
*1997 FILLER_25_408
*1998 FILLER_25_412
*1999 FILLER_25_416
*2000 FILLER_25_418
*2001 FILLER_25_425
*2002 FILLER_25_428
*2003 FILLER_25_442
*2004 FILLER_25_452
*2005 FILLER_25_462
*2006 FILLER_25_494
*2007 FILLER_25_496
*2008 FILLER_25_499
*2009 FILLER_25_507
*2010 FILLER_25_70
*2011 FILLER_25_73
*2012 FILLER_25_76
*2013 FILLER_25_78
*2014 FILLER_25_83
*2015 FILLER_25_87
*2016 FILLER_25_90
*2017 FILLER_25_94
*2018 FILLER_25_97
*2019 FILLER_26_105
*2020 FILLER_26_108
*2021 FILLER_26_138
*2022 FILLER_26_142
*2023 FILLER_26_150
*2024 FILLER_26_154
*2025 FILLER_26_160
*2026 FILLER_26_176
*2027 FILLER_26_179
*2028 FILLER_26_18
*2029 FILLER_26_195
*2030 FILLER_26_199
*2031 FILLER_26_2
*2032 FILLER_26_229
*2033 FILLER_26_235
*2034 FILLER_26_239
*2035 FILLER_26_245
*2036 FILLER_26_247
*2037 FILLER_26_250
*2038 FILLER_26_26
*2039 FILLER_26_261
*2040 FILLER_26_265
*2041 FILLER_26_278
*2042 FILLER_26_284
*2043 FILLER_26_292
*2044 FILLER_26_303
*2045 FILLER_26_32
*2046 FILLER_26_321
*2047 FILLER_26_34
*2048 FILLER_26_351
*2049 FILLER_26_357
*2050 FILLER_26_359
*2051 FILLER_26_37
*2052 FILLER_26_389
*2053 FILLER_26_392
*2054 FILLER_26_403
*2055 FILLER_26_41
*2056 FILLER_26_411
*2057 FILLER_26_415
*2058 FILLER_26_422
*2059 FILLER_26_438
*2060 FILLER_26_442
*2061 FILLER_26_450
*2062 FILLER_26_460
*2063 FILLER_26_463
*2064 FILLER_26_495
*2065 FILLER_26_503
*2066 FILLER_26_507
*2067 FILLER_26_57
*2068 FILLER_26_65
*2069 FILLER_26_69
*2070 FILLER_26_99
*2071 FILLER_27_140
*2072 FILLER_27_144
*2073 FILLER_27_149
*2074 FILLER_27_153
*2075 FILLER_27_184
*2076 FILLER_27_188
*2077 FILLER_27_2
*2078 FILLER_27_204
*2079 FILLER_27_212
*2080 FILLER_27_215
*2081 FILLER_27_220
*2082 FILLER_27_228
*2083 FILLER_27_230
*2084 FILLER_27_260
*2085 FILLER_27_276
*2086 FILLER_27_286
*2087 FILLER_27_302
*2088 FILLER_27_339
*2089 FILLER_27_34
*2090 FILLER_27_345
*2091 FILLER_27_353
*2092 FILLER_27_357
*2093 FILLER_27_391
*2094 FILLER_27_399
*2095 FILLER_27_415
*2096 FILLER_27_42
*2097 FILLER_27_425
*2098 FILLER_27_428
*2099 FILLER_27_435
*2100 FILLER_27_439
*2101 FILLER_27_453
*2102 FILLER_27_46
*2103 FILLER_27_48
*2104 FILLER_27_484
*2105 FILLER_27_488
*2106 FILLER_27_496
*2107 FILLER_27_499
*2108 FILLER_27_507
*2109 FILLER_27_55
*2110 FILLER_27_73
*2111 FILLER_27_89
*2112 FILLER_28_108
*2113 FILLER_28_113
*2114 FILLER_28_121
*2115 FILLER_28_125
*2116 FILLER_28_156
*2117 FILLER_28_164
*2118 FILLER_28_176
*2119 FILLER_28_179
*2120 FILLER_28_183
*2121 FILLER_28_2
*2122 FILLER_28_214
*2123 FILLER_28_218
*2124 FILLER_28_234
*2125 FILLER_28_238
*2126 FILLER_28_243
*2127 FILLER_28_247
*2128 FILLER_28_250
*2129 FILLER_28_266
*2130 FILLER_28_296
*2131 FILLER_28_300
*2132 FILLER_28_316
*2133 FILLER_28_318
*2134 FILLER_28_321
*2135 FILLER_28_326
*2136 FILLER_28_332
*2137 FILLER_28_34
*2138 FILLER_28_340
*2139 FILLER_28_37
*2140 FILLER_28_373
*2141 FILLER_28_377
*2142 FILLER_28_381
*2143 FILLER_28_389
*2144 FILLER_28_392
*2145 FILLER_28_406
*2146 FILLER_28_41
*2147 FILLER_28_414
*2148 FILLER_28_418
*2149 FILLER_28_425
*2150 FILLER_28_436
*2151 FILLER_28_440
*2152 FILLER_28_458
*2153 FILLER_28_460
*2154 FILLER_28_463
*2155 FILLER_28_495
*2156 FILLER_28_50
*2157 FILLER_28_503
*2158 FILLER_28_507
*2159 FILLER_28_54
*2160 FILLER_28_56
*2161 FILLER_28_86
*2162 FILLER_28_90
*2163 FILLER_29_10
*2164 FILLER_29_125
*2165 FILLER_29_14
*2166 FILLER_29_141
*2167 FILLER_29_144
*2168 FILLER_29_16
*2169 FILLER_29_174
*2170 FILLER_29_178
*2171 FILLER_29_2
*2172 FILLER_29_208
*2173 FILLER_29_212
*2174 FILLER_29_215
*2175 FILLER_29_231
*2176 FILLER_29_239
*2177 FILLER_29_270
*2178 FILLER_29_274
*2179 FILLER_29_280
*2180 FILLER_29_286
*2181 FILLER_29_291
*2182 FILLER_29_299
*2183 FILLER_29_303
*2184 FILLER_29_354
*2185 FILLER_29_357
*2186 FILLER_29_368
*2187 FILLER_29_372
*2188 FILLER_29_374
*2189 FILLER_29_404
*2190 FILLER_29_408
*2191 FILLER_29_414
*2192 FILLER_29_424
*2193 FILLER_29_428
*2194 FILLER_29_439
*2195 FILLER_29_443
*2196 FILLER_29_449
*2197 FILLER_29_457
*2198 FILLER_29_46
*2199 FILLER_29_461
*2200 FILLER_29_464
*2201 FILLER_29_472
*2202 FILLER_29_476
*2203 FILLER_29_491
*2204 FILLER_29_495
*2205 FILLER_29_499
*2206 FILLER_29_50
*2207 FILLER_29_507
*2208 FILLER_29_60
*2209 FILLER_29_70
*2210 FILLER_29_73
*2211 FILLER_29_80
*2212 FILLER_29_88
*2213 FILLER_29_93
*2214 FILLER_2_101
*2215 FILLER_2_105
*2216 FILLER_2_108
*2217 FILLER_2_172
*2218 FILLER_2_176
*2219 FILLER_2_179
*2220 FILLER_2_2
*2221 FILLER_2_243
*2222 FILLER_2_247
*2223 FILLER_2_250
*2224 FILLER_2_314
*2225 FILLER_2_318
*2226 FILLER_2_321
*2227 FILLER_2_337
*2228 FILLER_2_34
*2229 FILLER_2_345
*2230 FILLER_2_347
*2231 FILLER_2_37
*2232 FILLER_2_377
*2233 FILLER_2_381
*2234 FILLER_2_389
*2235 FILLER_2_392
*2236 FILLER_2_456
*2237 FILLER_2_460
*2238 FILLER_2_463
*2239 FILLER_2_471
*2240 FILLER_2_475
*2241 FILLER_2_491
*2242 FILLER_2_507
*2243 FILLER_30_105
*2244 FILLER_30_108
*2245 FILLER_30_111
*2246 FILLER_30_115
*2247 FILLER_30_127
*2248 FILLER_30_143
*2249 FILLER_30_147
*2250 FILLER_30_153
*2251 FILLER_30_169
*2252 FILLER_30_173
*2253 FILLER_30_176
*2254 FILLER_30_179
*2255 FILLER_30_187
*2256 FILLER_30_191
*2257 FILLER_30_193
*2258 FILLER_30_198
*2259 FILLER_30_2
*2260 FILLER_30_206
*2261 FILLER_30_237
*2262 FILLER_30_241
*2263 FILLER_30_245
*2264 FILLER_30_247
*2265 FILLER_30_250
*2266 FILLER_30_266
*2267 FILLER_30_274
*2268 FILLER_30_304
*2269 FILLER_30_312
*2270 FILLER_30_316
*2271 FILLER_30_318
*2272 FILLER_30_321
*2273 FILLER_30_34
*2274 FILLER_30_354
*2275 FILLER_30_368
*2276 FILLER_30_37
*2277 FILLER_30_384
*2278 FILLER_30_388
*2279 FILLER_30_392
*2280 FILLER_30_400
*2281 FILLER_30_41
*2282 FILLER_30_430
*2283 FILLER_30_442
*2284 FILLER_30_452
*2285 FILLER_30_458
*2286 FILLER_30_460
*2287 FILLER_30_463
*2288 FILLER_30_496
*2289 FILLER_30_504
*2290 FILLER_30_508
*2291 FILLER_30_51
*2292 FILLER_30_59
*2293 FILLER_30_66
*2294 FILLER_30_70
*2295 FILLER_30_74
*2296 FILLER_31_101
*2297 FILLER_31_131
*2298 FILLER_31_135
*2299 FILLER_31_139
*2300 FILLER_31_141
*2301 FILLER_31_144
*2302 FILLER_31_160
*2303 FILLER_31_168
*2304 FILLER_31_183
*2305 FILLER_31_187
*2306 FILLER_31_192
*2307 FILLER_31_2
*2308 FILLER_31_208
*2309 FILLER_31_212
*2310 FILLER_31_215
*2311 FILLER_31_266
*2312 FILLER_31_278
*2313 FILLER_31_282
*2314 FILLER_31_286
*2315 FILLER_31_290
*2316 FILLER_31_292
*2317 FILLER_31_297
*2318 FILLER_31_301
*2319 FILLER_31_331
*2320 FILLER_31_34
*2321 FILLER_31_347
*2322 FILLER_31_357
*2323 FILLER_31_362
*2324 FILLER_31_370
*2325 FILLER_31_38
*2326 FILLER_31_40
*2327 FILLER_31_403
*2328 FILLER_31_407
*2329 FILLER_31_415
*2330 FILLER_31_419
*2331 FILLER_31_425
*2332 FILLER_31_428
*2333 FILLER_31_47
*2334 FILLER_31_479
*2335 FILLER_31_495
*2336 FILLER_31_499
*2337 FILLER_31_507
*2338 FILLER_31_63
*2339 FILLER_31_73
*2340 FILLER_31_89
*2341 FILLER_31_97
*2342 FILLER_32_105
*2343 FILLER_32_108
*2344 FILLER_32_114
*2345 FILLER_32_122
*2346 FILLER_32_130
*2347 FILLER_32_134
*2348 FILLER_32_164
*2349 FILLER_32_168
*2350 FILLER_32_176
*2351 FILLER_32_179
*2352 FILLER_32_183
*2353 FILLER_32_199
*2354 FILLER_32_2
*2355 FILLER_32_207
*2356 FILLER_32_209
*2357 FILLER_32_214
*2358 FILLER_32_226
*2359 FILLER_32_234
*2360 FILLER_32_238
*2361 FILLER_32_241
*2362 FILLER_32_247
*2363 FILLER_32_250
*2364 FILLER_32_304
*2365 FILLER_32_308
*2366 FILLER_32_310
*2367 FILLER_32_315
*2368 FILLER_32_321
*2369 FILLER_32_329
*2370 FILLER_32_333
*2371 FILLER_32_338
*2372 FILLER_32_34
*2373 FILLER_32_354
*2374 FILLER_32_362
*2375 FILLER_32_367
*2376 FILLER_32_37
*2377 FILLER_32_375
*2378 FILLER_32_379
*2379 FILLER_32_381
*2380 FILLER_32_386
*2381 FILLER_32_39
*2382 FILLER_32_392
*2383 FILLER_32_424
*2384 FILLER_32_426
*2385 FILLER_32_429
*2386 FILLER_32_460
*2387 FILLER_32_463
*2388 FILLER_32_466
*2389 FILLER_32_48
*2390 FILLER_32_498
*2391 FILLER_32_50
*2392 FILLER_32_506
*2393 FILLER_32_508
*2394 FILLER_32_57
*2395 FILLER_32_73
*2396 FILLER_32_75
*2397 FILLER_33_102
*2398 FILLER_33_104
*2399 FILLER_33_107
*2400 FILLER_33_123
*2401 FILLER_33_131
*2402 FILLER_33_135
*2403 FILLER_33_141
*2404 FILLER_33_144
*2405 FILLER_33_149
*2406 FILLER_33_151
*2407 FILLER_33_18
*2408 FILLER_33_181
*2409 FILLER_33_2
*2410 FILLER_33_212
*2411 FILLER_33_215
*2412 FILLER_33_252
*2413 FILLER_33_26
*2414 FILLER_33_283
*2415 FILLER_33_286
*2416 FILLER_33_30
*2417 FILLER_33_316
*2418 FILLER_33_32
*2419 FILLER_33_320
*2420 FILLER_33_328
*2421 FILLER_33_332
*2422 FILLER_33_334
*2423 FILLER_33_345
*2424 FILLER_33_349
*2425 FILLER_33_351
*2426 FILLER_33_354
*2427 FILLER_33_357
*2428 FILLER_33_387
*2429 FILLER_33_389
*2430 FILLER_33_39
*2431 FILLER_33_400
*2432 FILLER_33_406
*2433 FILLER_33_422
*2434 FILLER_33_428
*2435 FILLER_33_437
*2436 FILLER_33_469
*2437 FILLER_33_485
*2438 FILLER_33_493
*2439 FILLER_33_499
*2440 FILLER_33_507
*2441 FILLER_33_70
*2442 FILLER_33_73
*2443 FILLER_33_76
*2444 FILLER_33_84
*2445 FILLER_33_88
*2446 FILLER_33_94
*2447 FILLER_34_103
*2448 FILLER_34_105
*2449 FILLER_34_108
*2450 FILLER_34_114
*2451 FILLER_34_122
*2452 FILLER_34_126
*2453 FILLER_34_130
*2454 FILLER_34_161
*2455 FILLER_34_175
*2456 FILLER_34_179
*2457 FILLER_34_183
*2458 FILLER_34_191
*2459 FILLER_34_199
*2460 FILLER_34_2
*2461 FILLER_34_207
*2462 FILLER_34_212
*2463 FILLER_34_228
*2464 FILLER_34_236
*2465 FILLER_34_242
*2466 FILLER_34_246
*2467 FILLER_34_250
*2468 FILLER_34_258
*2469 FILLER_34_262
*2470 FILLER_34_274
*2471 FILLER_34_278
*2472 FILLER_34_282
*2473 FILLER_34_284
*2474 FILLER_34_287
*2475 FILLER_34_289
*2476 FILLER_34_294
*2477 FILLER_34_310
*2478 FILLER_34_318
*2479 FILLER_34_321
*2480 FILLER_34_34
*2481 FILLER_34_37
*2482 FILLER_34_373
*2483 FILLER_34_379
*2484 FILLER_34_383
*2485 FILLER_34_387
*2486 FILLER_34_389
*2487 FILLER_34_392
*2488 FILLER_34_423
*2489 FILLER_34_435
*2490 FILLER_34_451
*2491 FILLER_34_459
*2492 FILLER_34_463
*2493 FILLER_34_47
*2494 FILLER_34_495
*2495 FILLER_34_503
*2496 FILLER_34_507
*2497 FILLER_34_79
*2498 FILLER_34_95
*2499 FILLER_35_10
*2500 FILLER_35_124
*2501 FILLER_35_136
*2502 FILLER_35_140
*2503 FILLER_35_144
*2504 FILLER_35_152
*2505 FILLER_35_155
*2506 FILLER_35_2
*2507 FILLER_35_207
*2508 FILLER_35_211
*2509 FILLER_35_215
*2510 FILLER_35_219
*2511 FILLER_35_231
*2512 FILLER_35_247
*2513 FILLER_35_280
*2514 FILLER_35_286
*2515 FILLER_35_316
*2516 FILLER_35_347
*2517 FILLER_35_351
*2518 FILLER_35_357
*2519 FILLER_35_387
*2520 FILLER_35_391
*2521 FILLER_35_395
*2522 FILLER_35_425
*2523 FILLER_35_428
*2524 FILLER_35_43
*2525 FILLER_35_458
*2526 FILLER_35_47
*2527 FILLER_35_490
*2528 FILLER_35_494
*2529 FILLER_35_496
*2530 FILLER_35_499
*2531 FILLER_35_507
*2532 FILLER_35_63
*2533 FILLER_35_73
*2534 FILLER_36_105
*2535 FILLER_36_108
*2536 FILLER_36_138
*2537 FILLER_36_142
*2538 FILLER_36_146
*2539 FILLER_36_148
*2540 FILLER_36_153
*2541 FILLER_36_163
*2542 FILLER_36_167
*2543 FILLER_36_172
*2544 FILLER_36_176
*2545 FILLER_36_179
*2546 FILLER_36_183
*2547 FILLER_36_199
*2548 FILLER_36_2
*2549 FILLER_36_230
*2550 FILLER_36_234
*2551 FILLER_36_242
*2552 FILLER_36_246
*2553 FILLER_36_250
*2554 FILLER_36_266
*2555 FILLER_36_270
*2556 FILLER_36_300
*2557 FILLER_36_304
*2558 FILLER_36_312
*2559 FILLER_36_318
*2560 FILLER_36_321
*2561 FILLER_36_34
*2562 FILLER_36_351
*2563 FILLER_36_355
*2564 FILLER_36_37
*2565 FILLER_36_371
*2566 FILLER_36_375
*2567 FILLER_36_377
*2568 FILLER_36_382
*2569 FILLER_36_392
*2570 FILLER_36_400
*2571 FILLER_36_404
*2572 FILLER_36_408
*2573 FILLER_36_460
*2574 FILLER_36_463
*2575 FILLER_36_495
*2576 FILLER_36_503
*2577 FILLER_36_507
*2578 FILLER_36_69
*2579 FILLER_36_73
*2580 FILLER_36_75
*2581 FILLER_37_130
*2582 FILLER_37_134
*2583 FILLER_37_144
*2584 FILLER_37_174
*2585 FILLER_37_178
*2586 FILLER_37_182
*2587 FILLER_37_2
*2588 FILLER_37_212
*2589 FILLER_37_215
*2590 FILLER_37_219
*2591 FILLER_37_250
*2592 FILLER_37_254
*2593 FILLER_37_270
*2594 FILLER_37_278
*2595 FILLER_37_282
*2596 FILLER_37_286
*2597 FILLER_37_291
*2598 FILLER_37_299
*2599 FILLER_37_303
*2600 FILLER_37_305
*2601 FILLER_37_316
*2602 FILLER_37_324
*2603 FILLER_37_329
*2604 FILLER_37_345
*2605 FILLER_37_353
*2606 FILLER_37_357
*2607 FILLER_37_365
*2608 FILLER_37_398
*2609 FILLER_37_402
*2610 FILLER_37_404
*2611 FILLER_37_409
*2612 FILLER_37_425
*2613 FILLER_37_428
*2614 FILLER_37_430
*2615 FILLER_37_435
*2616 FILLER_37_467
*2617 FILLER_37_483
*2618 FILLER_37_491
*2619 FILLER_37_495
*2620 FILLER_37_499
*2621 FILLER_37_507
*2622 FILLER_37_66
*2623 FILLER_37_70
*2624 FILLER_37_73
*2625 FILLER_37_89
*2626 FILLER_37_99
*2627 FILLER_38_103
*2628 FILLER_38_105
*2629 FILLER_38_108
*2630 FILLER_38_113
*2631 FILLER_38_144
*2632 FILLER_38_175
*2633 FILLER_38_179
*2634 FILLER_38_184
*2635 FILLER_38_196
*2636 FILLER_38_2
*2637 FILLER_38_227
*2638 FILLER_38_233
*2639 FILLER_38_237
*2640 FILLER_38_245
*2641 FILLER_38_247
*2642 FILLER_38_250
*2643 FILLER_38_258
*2644 FILLER_38_262
*2645 FILLER_38_314
*2646 FILLER_38_318
*2647 FILLER_38_321
*2648 FILLER_38_337
*2649 FILLER_38_34
*2650 FILLER_38_345
*2651 FILLER_38_347
*2652 FILLER_38_37
*2653 FILLER_38_377
*2654 FILLER_38_381
*2655 FILLER_38_389
*2656 FILLER_38_392
*2657 FILLER_38_398
*2658 FILLER_38_430
*2659 FILLER_38_446
*2660 FILLER_38_45
*2661 FILLER_38_454
*2662 FILLER_38_458
*2663 FILLER_38_460
*2664 FILLER_38_463
*2665 FILLER_38_49
*2666 FILLER_38_495
*2667 FILLER_38_503
*2668 FILLER_38_507
*2669 FILLER_38_54
*2670 FILLER_38_86
*2671 FILLER_38_91
*2672 FILLER_38_99
*2673 FILLER_39_140
*2674 FILLER_39_144
*2675 FILLER_39_149
*2676 FILLER_39_153
*2677 FILLER_39_157
*2678 FILLER_39_161
*2679 FILLER_39_191
*2680 FILLER_39_195
*2681 FILLER_39_2
*2682 FILLER_39_211
*2683 FILLER_39_215
*2684 FILLER_39_247
*2685 FILLER_39_277
*2686 FILLER_39_281
*2687 FILLER_39_283
*2688 FILLER_39_286
*2689 FILLER_39_288
*2690 FILLER_39_293
*2691 FILLER_39_301
*2692 FILLER_39_331
*2693 FILLER_39_333
*2694 FILLER_39_338
*2695 FILLER_39_34
*2696 FILLER_39_342
*2697 FILLER_39_354
*2698 FILLER_39_357
*2699 FILLER_39_38
*2700 FILLER_39_40
*2701 FILLER_39_411
*2702 FILLER_39_417
*2703 FILLER_39_425
*2704 FILLER_39_428
*2705 FILLER_39_492
*2706 FILLER_39_496
*2707 FILLER_39_499
*2708 FILLER_39_507
*2709 FILLER_39_70
*2710 FILLER_39_73
*2711 FILLER_39_76
*2712 FILLER_39_84
*2713 FILLER_39_88
*2714 FILLER_3_130
*2715 FILLER_3_138
*2716 FILLER_3_144
*2717 FILLER_3_152
*2718 FILLER_3_156
*2719 FILLER_3_186
*2720 FILLER_3_190
*2721 FILLER_3_2
*2722 FILLER_3_206
*2723 FILLER_3_210
*2724 FILLER_3_212
*2725 FILLER_3_215
*2726 FILLER_3_219
*2727 FILLER_3_221
*2728 FILLER_3_224
*2729 FILLER_3_256
*2730 FILLER_3_272
*2731 FILLER_3_280
*2732 FILLER_3_286
*2733 FILLER_3_318
*2734 FILLER_3_32
*2735 FILLER_3_334
*2736 FILLER_3_340
*2737 FILLER_3_348
*2738 FILLER_3_352
*2739 FILLER_3_354
*2740 FILLER_3_357
*2741 FILLER_3_421
*2742 FILLER_3_425
*2743 FILLER_3_428
*2744 FILLER_3_431
*2745 FILLER_3_447
*2746 FILLER_3_455
*2747 FILLER_3_461
*2748 FILLER_3_492
*2749 FILLER_3_496
*2750 FILLER_3_499
*2751 FILLER_3_507
*2752 FILLER_3_64
*2753 FILLER_3_68
*2754 FILLER_3_70
*2755 FILLER_3_73
*2756 FILLER_3_89
*2757 FILLER_3_98
*2758 FILLER_40_101
*2759 FILLER_40_105
*2760 FILLER_40_108
*2761 FILLER_40_112
*2762 FILLER_40_164
*2763 FILLER_40_172
*2764 FILLER_40_176
*2765 FILLER_40_179
*2766 FILLER_40_183
*2767 FILLER_40_185
*2768 FILLER_40_196
*2769 FILLER_40_2
*2770 FILLER_40_204
*2771 FILLER_40_206
*2772 FILLER_40_211
*2773 FILLER_40_243
*2774 FILLER_40_247
*2775 FILLER_40_250
*2776 FILLER_40_254
*2777 FILLER_40_260
*2778 FILLER_40_276
*2779 FILLER_40_280
*2780 FILLER_40_310
*2781 FILLER_40_316
*2782 FILLER_40_318
*2783 FILLER_40_321
*2784 FILLER_40_34
*2785 FILLER_40_354
*2786 FILLER_40_358
*2787 FILLER_40_37
*2788 FILLER_40_389
*2789 FILLER_40_392
*2790 FILLER_40_395
*2791 FILLER_40_397
*2792 FILLER_40_427
*2793 FILLER_40_459
*2794 FILLER_40_463
*2795 FILLER_40_495
*2796 FILLER_40_503
*2797 FILLER_40_507
*2798 FILLER_41_134
*2799 FILLER_41_138
*2800 FILLER_41_144
*2801 FILLER_41_147
*2802 FILLER_41_159
*2803 FILLER_41_167
*2804 FILLER_41_18
*2805 FILLER_41_2
*2806 FILLER_41_204
*2807 FILLER_41_208
*2808 FILLER_41_212
*2809 FILLER_41_215
*2810 FILLER_41_231
*2811 FILLER_41_239
*2812 FILLER_41_241
*2813 FILLER_41_271
*2814 FILLER_41_275
*2815 FILLER_41_283
*2816 FILLER_41_286
*2817 FILLER_41_302
*2818 FILLER_41_312
*2819 FILLER_41_344
*2820 FILLER_41_346
*2821 FILLER_41_351
*2822 FILLER_41_357
*2823 FILLER_41_360
*2824 FILLER_41_364
*2825 FILLER_41_415
*2826 FILLER_41_419
*2827 FILLER_41_423
*2828 FILLER_41_425
*2829 FILLER_41_428
*2830 FILLER_41_492
*2831 FILLER_41_496
*2832 FILLER_41_499
*2833 FILLER_41_507
*2834 FILLER_41_55
*2835 FILLER_41_59
*2836 FILLER_41_67
*2837 FILLER_41_73
*2838 FILLER_42_101
*2839 FILLER_42_105
*2840 FILLER_42_108
*2841 FILLER_42_114
*2842 FILLER_42_145
*2843 FILLER_42_176
*2844 FILLER_42_179
*2845 FILLER_42_187
*2846 FILLER_42_2
*2847 FILLER_42_203
*2848 FILLER_42_205
*2849 FILLER_42_235
*2850 FILLER_42_239
*2851 FILLER_42_247
*2852 FILLER_42_250
*2853 FILLER_42_255
*2854 FILLER_42_287
*2855 FILLER_42_295
*2856 FILLER_42_299
*2857 FILLER_42_301
*2858 FILLER_42_304
*2859 FILLER_42_312
*2860 FILLER_42_316
*2861 FILLER_42_318
*2862 FILLER_42_321
*2863 FILLER_42_324
*2864 FILLER_42_332
*2865 FILLER_42_336
*2866 FILLER_42_34
*2867 FILLER_42_367
*2868 FILLER_42_369
*2869 FILLER_42_37
*2870 FILLER_42_380
*2871 FILLER_42_386
*2872 FILLER_42_392
*2873 FILLER_42_396
*2874 FILLER_42_42
*2875 FILLER_42_427
*2876 FILLER_42_460
*2877 FILLER_42_463
*2878 FILLER_42_48
*2879 FILLER_42_495
*2880 FILLER_42_503
*2881 FILLER_42_507
*2882 FILLER_42_52
*2883 FILLER_42_66
*2884 FILLER_42_97
*2885 FILLER_43_121
*2886 FILLER_43_133
*2887 FILLER_43_141
*2888 FILLER_43_144
*2889 FILLER_43_147
*2890 FILLER_43_179
*2891 FILLER_43_195
*2892 FILLER_43_2
*2893 FILLER_43_203
*2894 FILLER_43_206
*2895 FILLER_43_212
*2896 FILLER_43_215
*2897 FILLER_43_266
*2898 FILLER_43_280
*2899 FILLER_43_286
*2900 FILLER_43_288
*2901 FILLER_43_318
*2902 FILLER_43_331
*2903 FILLER_43_34
*2904 FILLER_43_347
*2905 FILLER_43_353
*2906 FILLER_43_357
*2907 FILLER_43_360
*2908 FILLER_43_364
*2909 FILLER_43_367
*2910 FILLER_43_398
*2911 FILLER_43_402
*2912 FILLER_43_410
*2913 FILLER_43_414
*2914 FILLER_43_424
*2915 FILLER_43_428
*2916 FILLER_43_437
*2917 FILLER_43_441
*2918 FILLER_43_450
*2919 FILLER_43_462
*2920 FILLER_43_470
*2921 FILLER_43_486
*2922 FILLER_43_494
*2923 FILLER_43_496
*2924 FILLER_43_499
*2925 FILLER_43_507
*2926 FILLER_43_64
*2927 FILLER_43_68
*2928 FILLER_43_70
*2929 FILLER_43_73
*2930 FILLER_43_75
*2931 FILLER_43_80
*2932 FILLER_43_90
*2933 FILLER_44_103
*2934 FILLER_44_105
*2935 FILLER_44_108
*2936 FILLER_44_124
*2937 FILLER_44_135
*2938 FILLER_44_167
*2939 FILLER_44_175
*2940 FILLER_44_179
*2941 FILLER_44_195
*2942 FILLER_44_2
*2943 FILLER_44_203
*2944 FILLER_44_205
*2945 FILLER_44_235
*2946 FILLER_44_239
*2947 FILLER_44_247
*2948 FILLER_44_250
*2949 FILLER_44_255
*2950 FILLER_44_257
*2951 FILLER_44_262
*2952 FILLER_44_270
*2953 FILLER_44_272
*2954 FILLER_44_302
*2955 FILLER_44_308
*2956 FILLER_44_318
*2957 FILLER_44_321
*2958 FILLER_44_34
*2959 FILLER_44_351
*2960 FILLER_44_37
*2961 FILLER_44_382
*2962 FILLER_44_388
*2963 FILLER_44_392
*2964 FILLER_44_437
*2965 FILLER_44_445
*2966 FILLER_44_449
*2967 FILLER_44_45
*2968 FILLER_44_451
*2969 FILLER_44_460
*2970 FILLER_44_463
*2971 FILLER_44_493
*2972 FILLER_44_99
*2973 FILLER_45_130
*2974 FILLER_45_138
*2975 FILLER_45_144
*2976 FILLER_45_146
*2977 FILLER_45_151
*2978 FILLER_45_18
*2979 FILLER_45_196
*2980 FILLER_45_2
*2981 FILLER_45_200
*2982 FILLER_45_208
*2983 FILLER_45_212
*2984 FILLER_45_215
*2985 FILLER_45_220
*2986 FILLER_45_224
*2987 FILLER_45_236
*2988 FILLER_45_238
*2989 FILLER_45_26
*2990 FILLER_45_268
*2991 FILLER_45_272
*2992 FILLER_45_280
*2993 FILLER_45_286
*2994 FILLER_45_291
*2995 FILLER_45_345
*2996 FILLER_45_347
*2997 FILLER_45_352
*2998 FILLER_45_354
*2999 FILLER_45_357
*3000 FILLER_45_365
*3001 FILLER_45_369
*3002 FILLER_45_377
*3003 FILLER_45_389
*3004 FILLER_45_405
*3005 FILLER_45_409
*3006 FILLER_45_417
*3007 FILLER_45_425
*3008 FILLER_45_428
*3009 FILLER_45_437
*3010 FILLER_45_441
*3011 FILLER_45_443
*3012 FILLER_45_452
*3013 FILLER_45_454
*3014 FILLER_45_457
*3015 FILLER_45_467
*3016 FILLER_45_475
*3017 FILLER_45_491
*3018 FILLER_45_495
*3019 FILLER_45_499
*3020 FILLER_45_507
*3021 FILLER_45_59
*3022 FILLER_45_67
*3023 FILLER_45_73
*3024 FILLER_45_92
*3025 FILLER_45_94
*3026 FILLER_45_99
*3027 FILLER_46_101
*3028 FILLER_46_105
*3029 FILLER_46_108
*3030 FILLER_46_114
*3031 FILLER_46_130
*3032 FILLER_46_138
*3033 FILLER_46_168
*3034 FILLER_46_176
*3035 FILLER_46_179
*3036 FILLER_46_182
*3037 FILLER_46_184
*3038 FILLER_46_2
*3039 FILLER_46_214
*3040 FILLER_46_245
*3041 FILLER_46_247
*3042 FILLER_46_250
*3043 FILLER_46_280
*3044 FILLER_46_284
*3045 FILLER_46_316
*3046 FILLER_46_318
*3047 FILLER_46_321
*3048 FILLER_46_326
*3049 FILLER_46_34
*3050 FILLER_46_342
*3051 FILLER_46_344
*3052 FILLER_46_347
*3053 FILLER_46_351
*3054 FILLER_46_37
*3055 FILLER_46_381
*3056 FILLER_46_389
*3057 FILLER_46_392
*3058 FILLER_46_397
*3059 FILLER_46_405
*3060 FILLER_46_408
*3061 FILLER_46_460
*3062 FILLER_46_463
*3063 FILLER_46_470
*3064 FILLER_46_502
*3065 FILLER_46_506
*3066 FILLER_46_508
*3067 FILLER_46_53
*3068 FILLER_46_83
*3069 FILLER_46_87
*3070 FILLER_46_95
*3071 FILLER_47_125
*3072 FILLER_47_141
*3073 FILLER_47_144
*3074 FILLER_47_146
*3075 FILLER_47_151
*3076 FILLER_47_155
*3077 FILLER_47_157
*3078 FILLER_47_160
*3079 FILLER_47_2
*3080 FILLER_47_212
*3081 FILLER_47_215
*3082 FILLER_47_218
*3083 FILLER_47_228
*3084 FILLER_47_280
*3085 FILLER_47_286
*3086 FILLER_47_316
*3087 FILLER_47_320
*3088 FILLER_47_34
*3089 FILLER_47_353
*3090 FILLER_47_357
*3091 FILLER_47_373
*3092 FILLER_47_38
*3093 FILLER_47_406
*3094 FILLER_47_422
*3095 FILLER_47_428
*3096 FILLER_47_43
*3097 FILLER_47_437
*3098 FILLER_47_439
*3099 FILLER_47_469
*3100 FILLER_47_473
*3101 FILLER_47_489
*3102 FILLER_47_499
*3103 FILLER_47_507
*3104 FILLER_47_61
*3105 FILLER_47_69
*3106 FILLER_47_73
*3107 FILLER_47_78
*3108 FILLER_47_86
*3109 FILLER_47_90
*3110 FILLER_47_94
*3111 FILLER_48_100
*3112 FILLER_48_104
*3113 FILLER_48_108
*3114 FILLER_48_113
*3115 FILLER_48_174
*3116 FILLER_48_176
*3117 FILLER_48_179
*3118 FILLER_48_190
*3119 FILLER_48_2
*3120 FILLER_48_202
*3121 FILLER_48_208
*3122 FILLER_48_224
*3123 FILLER_48_226
*3124 FILLER_48_231
*3125 FILLER_48_247
*3126 FILLER_48_250
*3127 FILLER_48_254
*3128 FILLER_48_260
*3129 FILLER_48_297
*3130 FILLER_48_299
*3131 FILLER_48_310
*3132 FILLER_48_318
*3133 FILLER_48_32
*3134 FILLER_48_321
*3135 FILLER_48_325
*3136 FILLER_48_330
*3137 FILLER_48_34
*3138 FILLER_48_37
*3139 FILLER_48_382
*3140 FILLER_48_386
*3141 FILLER_48_392
*3142 FILLER_48_40
*3143 FILLER_48_456
*3144 FILLER_48_460
*3145 FILLER_48_463
*3146 FILLER_48_495
*3147 FILLER_48_503
*3148 FILLER_48_507
*3149 FILLER_48_56
*3150 FILLER_48_60
*3151 FILLER_48_90
*3152 FILLER_48_96
*3153 FILLER_49_10
*3154 FILLER_49_127
*3155 FILLER_49_131
*3156 FILLER_49_137
*3157 FILLER_49_141
*3158 FILLER_49_144
*3159 FILLER_49_15
*3160 FILLER_49_189
*3161 FILLER_49_193
*3162 FILLER_49_2
*3163 FILLER_49_209
*3164 FILLER_49_215
*3165 FILLER_49_222
*3166 FILLER_49_226
*3167 FILLER_49_23
*3168 FILLER_49_242
*3169 FILLER_49_246
*3170 FILLER_49_276
*3171 FILLER_49_282
*3172 FILLER_49_286
*3173 FILLER_49_29
*3174 FILLER_49_290
*3175 FILLER_49_296
*3176 FILLER_49_300
*3177 FILLER_49_308
*3178 FILLER_49_341
*3179 FILLER_49_349
*3180 FILLER_49_353
*3181 FILLER_49_357
*3182 FILLER_49_387
*3183 FILLER_49_391
*3184 FILLER_49_423
*3185 FILLER_49_425
*3186 FILLER_49_428
*3187 FILLER_49_43
*3188 FILLER_49_432
*3189 FILLER_49_462
*3190 FILLER_49_466
*3191 FILLER_49_47
*3192 FILLER_49_482
*3193 FILLER_49_490
*3194 FILLER_49_494
*3195 FILLER_49_496
*3196 FILLER_49_499
*3197 FILLER_49_507
*3198 FILLER_49_52
*3199 FILLER_49_68
*3200 FILLER_49_70
*3201 FILLER_49_73
*3202 FILLER_4_101
*3203 FILLER_4_105
*3204 FILLER_4_108
*3205 FILLER_4_15
*3206 FILLER_4_172
*3207 FILLER_4_176
*3208 FILLER_4_179
*3209 FILLER_4_183
*3210 FILLER_4_187
*3211 FILLER_4_19
*3212 FILLER_4_191
*3213 FILLER_4_2
*3214 FILLER_4_22
*3215 FILLER_4_222
*3216 FILLER_4_228
*3217 FILLER_4_238
*3218 FILLER_4_246
*3219 FILLER_4_250
*3220 FILLER_4_26
*3221 FILLER_4_314
*3222 FILLER_4_318
*3223 FILLER_4_321
*3224 FILLER_4_34
*3225 FILLER_4_351
*3226 FILLER_4_355
*3227 FILLER_4_37
*3228 FILLER_4_387
*3229 FILLER_4_389
*3230 FILLER_4_392
*3231 FILLER_4_396
*3232 FILLER_4_398
*3233 FILLER_4_428
*3234 FILLER_4_459
*3235 FILLER_4_463
*3236 FILLER_4_466
*3237 FILLER_4_498
*3238 FILLER_4_506
*3239 FILLER_4_508
*3240 FILLER_4_53
*3241 FILLER_4_6
*3242 FILLER_4_61
*3243 FILLER_4_9
*3244 FILLER_4_91
*3245 FILLER_50_105
*3246 FILLER_50_108
*3247 FILLER_50_111
*3248 FILLER_50_113
*3249 FILLER_50_122
*3250 FILLER_50_153
*3251 FILLER_50_157
*3252 FILLER_50_165
*3253 FILLER_50_167
*3254 FILLER_50_172
*3255 FILLER_50_176
*3256 FILLER_50_179
*3257 FILLER_50_195
*3258 FILLER_50_2
*3259 FILLER_50_226
*3260 FILLER_50_242
*3261 FILLER_50_246
*3262 FILLER_50_250
*3263 FILLER_50_282
*3264 FILLER_50_286
*3265 FILLER_50_316
*3266 FILLER_50_318
*3267 FILLER_50_321
*3268 FILLER_50_326
*3269 FILLER_50_330
*3270 FILLER_50_34
*3271 FILLER_50_361
*3272 FILLER_50_37
*3273 FILLER_50_373
*3274 FILLER_50_381
*3275 FILLER_50_385
*3276 FILLER_50_389
*3277 FILLER_50_39
*3278 FILLER_50_392
*3279 FILLER_50_4
*3280 FILLER_50_422
*3281 FILLER_50_453
*3282 FILLER_50_457
*3283 FILLER_50_463
*3284 FILLER_50_495
*3285 FILLER_50_503
*3286 FILLER_50_507
*3287 FILLER_50_69
*3288 FILLER_50_73
*3289 FILLER_50_75
*3290 FILLER_51_10
*3291 FILLER_51_102
*3292 FILLER_51_106
*3293 FILLER_51_108
*3294 FILLER_51_113
*3295 FILLER_51_121
*3296 FILLER_51_133
*3297 FILLER_51_141
*3298 FILLER_51_144
*3299 FILLER_51_148
*3300 FILLER_51_15
*3301 FILLER_51_179
*3302 FILLER_51_183
*3303 FILLER_51_199
*3304 FILLER_51_2
*3305 FILLER_51_207
*3306 FILLER_51_211
*3307 FILLER_51_215
*3308 FILLER_51_229
*3309 FILLER_51_233
*3310 FILLER_51_264
*3311 FILLER_51_268
*3312 FILLER_51_286
*3313 FILLER_51_338
*3314 FILLER_51_340
*3315 FILLER_51_345
*3316 FILLER_51_349
*3317 FILLER_51_353
*3318 FILLER_51_357
*3319 FILLER_51_361
*3320 FILLER_51_413
*3321 FILLER_51_417
*3322 FILLER_51_422
*3323 FILLER_51_428
*3324 FILLER_51_431
*3325 FILLER_51_435
*3326 FILLER_51_437
*3327 FILLER_51_442
*3328 FILLER_51_494
*3329 FILLER_51_496
*3330 FILLER_51_499
*3331 FILLER_51_507
*3332 FILLER_51_67
*3333 FILLER_51_73
*3334 FILLER_51_82
*3335 FILLER_51_86
*3336 FILLER_52_108
*3337 FILLER_52_138
*3338 FILLER_52_142
*3339 FILLER_52_158
*3340 FILLER_52_163
*3341 FILLER_52_171
*3342 FILLER_52_173
*3343 FILLER_52_176
*3344 FILLER_52_179
*3345 FILLER_52_2
*3346 FILLER_52_210
*3347 FILLER_52_224
*3348 FILLER_52_234
*3349 FILLER_52_242
*3350 FILLER_52_244
*3351 FILLER_52_247
*3352 FILLER_52_250
*3353 FILLER_52_259
*3354 FILLER_52_267
*3355 FILLER_52_283
*3356 FILLER_52_287
*3357 FILLER_52_293
*3358 FILLER_52_309
*3359 FILLER_52_314
*3360 FILLER_52_318
*3361 FILLER_52_32
*3362 FILLER_52_321
*3363 FILLER_52_34
*3364 FILLER_52_353
*3365 FILLER_52_361
*3366 FILLER_52_369
*3367 FILLER_52_37
*3368 FILLER_52_389
*3369 FILLER_52_39
*3370 FILLER_52_392
*3371 FILLER_52_394
*3372 FILLER_52_399
*3373 FILLER_52_405
*3374 FILLER_52_409
*3375 FILLER_52_42
*3376 FILLER_52_428
*3377 FILLER_52_436
*3378 FILLER_52_440
*3379 FILLER_52_444
*3380 FILLER_52_452
*3381 FILLER_52_456
*3382 FILLER_52_460
*3383 FILLER_52_463
*3384 FILLER_52_482
*3385 FILLER_52_498
*3386 FILLER_52_506
*3387 FILLER_52_508
*3388 FILLER_52_73
*3389 FILLER_52_82
*3390 FILLER_52_98
*3391 FILLER_53_10
*3392 FILLER_53_107
*3393 FILLER_53_115
*3394 FILLER_53_131
*3395 FILLER_53_133
*3396 FILLER_53_136
*3397 FILLER_53_140
*3398 FILLER_53_144
*3399 FILLER_53_149
*3400 FILLER_53_15
*3401 FILLER_53_157
*3402 FILLER_53_161
*3403 FILLER_53_163
*3404 FILLER_53_193
*3405 FILLER_53_197
*3406 FILLER_53_2
*3407 FILLER_53_215
*3408 FILLER_53_224
*3409 FILLER_53_228
*3410 FILLER_53_236
*3411 FILLER_53_243
*3412 FILLER_53_251
*3413 FILLER_53_255
*3414 FILLER_53_257
*3415 FILLER_53_269
*3416 FILLER_53_277
*3417 FILLER_53_281
*3418 FILLER_53_283
*3419 FILLER_53_286
*3420 FILLER_53_294
*3421 FILLER_53_298
*3422 FILLER_53_300
*3423 FILLER_53_31
*3424 FILLER_53_330
*3425 FILLER_53_334
*3426 FILLER_53_34
*3427 FILLER_53_354
*3428 FILLER_53_357
*3429 FILLER_53_368
*3430 FILLER_53_376
*3431 FILLER_53_406
*3432 FILLER_53_410
*3433 FILLER_53_428
*3434 FILLER_53_458
*3435 FILLER_53_489
*3436 FILLER_53_493
*3437 FILLER_53_499
*3438 FILLER_53_50
*3439 FILLER_53_507
*3440 FILLER_53_56
*3441 FILLER_53_64
*3442 FILLER_53_68
*3443 FILLER_53_70
*3444 FILLER_53_73
*3445 FILLER_53_83
*3446 FILLER_53_99
*3447 FILLER_54_105
*3448 FILLER_54_108
*3449 FILLER_54_111
*3450 FILLER_54_115
*3451 FILLER_54_145
*3452 FILLER_54_176
*3453 FILLER_54_179
*3454 FILLER_54_190
*3455 FILLER_54_194
*3456 FILLER_54_2
*3457 FILLER_54_210
*3458 FILLER_54_214
*3459 FILLER_54_231
*3460 FILLER_54_241
*3461 FILLER_54_247
*3462 FILLER_54_250
*3463 FILLER_54_260
*3464 FILLER_54_291
*3465 FILLER_54_295
*3466 FILLER_54_311
*3467 FILLER_54_321
*3468 FILLER_54_34
*3469 FILLER_54_351
*3470 FILLER_54_37
*3471 FILLER_54_382
*3472 FILLER_54_386
*3473 FILLER_54_392
*3474 FILLER_54_4
*3475 FILLER_54_40
*3476 FILLER_54_422
*3477 FILLER_54_426
*3478 FILLER_54_434
*3479 FILLER_54_450
*3480 FILLER_54_456
*3481 FILLER_54_460
*3482 FILLER_54_463
*3483 FILLER_54_467
*3484 FILLER_54_473
*3485 FILLER_54_505
*3486 FILLER_54_56
*3487 FILLER_54_62
*3488 FILLER_54_72
*3489 FILLER_54_76
*3490 FILLER_54_78
*3491 FILLER_54_87
*3492 FILLER_55_103
*3493 FILLER_55_134
*3494 FILLER_55_138
*3495 FILLER_55_141
*3496 FILLER_55_144
*3497 FILLER_55_147
*3498 FILLER_55_2
*3499 FILLER_55_201
*3500 FILLER_55_205
*3501 FILLER_55_212
*3502 FILLER_55_215
*3503 FILLER_55_22
*3504 FILLER_55_224
*3505 FILLER_55_230
*3506 FILLER_55_238
*3507 FILLER_55_269
*3508 FILLER_55_273
*3509 FILLER_55_281
*3510 FILLER_55_283
*3511 FILLER_55_286
*3512 FILLER_55_30
*3513 FILLER_55_320
*3514 FILLER_55_328
*3515 FILLER_55_333
*3516 FILLER_55_349
*3517 FILLER_55_353
*3518 FILLER_55_357
*3519 FILLER_55_369
*3520 FILLER_55_400
*3521 FILLER_55_404
*3522 FILLER_55_406
*3523 FILLER_55_423
*3524 FILLER_55_425
*3525 FILLER_55_428
*3526 FILLER_55_431
*3527 FILLER_55_439
*3528 FILLER_55_443
*3529 FILLER_55_473
*3530 FILLER_55_477
*3531 FILLER_55_493
*3532 FILLER_55_499
*3533 FILLER_55_507
*3534 FILLER_55_60
*3535 FILLER_55_70
*3536 FILLER_55_73
*3537 FILLER_56_105
*3538 FILLER_56_108
*3539 FILLER_56_159
*3540 FILLER_56_165
*3541 FILLER_56_169
*3542 FILLER_56_171
*3543 FILLER_56_176
*3544 FILLER_56_179
*3545 FILLER_56_188
*3546 FILLER_56_2
*3547 FILLER_56_208
*3548 FILLER_56_239
*3549 FILLER_56_247
*3550 FILLER_56_250
*3551 FILLER_56_253
*3552 FILLER_56_267
*3553 FILLER_56_277
*3554 FILLER_56_285
*3555 FILLER_56_318
*3556 FILLER_56_321
*3557 FILLER_56_332
*3558 FILLER_56_34
*3559 FILLER_56_340
*3560 FILLER_56_37
*3561 FILLER_56_372
*3562 FILLER_56_388
*3563 FILLER_56_392
*3564 FILLER_56_399
*3565 FILLER_56_407
*3566 FILLER_56_437
*3567 FILLER_56_441
*3568 FILLER_56_449
*3569 FILLER_56_45
*3570 FILLER_56_451
*3571 FILLER_56_456
*3572 FILLER_56_460
*3573 FILLER_56_463
*3574 FILLER_56_482
*3575 FILLER_56_49
*3576 FILLER_56_498
*3577 FILLER_56_506
*3578 FILLER_56_508
*3579 FILLER_56_51
*3580 FILLER_56_60
*3581 FILLER_56_71
*3582 FILLER_56_75
*3583 FILLER_56_83
*3584 FILLER_56_91
*3585 FILLER_56_93
*3586 FILLER_57_106
*3587 FILLER_57_108
*3588 FILLER_57_122
*3589 FILLER_57_138
*3590 FILLER_57_144
*3591 FILLER_57_147
*3592 FILLER_57_151
*3593 FILLER_57_153
*3594 FILLER_57_158
*3595 FILLER_57_174
*3596 FILLER_57_177
*3597 FILLER_57_193
*3598 FILLER_57_2
*3599 FILLER_57_201
*3600 FILLER_57_203
*3601 FILLER_57_209
*3602 FILLER_57_215
*3603 FILLER_57_220
*3604 FILLER_57_224
*3605 FILLER_57_275
*3606 FILLER_57_283
*3607 FILLER_57_286
*3608 FILLER_57_316
*3609 FILLER_57_320
*3610 FILLER_57_324
*3611 FILLER_57_34
*3612 FILLER_57_354
*3613 FILLER_57_357
*3614 FILLER_57_360
*3615 FILLER_57_389
*3616 FILLER_57_405
*3617 FILLER_57_413
*3618 FILLER_57_415
*3619 FILLER_57_420
*3620 FILLER_57_422
*3621 FILLER_57_425
*3622 FILLER_57_428
*3623 FILLER_57_479
*3624 FILLER_57_495
*3625 FILLER_57_499
*3626 FILLER_57_50
*3627 FILLER_57_507
*3628 FILLER_57_60
*3629 FILLER_57_68
*3630 FILLER_57_70
*3631 FILLER_57_73
*3632 FILLER_57_76
*3633 FILLER_57_92
*3634 FILLER_57_96
*3635 FILLER_58_105
*3636 FILLER_58_108
*3637 FILLER_58_112
*3638 FILLER_58_114
*3639 FILLER_58_144
*3640 FILLER_58_175
*3641 FILLER_58_179
*3642 FILLER_58_190
*3643 FILLER_58_2
*3644 FILLER_58_221
*3645 FILLER_58_231
*3646 FILLER_58_235
*3647 FILLER_58_238
*3648 FILLER_58_246
*3649 FILLER_58_250
*3650 FILLER_58_254
*3651 FILLER_58_268
*3652 FILLER_58_284
*3653 FILLER_58_292
*3654 FILLER_58_300
*3655 FILLER_58_305
*3656 FILLER_58_309
*3657 FILLER_58_311
*3658 FILLER_58_314
*3659 FILLER_58_318
*3660 FILLER_58_321
*3661 FILLER_58_34
*3662 FILLER_58_351
*3663 FILLER_58_37
*3664 FILLER_58_382
*3665 FILLER_58_392
*3666 FILLER_58_399
*3667 FILLER_58_407
*3668 FILLER_58_411
*3669 FILLER_58_419
*3670 FILLER_58_427
*3671 FILLER_58_45
*3672 FILLER_58_458
*3673 FILLER_58_460
*3674 FILLER_58_463
*3675 FILLER_58_49
*3676 FILLER_58_494
*3677 FILLER_58_498
*3678 FILLER_58_506
*3679 FILLER_58_508
*3680 FILLER_58_51
*3681 FILLER_58_60
*3682 FILLER_58_68
*3683 FILLER_58_84
*3684 FILLER_58_92
*3685 FILLER_58_96
*3686 FILLER_59_104
*3687 FILLER_59_114
*3688 FILLER_59_130
*3689 FILLER_59_132
*3690 FILLER_59_137
*3691 FILLER_59_141
*3692 FILLER_59_144
*3693 FILLER_59_160
*3694 FILLER_59_164
*3695 FILLER_59_18
*3696 FILLER_59_194
*3697 FILLER_59_198
*3698 FILLER_59_2
*3699 FILLER_59_206
*3700 FILLER_59_212
*3701 FILLER_59_215
*3702 FILLER_59_22
*3703 FILLER_59_222
*3704 FILLER_59_226
*3705 FILLER_59_228
*3706 FILLER_59_231
*3707 FILLER_59_262
*3708 FILLER_59_266
*3709 FILLER_59_282
*3710 FILLER_59_286
*3711 FILLER_59_302
*3712 FILLER_59_354
*3713 FILLER_59_357
*3714 FILLER_59_362
*3715 FILLER_59_366
*3716 FILLER_59_378
*3717 FILLER_59_409
*3718 FILLER_59_417
*3719 FILLER_59_425
*3720 FILLER_59_428
*3721 FILLER_59_436
*3722 FILLER_59_440
*3723 FILLER_59_442
*3724 FILLER_59_472
*3725 FILLER_59_476
*3726 FILLER_59_491
*3727 FILLER_59_495
*3728 FILLER_59_499
*3729 FILLER_59_507
*3730 FILLER_59_53
*3731 FILLER_59_61
*3732 FILLER_59_70
*3733 FILLER_59_73
*3734 FILLER_59_80
*3735 FILLER_59_88
*3736 FILLER_59_96
*3737 FILLER_5_128
*3738 FILLER_5_132
*3739 FILLER_5_140
*3740 FILLER_5_144
*3741 FILLER_5_152
*3742 FILLER_5_156
*3743 FILLER_5_18
*3744 FILLER_5_187
*3745 FILLER_5_195
*3746 FILLER_5_2
*3747 FILLER_5_201
*3748 FILLER_5_211
*3749 FILLER_5_215
*3750 FILLER_5_218
*3751 FILLER_5_220
*3752 FILLER_5_227
*3753 FILLER_5_258
*3754 FILLER_5_262
*3755 FILLER_5_278
*3756 FILLER_5_282
*3757 FILLER_5_286
*3758 FILLER_5_318
*3759 FILLER_5_326
*3760 FILLER_5_334
*3761 FILLER_5_348
*3762 FILLER_5_352
*3763 FILLER_5_354
*3764 FILLER_5_357
*3765 FILLER_5_361
*3766 FILLER_5_364
*3767 FILLER_5_395
*3768 FILLER_5_411
*3769 FILLER_5_419
*3770 FILLER_5_423
*3771 FILLER_5_425
*3772 FILLER_5_428
*3773 FILLER_5_440
*3774 FILLER_5_448
*3775 FILLER_5_452
*3776 FILLER_5_483
*3777 FILLER_5_487
*3778 FILLER_5_495
*3779 FILLER_5_499
*3780 FILLER_5_507
*3781 FILLER_5_70
*3782 FILLER_5_73
*3783 FILLER_5_75
*3784 FILLER_5_89
*3785 FILLER_5_97
*3786 FILLER_60_105
*3787 FILLER_60_108
*3788 FILLER_60_138
*3789 FILLER_60_142
*3790 FILLER_60_174
*3791 FILLER_60_176
*3792 FILLER_60_179
*3793 FILLER_60_184
*3794 FILLER_60_2
*3795 FILLER_60_200
*3796 FILLER_60_233
*3797 FILLER_60_237
*3798 FILLER_60_245
*3799 FILLER_60_247
*3800 FILLER_60_250
*3801 FILLER_60_282
*3802 FILLER_60_302
*3803 FILLER_60_318
*3804 FILLER_60_321
*3805 FILLER_60_326
*3806 FILLER_60_334
*3807 FILLER_60_338
*3808 FILLER_60_34
*3809 FILLER_60_342
*3810 FILLER_60_37
*3811 FILLER_60_373
*3812 FILLER_60_389
*3813 FILLER_60_392
*3814 FILLER_60_4
*3815 FILLER_60_424
*3816 FILLER_60_427
*3817 FILLER_60_439
*3818 FILLER_60_455
*3819 FILLER_60_459
*3820 FILLER_60_463
*3821 FILLER_60_466
*3822 FILLER_60_470
*3823 FILLER_60_474
*3824 FILLER_60_506
*3825 FILLER_60_508
*3826 FILLER_60_74
*3827 FILLER_61_100
*3828 FILLER_61_104
*3829 FILLER_61_107
*3830 FILLER_61_139
*3831 FILLER_61_141
*3832 FILLER_61_144
*3833 FILLER_61_18
*3834 FILLER_61_181
*3835 FILLER_61_185
*3836 FILLER_61_2
*3837 FILLER_61_201
*3838 FILLER_61_209
*3839 FILLER_61_215
*3840 FILLER_61_219
*3841 FILLER_61_223
*3842 FILLER_61_255
*3843 FILLER_61_271
*3844 FILLER_61_279
*3845 FILLER_61_283
*3846 FILLER_61_286
*3847 FILLER_61_319
*3848 FILLER_61_323
*3849 FILLER_61_357
*3850 FILLER_61_362
*3851 FILLER_61_394
*3852 FILLER_61_425
*3853 FILLER_61_428
*3854 FILLER_61_458
*3855 FILLER_61_462
*3856 FILLER_61_494
*3857 FILLER_61_496
*3858 FILLER_61_499
*3859 FILLER_61_507
*3860 FILLER_61_70
*3861 FILLER_61_73
*3862 FILLER_61_76
*3863 FILLER_61_92
*3864 FILLER_62_103
*3865 FILLER_62_105
*3866 FILLER_62_108
*3867 FILLER_62_140
*3868 FILLER_62_156
*3869 FILLER_62_160
*3870 FILLER_62_165
*3871 FILLER_62_173
*3872 FILLER_62_179
*3873 FILLER_62_2
*3874 FILLER_62_243
*3875 FILLER_62_247
*3876 FILLER_62_250
*3877 FILLER_62_314
*3878 FILLER_62_318
*3879 FILLER_62_321
*3880 FILLER_62_34
*3881 FILLER_62_37
*3882 FILLER_62_385
*3883 FILLER_62_389
*3884 FILLER_62_392
*3885 FILLER_62_456
*3886 FILLER_62_460
*3887 FILLER_62_463
*3888 FILLER_62_495
*3889 FILLER_62_503
*3890 FILLER_62_507
*3891 FILLER_62_53
*3892 FILLER_62_67
*3893 FILLER_62_71
*3894 FILLER_63_137
*3895 FILLER_63_141
*3896 FILLER_63_144
*3897 FILLER_63_2
*3898 FILLER_63_208
*3899 FILLER_63_212
*3900 FILLER_63_215
*3901 FILLER_63_279
*3902 FILLER_63_283
*3903 FILLER_63_286
*3904 FILLER_63_350
*3905 FILLER_63_354
*3906 FILLER_63_357
*3907 FILLER_63_421
*3908 FILLER_63_425
*3909 FILLER_63_428
*3910 FILLER_63_492
*3911 FILLER_63_496
*3912 FILLER_63_499
*3913 FILLER_63_507
*3914 FILLER_63_66
*3915 FILLER_63_70
*3916 FILLER_63_73
*3917 FILLER_64_101
*3918 FILLER_64_105
*3919 FILLER_64_108
*3920 FILLER_64_172
*3921 FILLER_64_176
*3922 FILLER_64_179
*3923 FILLER_64_2
*3924 FILLER_64_243
*3925 FILLER_64_247
*3926 FILLER_64_250
*3927 FILLER_64_314
*3928 FILLER_64_318
*3929 FILLER_64_321
*3930 FILLER_64_34
*3931 FILLER_64_37
*3932 FILLER_64_385
*3933 FILLER_64_389
*3934 FILLER_64_392
*3935 FILLER_64_456
*3936 FILLER_64_460
*3937 FILLER_64_463
*3938 FILLER_64_495
*3939 FILLER_64_503
*3940 FILLER_64_507
*3941 FILLER_65_137
*3942 FILLER_65_141
*3943 FILLER_65_144
*3944 FILLER_65_2
*3945 FILLER_65_208
*3946 FILLER_65_212
*3947 FILLER_65_215
*3948 FILLER_65_279
*3949 FILLER_65_283
*3950 FILLER_65_286
*3951 FILLER_65_350
*3952 FILLER_65_354
*3953 FILLER_65_357
*3954 FILLER_65_421
*3955 FILLER_65_425
*3956 FILLER_65_428
*3957 FILLER_65_492
*3958 FILLER_65_496
*3959 FILLER_65_499
*3960 FILLER_65_507
*3961 FILLER_65_66
*3962 FILLER_65_70
*3963 FILLER_65_73
*3964 FILLER_66_101
*3965 FILLER_66_105
*3966 FILLER_66_108
*3967 FILLER_66_172
*3968 FILLER_66_176
*3969 FILLER_66_179
*3970 FILLER_66_2
*3971 FILLER_66_243
*3972 FILLER_66_247
*3973 FILLER_66_250
*3974 FILLER_66_314
*3975 FILLER_66_318
*3976 FILLER_66_321
*3977 FILLER_66_34
*3978 FILLER_66_37
*3979 FILLER_66_385
*3980 FILLER_66_389
*3981 FILLER_66_392
*3982 FILLER_66_456
*3983 FILLER_66_460
*3984 FILLER_66_463
*3985 FILLER_66_495
*3986 FILLER_66_503
*3987 FILLER_66_507
*3988 FILLER_67_104
*3989 FILLER_67_107
*3990 FILLER_67_139
*3991 FILLER_67_142
*3992 FILLER_67_174
*3993 FILLER_67_177
*3994 FILLER_67_18
*3995 FILLER_67_2
*3996 FILLER_67_209
*3997 FILLER_67_212
*3998 FILLER_67_228
*3999 FILLER_67_243
*4000 FILLER_67_247
*4001 FILLER_67_26
*4002 FILLER_67_279
*4003 FILLER_67_282
*4004 FILLER_67_30
*4005 FILLER_67_314
*4006 FILLER_67_317
*4007 FILLER_67_34
*4008 FILLER_67_349
*4009 FILLER_67_352
*4010 FILLER_67_37
*4011 FILLER_67_384
*4012 FILLER_67_387
*4013 FILLER_67_419
*4014 FILLER_67_422
*4015 FILLER_67_426
*4016 FILLER_67_441
*4017 FILLER_67_449
*4018 FILLER_67_453
*4019 FILLER_67_457
*4020 FILLER_67_489
*4021 FILLER_67_492
*4022 FILLER_67_508
*4023 FILLER_67_52
*4024 FILLER_67_68
*4025 FILLER_67_72
*4026 FILLER_6_105
*4027 FILLER_6_108
*4028 FILLER_6_124
*4029 FILLER_6_157
*4030 FILLER_6_161
*4031 FILLER_6_169
*4032 FILLER_6_176
*4033 FILLER_6_179
*4034 FILLER_6_189
*4035 FILLER_6_197
*4036 FILLER_6_2
*4037 FILLER_6_230
*4038 FILLER_6_240
*4039 FILLER_6_250
*4040 FILLER_6_314
*4041 FILLER_6_318
*4042 FILLER_6_321
*4043 FILLER_6_329
*4044 FILLER_6_333
*4045 FILLER_6_336
*4046 FILLER_6_338
*4047 FILLER_6_34
*4048 FILLER_6_37
*4049 FILLER_6_389
*4050 FILLER_6_392
*4051 FILLER_6_397
*4052 FILLER_6_4
*4053 FILLER_6_405
*4054 FILLER_6_407
*4055 FILLER_6_412
*4056 FILLER_6_420
*4057 FILLER_6_453
*4058 FILLER_6_457
*4059 FILLER_6_460
*4060 FILLER_6_463
*4061 FILLER_6_474
*4062 FILLER_6_506
*4063 FILLER_6_508
*4064 FILLER_6_67
*4065 FILLER_6_69
*4066 FILLER_6_72
*4067 FILLER_6_82
*4068 FILLER_6_97
*4069 FILLER_7_10
*4070 FILLER_7_129
*4071 FILLER_7_133
*4072 FILLER_7_14
*4073 FILLER_7_141
*4074 FILLER_7_144
*4075 FILLER_7_152
*4076 FILLER_7_156
*4077 FILLER_7_160
*4078 FILLER_7_2
*4079 FILLER_7_212
*4080 FILLER_7_215
*4081 FILLER_7_223
*4082 FILLER_7_227
*4083 FILLER_7_239
*4084 FILLER_7_255
*4085 FILLER_7_259
*4086 FILLER_7_265
*4087 FILLER_7_28
*4088 FILLER_7_281
*4089 FILLER_7_283
*4090 FILLER_7_286
*4091 FILLER_7_318
*4092 FILLER_7_326
*4093 FILLER_7_330
*4094 FILLER_7_344
*4095 FILLER_7_346
*4096 FILLER_7_349
*4097 FILLER_7_353
*4098 FILLER_7_357
*4099 FILLER_7_359
*4100 FILLER_7_36
*4101 FILLER_7_389
*4102 FILLER_7_393
*4103 FILLER_7_409
*4104 FILLER_7_419
*4105 FILLER_7_425
*4106 FILLER_7_428
*4107 FILLER_7_46
*4108 FILLER_7_479
*4109 FILLER_7_485
*4110 FILLER_7_493
*4111 FILLER_7_499
*4112 FILLER_7_50
*4113 FILLER_7_507
*4114 FILLER_7_62
*4115 FILLER_7_70
*4116 FILLER_7_73
*4117 FILLER_7_84
*4118 FILLER_7_88
*4119 FILLER_7_96
*4120 FILLER_8_105
*4121 FILLER_8_108
*4122 FILLER_8_124
*4123 FILLER_8_126
*4124 FILLER_8_156
*4125 FILLER_8_160
*4126 FILLER_8_176
*4127 FILLER_8_179
*4128 FILLER_8_191
*4129 FILLER_8_199
*4130 FILLER_8_2
*4131 FILLER_8_203
*4132 FILLER_8_212
*4133 FILLER_8_228
*4134 FILLER_8_237
*4135 FILLER_8_245
*4136 FILLER_8_247
*4137 FILLER_8_250
*4138 FILLER_8_281
*4139 FILLER_8_285
*4140 FILLER_8_317
*4141 FILLER_8_32
*4142 FILLER_8_321
*4143 FILLER_8_34
*4144 FILLER_8_351
*4145 FILLER_8_37
*4146 FILLER_8_382
*4147 FILLER_8_386
*4148 FILLER_8_392
*4149 FILLER_8_422
*4150 FILLER_8_426
*4151 FILLER_8_428
*4152 FILLER_8_45
*4153 FILLER_8_458
*4154 FILLER_8_460
*4155 FILLER_8_463
*4156 FILLER_8_493
*4157 FILLER_8_497
*4158 FILLER_8_505
*4159 FILLER_8_56
*4160 FILLER_8_66
*4161 FILLER_8_70
*4162 FILLER_8_73
*4163 FILLER_8_81
*4164 FILLER_8_91
*4165 FILLER_9_10
*4166 FILLER_9_100
*4167 FILLER_9_104
*4168 FILLER_9_12
*4169 FILLER_9_140
*4170 FILLER_9_144
*4171 FILLER_9_152
*4172 FILLER_9_185
*4173 FILLER_9_194
*4174 FILLER_9_198
*4175 FILLER_9_2
*4176 FILLER_9_206
*4177 FILLER_9_21
*4178 FILLER_9_210
*4179 FILLER_9_212
*4180 FILLER_9_215
*4181 FILLER_9_223
*4182 FILLER_9_227
*4183 FILLER_9_241
*4184 FILLER_9_25
*4185 FILLER_9_283
*4186 FILLER_9_286
*4187 FILLER_9_29
*4188 FILLER_9_318
*4189 FILLER_9_326
*4190 FILLER_9_336
*4191 FILLER_9_343
*4192 FILLER_9_347
*4193 FILLER_9_357
*4194 FILLER_9_363
*4195 FILLER_9_367
*4196 FILLER_9_373
*4197 FILLER_9_389
*4198 FILLER_9_400
*4199 FILLER_9_406
*4200 FILLER_9_414
*4201 FILLER_9_418
*4202 FILLER_9_424
*4203 FILLER_9_428
*4204 FILLER_9_436
*4205 FILLER_9_440
*4206 FILLER_9_444
*4207 FILLER_9_45
*4208 FILLER_9_496
*4209 FILLER_9_499
*4210 FILLER_9_507
*4211 FILLER_9_56
*4212 FILLER_9_64
*4213 FILLER_9_68
*4214 FILLER_9_70
*4215 FILLER_9_73
*4216 FILLER_9_80
*4217 FILLER_9_88
*4218 FILLER_9_92
*4219 FILLER_9_94
*4220 PHY_0
*4221 PHY_1
*4222 PHY_10
*4223 PHY_100
*4224 PHY_101
*4225 PHY_102
*4226 PHY_103
*4227 PHY_104
*4228 PHY_105
*4229 PHY_106
*4230 PHY_107
*4231 PHY_108
*4232 PHY_109
*4233 PHY_11
*4234 PHY_110
*4235 PHY_111
*4236 PHY_112
*4237 PHY_113
*4238 PHY_114
*4239 PHY_115
*4240 PHY_116
*4241 PHY_117
*4242 PHY_118
*4243 PHY_119
*4244 PHY_12
*4245 PHY_120
*4246 PHY_121
*4247 PHY_122
*4248 PHY_123
*4249 PHY_124
*4250 PHY_125
*4251 PHY_126
*4252 PHY_127
*4253 PHY_128
*4254 PHY_129
*4255 PHY_13
*4256 PHY_130
*4257 PHY_131
*4258 PHY_132
*4259 PHY_133
*4260 PHY_134
*4261 PHY_135
*4262 PHY_14
*4263 PHY_15
*4264 PHY_16
*4265 PHY_17
*4266 PHY_18
*4267 PHY_19
*4268 PHY_2
*4269 PHY_20
*4270 PHY_21
*4271 PHY_22
*4272 PHY_23
*4273 PHY_24
*4274 PHY_25
*4275 PHY_26
*4276 PHY_27
*4277 PHY_28
*4278 PHY_29
*4279 PHY_3
*4280 PHY_30
*4281 PHY_31
*4282 PHY_32
*4283 PHY_33
*4284 PHY_34
*4285 PHY_35
*4286 PHY_36
*4287 PHY_37
*4288 PHY_38
*4289 PHY_39
*4290 PHY_4
*4291 PHY_40
*4292 PHY_41
*4293 PHY_42
*4294 PHY_43
*4295 PHY_44
*4296 PHY_45
*4297 PHY_46
*4298 PHY_47
*4299 PHY_48
*4300 PHY_49
*4301 PHY_5
*4302 PHY_50
*4303 PHY_51
*4304 PHY_52
*4305 PHY_53
*4306 PHY_54
*4307 PHY_55
*4308 PHY_56
*4309 PHY_57
*4310 PHY_58
*4311 PHY_59
*4312 PHY_6
*4313 PHY_60
*4314 PHY_61
*4315 PHY_62
*4316 PHY_63
*4317 PHY_64
*4318 PHY_65
*4319 PHY_66
*4320 PHY_67
*4321 PHY_68
*4322 PHY_69
*4323 PHY_7
*4324 PHY_70
*4325 PHY_71
*4326 PHY_72
*4327 PHY_73
*4328 PHY_74
*4329 PHY_75
*4330 PHY_76
*4331 PHY_77
*4332 PHY_78
*4333 PHY_79
*4334 PHY_8
*4335 PHY_80
*4336 PHY_81
*4337 PHY_82
*4338 PHY_83
*4339 PHY_84
*4340 PHY_85
*4341 PHY_86
*4342 PHY_87
*4343 PHY_88
*4344 PHY_89
*4345 PHY_9
*4346 PHY_90
*4347 PHY_91
*4348 PHY_92
*4349 PHY_93
*4350 PHY_94
*4351 PHY_95
*4352 PHY_96
*4353 PHY_97
*4354 PHY_98
*4355 PHY_99
*4356 TAP_136
*4357 TAP_137
*4358 TAP_138
*4359 TAP_139
*4360 TAP_140
*4361 TAP_141
*4362 TAP_142
*4363 TAP_143
*4364 TAP_144
*4365 TAP_145
*4366 TAP_146
*4367 TAP_147
*4368 TAP_148
*4369 TAP_149
*4370 TAP_150
*4371 TAP_151
*4372 TAP_152
*4373 TAP_153
*4374 TAP_154
*4375 TAP_155
*4376 TAP_156
*4377 TAP_157
*4378 TAP_158
*4379 TAP_159
*4380 TAP_160
*4381 TAP_161
*4382 TAP_162
*4383 TAP_163
*4384 TAP_164
*4385 TAP_165
*4386 TAP_166
*4387 TAP_167
*4388 TAP_168
*4389 TAP_169
*4390 TAP_170
*4391 TAP_171
*4392 TAP_172
*4393 TAP_173
*4394 TAP_174
*4395 TAP_175
*4396 TAP_176
*4397 TAP_177
*4398 TAP_178
*4399 TAP_179
*4400 TAP_180
*4401 TAP_181
*4402 TAP_182
*4403 TAP_183
*4404 TAP_184
*4405 TAP_185
*4406 TAP_186
*4407 TAP_187
*4408 TAP_188
*4409 TAP_189
*4410 TAP_190
*4411 TAP_191
*4412 TAP_192
*4413 TAP_193
*4414 TAP_194
*4415 TAP_195
*4416 TAP_196
*4417 TAP_197
*4418 TAP_198
*4419 TAP_199
*4420 TAP_200
*4421 TAP_201
*4422 TAP_202
*4423 TAP_203
*4424 TAP_204
*4425 TAP_205
*4426 TAP_206
*4427 TAP_207
*4428 TAP_208
*4429 TAP_209
*4430 TAP_210
*4431 TAP_211
*4432 TAP_212
*4433 TAP_213
*4434 TAP_214
*4435 TAP_215
*4436 TAP_216
*4437 TAP_217
*4438 TAP_218
*4439 TAP_219
*4440 TAP_220
*4441 TAP_221
*4442 TAP_222
*4443 TAP_223
*4444 TAP_224
*4445 TAP_225
*4446 TAP_226
*4447 TAP_227
*4448 TAP_228
*4449 TAP_229
*4450 TAP_230
*4451 TAP_231
*4452 TAP_232
*4453 TAP_233
*4454 TAP_234
*4455 TAP_235
*4456 TAP_236
*4457 TAP_237
*4458 TAP_238
*4459 TAP_239
*4460 TAP_240
*4461 TAP_241
*4462 TAP_242
*4463 TAP_243
*4464 TAP_244
*4465 TAP_245
*4466 TAP_246
*4467 TAP_247
*4468 TAP_248
*4469 TAP_249
*4470 TAP_250
*4471 TAP_251
*4472 TAP_252
*4473 TAP_253
*4474 TAP_254
*4475 TAP_255
*4476 TAP_256
*4477 TAP_257
*4478 TAP_258
*4479 TAP_259
*4480 TAP_260
*4481 TAP_261
*4482 TAP_262
*4483 TAP_263
*4484 TAP_264
*4485 TAP_265
*4486 TAP_266
*4487 TAP_267
*4488 TAP_268
*4489 TAP_269
*4490 TAP_270
*4491 TAP_271
*4492 TAP_272
*4493 TAP_273
*4494 TAP_274
*4495 TAP_275
*4496 TAP_276
*4497 TAP_277
*4498 TAP_278
*4499 TAP_279
*4500 TAP_280
*4501 TAP_281
*4502 TAP_282
*4503 TAP_283
*4504 TAP_284
*4505 TAP_285
*4506 TAP_286
*4507 TAP_287
*4508 TAP_288
*4509 TAP_289
*4510 TAP_290
*4511 TAP_291
*4512 TAP_292
*4513 TAP_293
*4514 TAP_294
*4515 TAP_295
*4516 TAP_296
*4517 TAP_297
*4518 TAP_298
*4519 TAP_299
*4520 TAP_300
*4521 TAP_301
*4522 TAP_302
*4523 TAP_303
*4524 TAP_304
*4525 TAP_305
*4526 TAP_306
*4527 TAP_307
*4528 TAP_308
*4529 TAP_309
*4530 TAP_310
*4531 TAP_311
*4532 TAP_312
*4533 TAP_313
*4534 TAP_314
*4535 TAP_315
*4536 TAP_316
*4537 TAP_317
*4538 TAP_318
*4539 TAP_319
*4540 TAP_320
*4541 TAP_321
*4542 TAP_322
*4543 TAP_323
*4544 TAP_324
*4545 TAP_325
*4546 TAP_326
*4547 TAP_327
*4548 TAP_328
*4549 TAP_329
*4550 TAP_330
*4551 TAP_331
*4552 TAP_332
*4553 TAP_333
*4554 TAP_334
*4555 TAP_335
*4556 TAP_336
*4557 TAP_337
*4558 TAP_338
*4559 TAP_339
*4560 TAP_340
*4561 TAP_341
*4562 TAP_342
*4563 TAP_343
*4564 TAP_344
*4565 TAP_345
*4566 TAP_346
*4567 TAP_347
*4568 TAP_348
*4569 TAP_349
*4570 TAP_350
*4571 TAP_351
*4572 TAP_352
*4573 TAP_353
*4574 TAP_354
*4575 TAP_355
*4576 TAP_356
*4577 TAP_357
*4578 TAP_358
*4579 TAP_359
*4580 TAP_360
*4581 TAP_361
*4582 TAP_362
*4583 TAP_363
*4584 TAP_364
*4585 TAP_365
*4586 TAP_366
*4587 TAP_367
*4588 TAP_368
*4589 TAP_369
*4590 TAP_370
*4591 TAP_371
*4592 TAP_372
*4593 TAP_373
*4594 TAP_374
*4595 TAP_375
*4596 TAP_376
*4597 TAP_377
*4598 TAP_378
*4599 TAP_379
*4600 TAP_380
*4601 TAP_381
*4602 TAP_382
*4603 TAP_383
*4604 TAP_384
*4605 TAP_385
*4606 TAP_386
*4607 TAP_387
*4608 TAP_388
*4609 TAP_389
*4610 TAP_390
*4611 TAP_391
*4612 TAP_392
*4613 TAP_393
*4614 TAP_394
*4615 TAP_395
*4616 TAP_396
*4617 TAP_397
*4618 TAP_398
*4619 TAP_399
*4620 TAP_400
*4621 TAP_401
*4622 TAP_402
*4623 TAP_403
*4624 TAP_404
*4625 TAP_405
*4626 TAP_406
*4627 TAP_407
*4628 TAP_408
*4629 TAP_409
*4630 TAP_410
*4631 TAP_411
*4632 TAP_412
*4633 TAP_413
*4634 TAP_414
*4635 TAP_415
*4636 TAP_416
*4637 TAP_417
*4638 TAP_418
*4639 TAP_419
*4640 TAP_420
*4641 TAP_421
*4642 TAP_422
*4643 TAP_423
*4644 TAP_424
*4645 TAP_425
*4646 TAP_426
*4647 TAP_427
*4648 TAP_428
*4649 TAP_429
*4650 TAP_430
*4651 TAP_431
*4652 TAP_432
*4653 TAP_433
*4654 TAP_434
*4655 TAP_435
*4656 TAP_436
*4657 TAP_437
*4658 TAP_438
*4659 TAP_439
*4660 TAP_440
*4661 TAP_441
*4662 TAP_442
*4663 TAP_443
*4664 TAP_444
*4665 TAP_445
*4666 TAP_446
*4667 TAP_447
*4668 TAP_448
*4669 TAP_449
*4670 TAP_450
*4671 TAP_451
*4672 TAP_452
*4673 TAP_453
*4674 TAP_454
*4675 TAP_455
*4676 TAP_456
*4677 TAP_457
*4678 TAP_458
*4679 TAP_459
*4680 TAP_460
*4681 TAP_461
*4682 TAP_462
*4683 TAP_463
*4684 TAP_464
*4685 TAP_465
*4686 TAP_466
*4687 TAP_467
*4688 TAP_468
*4689 TAP_469
*4690 TAP_470
*4691 TAP_471
*4692 TAP_472
*4693 TAP_473
*4694 TAP_474
*4695 TAP_475
*4696 TAP_476
*4697 TAP_477
*4698 TAP_478
*4699 TAP_479
*4700 TAP_480
*4701 TAP_481
*4702 TAP_482
*4703 TAP_483
*4704 TAP_484
*4705 TAP_485
*4706 TAP_486
*4707 TAP_487
*4708 TAP_488
*4709 TAP_489
*4710 TAP_490
*4711 TAP_491
*4712 TAP_492
*4713 TAP_493
*4714 TAP_494
*4715 TAP_495
*4716 TAP_496
*4717 TAP_497
*4718 TAP_498
*4719 TAP_499
*4720 TAP_500
*4721 TAP_501
*4722 TAP_502
*4723 TAP_503
*4724 TAP_504
*4725 TAP_505
*4726 TAP_506
*4727 TAP_507
*4728 TAP_508
*4729 TAP_509
*4730 TAP_510
*4731 TAP_511
*4732 TAP_512
*4733 TAP_513
*4734 TAP_514
*4735 TAP_515
*4736 TAP_516
*4737 TAP_517
*4738 TAP_518
*4739 TAP_519
*4740 TAP_520
*4741 TAP_521
*4742 TAP_522
*4743 TAP_523
*4744 TAP_524
*4745 TAP_525
*4746 TAP_526
*4747 TAP_527
*4748 TAP_528
*4749 TAP_529
*4750 TAP_530
*4751 TAP_531
*4752 TAP_532
*4753 TAP_533
*4754 TAP_534
*4755 TAP_535
*4756 TAP_536
*4757 TAP_537
*4758 TAP_538
*4759 TAP_539
*4760 TAP_540
*4761 TAP_541
*4762 TAP_542
*4763 TAP_543
*4764 TAP_544
*4765 TAP_545
*4766 TAP_546
*4767 TAP_547
*4768 TAP_548
*4769 TAP_549
*4770 TAP_550
*4771 TAP_551
*4772 TAP_552
*4773 TAP_553
*4774 TAP_554
*4775 TAP_555
*4776 TAP_556
*4777 TAP_557
*4778 TAP_558
*4779 TAP_559
*4780 TAP_560
*4781 TAP_561
*4782 TAP_562
*4783 TAP_563
*4784 TAP_564
*4785 TAP_565
*4786 TAP_566
*4787 TAP_567
*4788 TAP_568
*4789 TAP_569
*4790 TAP_570
*4791 TAP_571
*4792 TAP_572
*4793 TAP_573
*4794 TAP_574
*4795 TAP_575
*4796 TAP_576
*4797 TAP_577
*4798 TAP_578
*4799 TAP_579
*4800 TAP_580
*4801 TAP_581
*4802 TAP_582
*4803 TAP_583
*4804 TAP_584
*4805 TAP_585
*4806 TAP_586
*4807 TAP_587
*4808 TAP_588
*4809 TAP_589
*4810 TAP_590
*4811 TAP_591
*4812 TAP_592
*4813 TAP_593
*4814 TAP_594
*4815 TAP_595
*4816 TAP_596
*4817 TAP_597
*4818 TAP_598
*4819 TAP_599
*4820 TAP_600
*4821 TAP_601
*4822 TAP_602
*4823 TAP_603
*4824 TAP_604
*4825 TAP_605
*4826 TAP_606
*4827 TAP_607
*4828 TAP_608
*4829 TAP_609
*4830 TAP_610
*4831 TAP_611
*4832 TAP_612
*4833 TAP_613
*4834 TAP_614
*4835 TAP_615
*4836 TAP_616
*4837 TAP_617
*4838 TAP_618
*4839 TAP_619
*4840 TAP_620
*4841 TAP_621
*4842 TAP_622
*4843 TAP_623
*4844 TAP_624
*4845 TAP_625
*4846 _0475_
*4847 _0476_
*4848 _0477_
*4849 _0478_
*4850 _0479_
*4851 _0480_
*4852 _0481_
*4853 _0482_
*4854 _0483_
*4855 _0484_
*4856 _0485_
*4857 _0486_
*4858 _0487_
*4859 _0488_
*4860 _0489_
*4861 _0490_
*4862 _0491_
*4863 _0492_
*4864 _0493_
*4865 _0494_
*4866 _0495_
*4867 _0496_
*4868 _0497_
*4869 _0498_
*4870 _0499_
*4871 _0500_
*4872 _0501_
*4873 _0502_
*4874 _0503_
*4875 _0504_
*4876 _0505_
*4877 _0506_
*4878 _0507_
*4879 _0508_
*4880 _0509_
*4881 _0510_
*4882 _0511_
*4883 _0512_
*4884 _0513_
*4885 _0514_
*4886 _0515_
*4887 _0516_
*4888 _0517_
*4889 _0518_
*4890 _0519_
*4891 _0520_
*4892 _0521_
*4893 _0522_
*4894 _0523_
*4895 _0524_
*4896 _0525_
*4897 _0526_
*4898 _0527_
*4899 _0528_
*4900 _0529_
*4901 _0530_
*4902 _0531_
*4903 _0532_
*4904 _0533_
*4905 _0534_
*4906 _0535_
*4907 _0536_
*4908 _0537_
*4909 _0538_
*4910 _0539_
*4911 _0540_
*4912 _0541_
*4913 _0542_
*4914 _0543_
*4915 _0544_
*4916 _0545_
*4917 _0546_
*4918 _0547_
*4919 _0548_
*4920 _0549_
*4921 _0550_
*4922 _0551_
*4923 _0552_
*4924 _0553_
*4925 _0554_
*4926 _0555_
*4927 _0556_
*4928 _0557_
*4929 _0558_
*4930 _0559_
*4931 _0560_
*4932 _0561_
*4933 _0562_
*4934 _0563_
*4935 _0564_
*4936 _0565_
*4937 _0566_
*4938 _0567_
*4939 _0568_
*4940 _0569_
*4941 _0570_
*4942 _0571_
*4943 _0572_
*4944 _0573_
*4945 _0574_
*4946 _0575_
*4947 _0576_
*4948 _0577_
*4949 _0578_
*4950 _0579_
*4951 _0580_
*4952 _0581_
*4953 _0582_
*4954 _0583_
*4955 _0584_
*4956 _0585_
*4957 _0586_
*4958 _0587_
*4959 _0588_
*4960 _0589_
*4961 _0590_
*4962 _0591_
*4963 _0592_
*4964 _0593_
*4965 _0594_
*4966 _0595_
*4967 _0596_
*4968 _0597_
*4969 _0598_
*4970 _0599_
*4971 _0600_
*4972 _0601_
*4973 _0602_
*4974 _0603_
*4975 _0604_
*4976 _0605_
*4977 _0606_
*4978 _0607_
*4979 _0608_
*4980 _0609_
*4981 _0610_
*4982 _0611_
*4983 _0612_
*4984 _0613_
*4985 _0614_
*4986 _0615_
*4987 _0616_
*4988 _0617_
*4989 _0618_
*4990 _0619_
*4991 _0620_
*4992 _0621_
*4993 _0622_
*4994 _0623_
*4995 _0624_
*4996 _0625_
*4997 _0626_
*4998 _0627_
*4999 _0628_
*5000 _0629_
*5001 _0630_
*5002 _0631_
*5003 _0632_
*5004 _0633_
*5005 _0634_
*5006 _0635_
*5007 _0636_
*5008 _0637_
*5009 _0638_
*5010 _0639_
*5011 _0640_
*5012 _0641_
*5013 _0642_
*5014 _0643_
*5015 _0644_
*5016 _0645_
*5017 _0646_
*5018 _0647_
*5019 _0648_
*5020 _0649_
*5021 _0650_
*5022 _0651_
*5023 _0652_
*5024 _0653_
*5025 _0654_
*5026 _0655_
*5027 _0656_
*5028 _0657_
*5029 _0658_
*5030 _0659_
*5031 _0660_
*5032 _0661_
*5033 _0662_
*5034 _0663_
*5035 _0664_
*5036 _0665_
*5037 _0666_
*5038 _0667_
*5039 _0668_
*5040 _0669_
*5041 _0670_
*5042 _0671_
*5043 _0672_
*5044 _0673_
*5045 _0674_
*5046 _0675_
*5047 _0676_
*5048 _0677_
*5049 _0678_
*5050 _0679_
*5051 _0680_
*5052 _0681_
*5053 _0682_
*5054 _0683_
*5055 _0684_
*5056 _0685_
*5057 _0686_
*5058 _0687_
*5059 _0688_
*5060 _0689_
*5061 _0690_
*5062 _0691_
*5063 _0692_
*5064 _0693_
*5065 _0694_
*5066 _0695_
*5067 _0696_
*5068 _0697_
*5069 _0698_
*5070 _0699_
*5071 _0700_
*5072 _0701_
*5073 _0702_
*5074 _0703_
*5075 _0704_
*5076 _0705_
*5077 _0706_
*5078 _0707_
*5079 _0708_
*5080 _0709_
*5081 _0710_
*5082 _0711_
*5083 _0712_
*5084 _0713_
*5085 _0714_
*5086 _0715_
*5087 _0716_
*5088 _0717_
*5089 _0718_
*5090 _0719_
*5091 _0720_
*5092 _0721_
*5093 _0722_
*5094 _0723_
*5095 _0724_
*5096 _0725_
*5097 _0726_
*5098 _0727_
*5099 _0728_
*5100 _0729_
*5101 _0730_
*5102 _0731_
*5103 _0731__235
*5104 _0732_
*5105 _0732__234
*5106 _0733_
*5107 _0733__233
*5108 _0734_
*5109 _0734__232
*5110 _0735_
*5111 _0735__231
*5112 _0736_
*5113 _0736__230
*5114 _0737_
*5115 _0737__229
*5116 _0738_
*5117 _0738__228
*5118 _0739_
*5119 _0739__227
*5120 _0740_
*5121 _0740__226
*5122 _0741_
*5123 _0741__225
*5124 _0742_
*5125 _0742__224
*5126 _0743_
*5127 _0743__223
*5128 _0744_
*5129 _0744__222
*5130 _0745_
*5131 _0745__221
*5132 _0746_
*5133 _0746__220
*5134 _0747_
*5135 _0747__219
*5136 _0748_
*5137 _0748__218
*5138 _0749_
*5139 _0749__217
*5140 _0750_
*5141 _0750__216
*5142 _0751_
*5143 _0751__215
*5144 _0752_
*5145 _0753_
*5146 _0754_
*5147 _0755_
*5148 _0756_
*5149 _0757_
*5150 _0758_
*5151 _0759_
*5152 _0759__214
*5153 _0760_
*5154 _0760__213
*5155 _0761_
*5156 _0761__212
*5157 _0762_
*5158 _0762__211
*5159 _0763_
*5160 _0763__210
*5161 _0764_
*5162 _0764__209
*5163 _0765_
*5164 _0765__208
*5165 _0766_
*5166 _0766__207
*5167 _0767_
*5168 _0767__206
*5169 _0768_
*5170 _0768__205
*5171 _0769_
*5172 _0769__204
*5173 _0770_
*5174 _0770__203
*5175 _0771_
*5176 _0771__202
*5177 _0772_
*5178 _0772__201
*5179 _0773_
*5180 _0773__200
*5181 _0774_
*5182 _0774__199
*5183 _0775_
*5184 _0775__198
*5185 _0776_
*5186 _0776__197
*5187 _0777_
*5188 _0777__196
*5189 _0778_
*5190 _0778__195
*5191 _0779_
*5192 _0779__194
*5193 _0780_
*5194 _0780__193
*5195 _0781_
*5196 _0782_
*5197 _0783_
*5198 _0784_
*5199 _0785_
*5200 _0786_
*5201 _0787_
*5202 _0787__192
*5203 _0788_
*5204 _0788__191
*5205 _0789_
*5206 _0789__190
*5207 _0790_
*5208 _0790__189
*5209 _0791_
*5210 _0791__188
*5211 _0792_
*5212 _0792__187
*5213 _0793_
*5214 _0793__186
*5215 _0794_
*5216 _0794__185
*5217 _0795_
*5218 _0795__184
*5219 _0796_
*5220 _0796__183
*5221 _0797_
*5222 _0797__182
*5223 _0798_
*5224 _0798__181
*5225 _0799_
*5226 _0799__180
*5227 _0800_
*5228 _0800__179
*5229 _0801_
*5230 _0801__178
*5231 _0802_
*5232 _0802__177
*5233 _0803_
*5234 _0803__176
*5235 _0804_
*5236 _0804__175
*5237 _0805_
*5238 _0805__174
*5239 _0806_
*5240 _0806__173
*5241 _0807_
*5242 _0807__172
*5243 _0808_
*5244 _0808__171
*5245 _0809_
*5246 _0809__170
*5247 _0810_
*5248 _0811_
*5249 _0812_
*5250 _0813_
*5251 _0814_
*5252 _0815_
*5253 _0815__169
*5254 _0816_
*5255 _0816__168
*5256 _0817_
*5257 _0817__167
*5258 _0818_
*5259 _0818__166
*5260 _0819_
*5261 _0819__165
*5262 _0820_
*5263 _0820__164
*5264 _0821_
*5265 _0821__163
*5266 _0822_
*5267 _0822__162
*5268 _0823_
*5269 _0823__161
*5270 _0824_
*5271 _0824__160
*5272 _0825_
*5273 _0825__159
*5274 _0826_
*5275 _0826__158
*5276 _0827_
*5277 _0827__157
*5278 _0828_
*5279 _0828__156
*5280 _0829_
*5281 _0829__155
*5282 _0830_
*5283 _0830__154
*5284 _0831_
*5285 _0831__153
*5286 _0832_
*5287 _0832__152
*5288 _0833_
*5289 _0833__151
*5290 _0834_
*5291 _0834__150
*5292 _0835_
*5293 _0835__149
*5294 _0836_
*5295 _0836__148
*5296 _0837_
*5297 _0837__147
*5298 _0838_
*5299 _0838__146
*5300 _0839_
*5301 _0840_
*5302 _0841_
*5303 _0842_
*5304 _0843_
*5305 _0843__145
*5306 _0844_
*5307 _0844__144
*5308 _0845_
*5309 _0845__143
*5310 _0846_
*5311 _0846__142
*5312 _0847_
*5313 _0847__141
*5314 _0848_
*5315 _0848__140
*5316 _0849_
*5317 _0849__139
*5318 _0850_
*5319 _0850__138
*5320 _0851_
*5321 _0851__137
*5322 _0852_
*5323 _0852__136
*5324 _0853_
*5325 _0853__135
*5326 _0854_
*5327 _0854__134
*5328 _0855_
*5329 _0855__133
*5330 _0856_
*5331 _0856__132
*5332 _0857_
*5333 _0857__131
*5334 _0858_
*5335 _0858__130
*5336 _0859_
*5337 _0859__129
*5338 _0860_
*5339 _0860__128
*5340 _0861_
*5341 _0861__127
*5342 _0862_
*5343 _0862__126
*5344 _0863_
*5345 _0863__125
*5346 _0864_
*5347 _0864__124
*5348 _0865_
*5349 _0865__123
*5350 _0866_
*5351 _0866__122
*5352 _0867_
*5353 _0867__121
*5354 _0868_
*5355 _0869_
*5356 _0870_
*5357 _0871_
*5358 _0871__120
*5359 _0872_
*5360 _0872__119
*5361 _0873_
*5362 _0873__118
*5363 _0874_
*5364 _0874__117
*5365 _0875_
*5366 _0875__116
*5367 _0876_
*5368 _0876__115
*5369 _0877_
*5370 _0877__114
*5371 _0878_
*5372 _0878__113
*5373 _0879_
*5374 _0879__112
*5375 _0880_
*5376 _0880__111
*5377 _0881_
*5378 _0881__110
*5379 _0882_
*5380 _0882__109
*5381 _0883_
*5382 _0883__108
*5383 _0884_
*5384 _0884__107
*5385 _0885_
*5386 _0885__106
*5387 _0886_
*5388 _0886__105
*5389 _0887_
*5390 _0887__104
*5391 _0888_
*5392 _0888__103
*5393 _0889_
*5394 _0889__102
*5395 _0890_
*5396 _0890__101
*5397 _0891_
*5398 _0891__100
*5399 _0892_
*5400 _0892__99
*5401 _0893_
*5402 _0893__98
*5403 _0894_
*5404 _0894__97
*5405 _0895_
*5406 _0895__96
*5407 _0896_
*5408 _0896__95
*5409 _0897_
*5410 _0898_
*5411 _0899_
*5412 _0899__94
*5413 _0900_
*5414 _0900__93
*5415 _0901_
*5416 _0901__92
*5417 _0902_
*5418 _0902__91
*5419 _0903_
*5420 _0903__90
*5421 _0904_
*5422 _0904__89
*5423 _0905_
*5424 _0905__88
*5425 _0906_
*5426 _0906__87
*5427 _0907_
*5428 _0907__86
*5429 _0908_
*5430 _0908__85
*5431 _0909_
*5432 _0909__84
*5433 _0910_
*5434 _0910__83
*5435 _0911_
*5436 _0911__82
*5437 _0912_
*5438 _0912__81
*5439 _0913_
*5440 _0913__80
*5441 _0914_
*5442 _0914__79
*5443 _0915_
*5444 _0915__78
*5445 _0916_
*5446 _0916__77
*5447 _0917_
*5448 _0917__76
*5449 _0918_
*5450 _0918__75
*5451 _0919_
*5452 _0919__74
*5453 _0920_
*5454 _0920__73
*5455 _0921_
*5456 _0921__72
*5457 _0922_
*5458 _0922__71
*5459 _0923_
*5460 _0923__70
*5461 _0924_
*5462 _0924__69
*5463 _0925_
*5464 _0925__68
*5465 _0926_
*5466 _0927_
*5467 _0928_
*5468 _0929_
*5469 _0930_
*5470 _0931_
*5471 _0932_
*5472 _0933_
*5473 _0934_
*5474 _0935_
*5475 _0936_
*5476 _0937_
*5477 _0937__67
*5478 _0938_
*5479 _0938__66
*5480 _0939_
*5481 _0939__65
*5482 _0940_
*5483 _0940__64
*5484 _0941_
*5485 _0941__63
*5486 _0942_
*5487 _0942__62
*5488 _0943_
*5489 _0943__61
*5490 _0944_
*5491 _0944__60
*5492 _0945_
*5493 _0945__59
*5494 _0946_
*5495 _0946__58
*5496 _0947_
*5497 _0947__57
*5498 _0948_
*5499 _0948__56
*5500 _0949_
*5501 _0949__55
*5502 _0950_
*5503 _0950__54
*5504 _0951_
*5505 _0951__53
*5506 _0952_
*5507 _0952__52
*5508 _0953_
*5509 _0953__51
*5510 _0954_
*5511 _0954__50
*5512 _0955_
*5513 _0956_
*5514 _0957_
*5515 _0958_
*5516 _0959_
*5517 _0960_
*5518 _0961_
*5519 _0962_
*5520 _0963_
*5521 _0964_
*5522 _0965_
*5523 _0965__49
*5524 _0966_
*5525 _0966__48
*5526 _0967_
*5527 _0967__47
*5528 _0968_
*5529 _0968__46
*5530 _0969_
*5531 _0969__45
*5532 _0970_
*5533 _0970__44
*5534 _0971_
*5535 _0971__43
*5536 _0972_
*5537 _0972__42
*5538 _0973_
*5539 _0973__41
*5540 _0974_
*5541 _0974__40
*5542 _0975_
*5543 _0975__39
*5544 _0976_
*5545 _0976__38
*5546 _0977_
*5547 _0977__37
*5548 _0978_
*5549 _0978__36
*5550 _0979_
*5551 _0979__35
*5552 _0980_
*5553 _0980__34
*5554 _0981_
*5555 _0981__33
*5556 _0982_
*5557 _0982__32
*5558 _0983_
*5559 _0983__31
*5560 _0984_
*5561 _0985_
*5562 _0986_
*5563 _0987_
*5564 _0988_
*5565 _0989_
*5566 _0990_
*5567 _0991_
*5568 _0992_
*5569 _0993_
*5570 _0993__30
*5571 _0994_
*5572 _0994__29
*5573 _0995_
*5574 _0995__28
*5575 _0996_
*5576 _0996__27
*5577 _0997_
*5578 _0997__26
*5579 _0998_
*5580 _0998__25
*5581 _0999_
*5582 _0999__24
*5583 _1000_
*5584 _1000__23
*5585 _1001_
*5586 _1001__22
*5587 _1002_
*5588 _1002__21
*5589 _1003_
*5590 _1003__20
*5591 _1004_
*5592 _1004__19
*5593 _1005_
*5594 _1005__18
*5595 _1006_
*5596 _1006__17
*5597 _1007_
*5598 _1007__16
*5599 _1008_
*5600 _1008__15
*5601 _1009_
*5602 _1009__14
*5603 _1010_
*5604 _1010__13
*5605 _1011_
*5606 _1011__12
*5607 _1012_
*5608 _1012__11
*5609 _1013_
*5610 _1014_
*5611 clkbuf_0_clk
*5612 clkbuf_2_0__f_clk
*5613 clkbuf_2_1__f_clk
*5614 clkbuf_2_2__f_clk
*5615 clkbuf_2_3__f_clk
*5616 clkbuf_leaf_0_clk
*5617 clkbuf_leaf_10_clk
*5618 clkbuf_leaf_11_clk
*5619 clkbuf_leaf_12_clk
*5620 clkbuf_leaf_13_clk
*5621 clkbuf_leaf_14_clk
*5622 clkbuf_leaf_15_clk
*5623 clkbuf_leaf_16_clk
*5624 clkbuf_leaf_17_clk
*5625 clkbuf_leaf_18_clk
*5626 clkbuf_leaf_19_clk
*5627 clkbuf_leaf_1_clk
*5628 clkbuf_leaf_20_clk
*5629 clkbuf_leaf_21_clk
*5630 clkbuf_leaf_22_clk
*5631 clkbuf_leaf_23_clk
*5632 clkbuf_leaf_24_clk
*5633 clkbuf_leaf_25_clk
*5634 clkbuf_leaf_26_clk
*5635 clkbuf_leaf_27_clk
*5636 clkbuf_leaf_29_clk
*5637 clkbuf_leaf_2_clk
*5638 clkbuf_leaf_30_clk
*5639 clkbuf_leaf_31_clk
*5640 clkbuf_leaf_32_clk
*5641 clkbuf_leaf_33_clk
*5642 clkbuf_leaf_34_clk
*5643 clkbuf_leaf_35_clk
*5644 clkbuf_leaf_36_clk
*5645 clkbuf_leaf_37_clk
*5646 clkbuf_leaf_38_clk
*5647 clkbuf_leaf_39_clk
*5648 clkbuf_leaf_3_clk
*5649 clkbuf_leaf_40_clk
*5650 clkbuf_leaf_41_clk
*5651 clkbuf_leaf_42_clk
*5652 clkbuf_leaf_43_clk
*5653 clkbuf_leaf_44_clk
*5654 clkbuf_leaf_45_clk
*5655 clkbuf_leaf_46_clk
*5656 clkbuf_leaf_47_clk
*5657 clkbuf_leaf_48_clk
*5658 clkbuf_leaf_49_clk
*5659 clkbuf_leaf_4_clk
*5660 clkbuf_leaf_50_clk
*5661 clkbuf_leaf_5_clk
*5662 clkbuf_leaf_6_clk
*5663 clkbuf_leaf_7_clk
*5664 clkbuf_leaf_8_clk
*5665 clkbuf_leaf_9_clk
*5666 output1
*5667 output10
*5668 output2
*5669 output3
*5670 output4
*5671 output5
*5672 output6
*5673 output7
*5674 output8
*5675 output9
*PORTS
clk I
cout1 O
cout10 O
cout2 O
cout3 O
cout4 O
cout5 O
cout6 O
cout7 O
cout8 O
cout9 O
*D_NET *1 0.0282213
*CONN
*P clk I
*I *5611:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1123:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*CAP
1 clk 0.00514918
2 *5611:I 0.000211589
3 *1123:I 0
4 *1:15 0.00200976
5 *1:13 0.00694735
6 *5611:I *4871:A4 0
7 *5611:I *264:11 0.00133835
8 *1:13 *4906:A1 2.39675e-05
9 *1:13 *4906:A2 5.99413e-06
10 *1:13 *4906:A4 0
11 *1:13 *4992:A1 0.000332142
12 *1:13 *4993:A2 0.000654016
13 *1:13 *4995:A1 0.000609368
14 *1:13 *5122:D 0
15 *1:13 *5134:CLK 0
16 *1:13 *130:27 0.000429682
17 *1:13 *414:11 0
18 *1:15 *968:I 0
19 *1:15 *971:I 0
20 *1:15 *4878:A2 0.000557897
21 *1:15 *4880:A1 0.000160384
22 *1:15 *4882:A3 0.00139314
23 *1:15 *4882:A4 1.78027e-05
24 *1:15 *4883:A3 0.000540544
25 *1:15 *5248:CLK 0
26 *1:15 *129:9 0.00173584
27 *1:15 *264:11 0.00610432
28 *1:15 *265:209 0
*RES
1 clk *1:13 45.945
2 *1:13 *1:15 32.04
3 *1:15 *1123:I 9
4 *1:15 *5611:I 12.33
*END
*D_NET *2 0.0020941
*CONN
*P cout1 O
*I *5666:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout1 0.00104705
2 *5666:Z 0.00104705
3 cout1 *5666:I 0
*RES
1 *5666:Z cout1 15.795
*END
*D_NET *3 0.00226063
*CONN
*P cout10 O
*I *5668:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout10 0.00113032
2 *5668:Z 0.00113032
*RES
1 *5668:Z cout10 22.275
*END
*D_NET *4 0.00220012
*CONN
*P cout2 O
*I *5669:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout2 0.00109607
2 *5669:Z 0.00109607
3 cout2 *5669:I 7.96988e-06
*RES
1 *5669:Z cout2 20.878
*END
*D_NET *5 0.00267371
*CONN
*P cout3 O
*I *5670:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout3 0.000909775
2 *5670:Z 0.000909775
3 cout3 *5670:I 0.000854156
*RES
1 *5670:Z cout3 21.375
*END
*D_NET *6 0.000906605
*CONN
*P cout4 O
*I *5671:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout4 0.000412265
2 *5671:Z 0.000412265
3 cout4 *290:51 8.20745e-05
*RES
1 *5671:Z cout4 12.195
*END
*D_NET *7 0.00211959
*CONN
*P cout5 O
*I *5672:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout5 0.000731337
2 *5672:Z 0.000731337
3 cout5 *898:I 0.000496895
4 cout5 *4856:A2 0.000160023
5 cout5 *5672:I 0
*RES
1 *5672:Z cout5 16.335
*END
*D_NET *8 0.00172208
*CONN
*P cout6 O
*I *5673:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout6 0.000853062
2 *5673:Z 0.000853062
3 cout6 *5673:I 1.59607e-05
*RES
1 *5673:Z cout6 19.755
*END
*D_NET *9 0.00227965
*CONN
*P cout7 O
*I *5674:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout7 0.00113983
2 *5674:Z 0.00113983
*RES
1 *5674:Z cout7 21.0972
*END
*D_NET *10 0.00217786
*CONN
*P cout8 O
*I *5675:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout8 0.00107996
2 *5675:Z 0.00107996
3 cout8 *5675:I 1.79373e-05
*RES
1 *5675:Z cout8 20.878
*END
*D_NET *11 0.00199994
*CONN
*P cout9 O
*I *5667:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cout9 0.00099997
2 *5667:Z 0.00099997
3 cout9 *5465:D 0
*RES
1 *5667:Z cout9 16.695
*END
*D_NET *14 0.000780368
*CONN
*I *5409:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4943:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *5409:D 0.000349607
2 *4943:ZN 0.000349607
3 *5409:D *852:I 4.6885e-05
4 *5409:D *229:20 3.42687e-05
*RES
1 *4943:ZN *5409:D 20.7
*END
*D_NET *15 0.00369041
*CONN
*I *5354:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4855:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5354:D 0.00132221
2 *4855:ZN 0.00132221
3 *5354:D *4848:A3 0.000373641
4 *5354:D *4850:A3 0.0004109
5 *5354:D *4850:A4 1.59018e-05
6 *5354:D *4855:A2 2.53962e-05
7 *5354:D *5354:CLK 0
8 *5354:D *5457:D 0
9 *5354:D *5457:CLK 9.52821e-06
10 *5354:D *5461:D 0.00021062
*RES
1 *4855:ZN *5354:D 19.53
*END
*D_NET *16 0.0015808
*CONN
*I *5300:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4865:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5300:D 0.000418838
2 *4865:ZN 0.000418838
3 *5300:D *4865:A1 0.000692536
4 *5300:D *5300:CLK 5.05917e-05
*RES
1 *4865:ZN *5300:D 21.78
*END
*D_NET *17 0.00115489
*CONN
*I *5247:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4875:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5247:D 0.000330004
2 *4875:ZN 0.000330004
3 *5247:D *979:I 0
4 *5247:D *5247:CLK 0.000247435
5 *5247:D *302:14 0.000247445
*RES
1 *4875:ZN *5247:D 20.7
*END
*D_NET *18 0.00319005
*CONN
*I *5195:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4885:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5195:D 0.00133544
2 *4885:ZN 0.00133544
3 *5195:D *950:I 0.000275283
4 *5195:D *5502:D 3.29462e-05
5 *5195:D *290:18 5.06472e-05
6 *5195:D *290:23 0.0001603
*RES
1 *4885:ZN *5195:D 26.82
*END
*D_NET *19 0.00768082
*CONN
*I *5144:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *898:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4895:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5144:D 0
2 *898:I 0.000425015
3 *4895:ZN 0.0019718
4 *19:13 0.00239682
5 *19:13 *924:I 0.000186644
6 *19:13 *1008:I 3.46319e-05
7 *19:13 *4856:A1 0.000426998
8 *19:13 *4856:A4 0
9 *19:13 *4857:A1 2.39164e-05
10 *19:13 *4857:A2 0.000264423
11 *19:13 *4864:A1 6.2328e-05
12 *19:13 *4895:A1 1.71343e-05
13 *19:13 *4985:A3 0
14 *19:13 *5197:D 0
15 *19:13 *105:8 0.00132565
16 *19:13 *431:7 4.85724e-05
17 *19:13 *431:12 0
18 cout5 *898:I 0.000496895
*RES
1 *4895:ZN *19:13 30.42
2 *19:13 *898:I 17.73
3 *19:13 *5144:D 4.5
*END
*D_NET *20 0.00194227
*CONN
*I *5609:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4905:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5609:D 0.000823702
2 *4905:ZN 0.000823702
3 *5609:D *4905:A1 1.50846e-05
4 *5609:D *5150:D 2.96438e-05
5 *5609:D *5609:CLK 7.86148e-05
6 *5609:D *310:42 9.37477e-05
7 *5609:D *310:48 7.02166e-05
8 *5609:D *310:56 7.56244e-06
*RES
1 *4905:ZN *5609:D 23.67
*END
*D_NET *21 0.00257863
*CONN
*I *5560:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4914:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5560:D 0.00126361
2 *4914:ZN 0.00126361
3 *5560:D *4914:A2 5.1403e-05
4 *5560:D *272:5 0
5 *5560:D *812:9 0
*RES
1 *4914:ZN *5560:D 27
*END
*D_NET *22 0.00111524
*CONN
*I *5512:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4923:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5512:D 0.00022239
2 *4923:ZN 0.00022239
3 *5512:D *4923:A1 0.000252622
4 *5512:D *5094:A1 0.000400942
5 *5512:D *5512:CLK 7.7749e-07
6 *5512:D *275:78 0
7 *5512:D *540:9 1.61223e-05
*RES
1 *4923:ZN *5512:D 20.34
*END
*D_NET *23 0.000551365
*CONN
*I *5465:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4933:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5465:D 0.000250697
2 *4933:Z 0.000250697
3 *5465:D *1050:I 1.72041e-06
4 *5465:D *4933:I 4.82503e-05
5 cout9 *5465:D 0
*RES
1 *4933:Z *5465:D 10.89
*END
*D_NET *24 0.00144592
*CONN
*I *5096:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4950:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5096:D 0.000436431
2 *4950:ZN 0.000436431
3 *5096:D *5597:CLK 0.000302363
4 *5096:D *266:197 0.000151463
5 *5096:D *487:7 0
6 *5096:D *498:11 0.000119228
*RES
1 *4950:ZN *5096:D 22.14
*END
*D_NET *25 0.00230805
*CONN
*I *5097:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4952:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5097:D 0.000488994
2 *4952:ZN 0.000488994
3 *5097:D *1132:I 4.3413e-05
4 *5097:D *4952:A1 0.000753072
5 *5097:D *5108:CLK 9.67336e-05
6 *5097:D *266:177 0.000264909
7 *5097:D *266:211 0.000100177
8 *5097:D *273:10 4.911e-05
9 *5097:D *273:12 1.50886e-05
10 *5097:D *273:17 0
11 *5097:D *273:26 0
12 *5097:D *507:7 7.56244e-06
*RES
1 *4952:ZN *5097:D 23.4
*END
*D_NET *26 0.0006057
*CONN
*I *5098:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4954:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5098:D 8.01283e-05
2 *4954:ZN 8.01283e-05
3 *5098:D *4948:I 0.000302501
4 *5098:D *4954:A1 0.000126819
5 *5098:D *186:7 1.61223e-05
*RES
1 *4954:ZN *5098:D 10.26
*END
*D_NET *27 0.00151533
*CONN
*I *5099:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4957:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5099:D 0.000605267
2 *4957:ZN 0.000605267
3 *5099:D *875:I 0
4 *5099:D *4957:A2 5.12688e-05
5 *5099:D *184:8 2.5588e-05
6 *5099:D *184:10 1.48418e-05
7 *5099:D *191:8 0.000213099
8 *5099:D *271:75 0
*RES
1 *4957:ZN *5099:D 23.31
*END
*D_NET *28 0.00167819
*CONN
*I *5100:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4960:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5100:D 0.000837547
2 *4960:ZN 0.000837547
3 *5100:D *510:12 3.09285e-06
*RES
1 *4960:ZN *5100:D 24.12
*END
*D_NET *29 0.00196964
*CONN
*I *5101:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4962:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5101:D 0.000652677
2 *4962:ZN 0.000652677
3 *5101:D *4962:A2 0.000664286
4 *5101:D *510:12 0
*RES
1 *4962:ZN *5101:D 23.04
*END
*D_NET *30 0.000679131
*CONN
*I *5145:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4966:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5145:D 0.000301049
2 *4966:ZN 0.000301049
3 *5145:D *5148:CLK 2.86664e-05
4 *5145:D *198:17 0
5 *5145:D *310:78 4.83668e-05
*RES
1 *4966:ZN *5145:D 11.25
*END
*D_NET *31 0.00106718
*CONN
*I *5146:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4969:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5146:D 0.000423646
2 *4969:ZN 0.000423646
3 *5146:D *4969:A1 7.84526e-05
4 *5146:D *4969:A2 3.97913e-05
5 *5146:D *470:18 0.000101647
*RES
1 *4969:ZN *5146:D 21.6
*END
*D_NET *32 0.000646031
*CONN
*I *5147:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4971:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5147:D 0.000212203
2 *4971:ZN 0.000212203
3 *5147:D *1168:I 5.67153e-05
4 *5147:D *4971:A1 4.34859e-05
5 *5147:D *4971:A2 0.000121424
6 *5147:D *5147:CLK 0
*RES
1 *4971:ZN *5147:D 10.98
*END
*D_NET *33 0.000838451
*CONN
*I *5148:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4973:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5148:D 0.000208595
2 *4973:ZN 0.000208595
3 *5148:D *148:12 0.000153653
4 *5148:D *196:9 0.000223428
5 *5148:D *198:13 4.41802e-05
*RES
1 *4973:ZN *5148:D 11.07
*END
*D_NET *34 0.00256109
*CONN
*I *5149:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4976:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5149:D 0.00106636
2 *4976:ZN 0.00106636
3 *5149:D *1169:I 0
4 *5149:D *4974:A1 0.000226516
5 *5149:D *4976:A3 0.000170683
6 *5149:D *265:35 5.48298e-06
7 *5149:D *310:56 2.56834e-05
*RES
1 *4976:ZN *5149:D 25.2
*END
*D_NET *35 0.00209008
*CONN
*I *5150:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4978:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5150:D 0.000305768
2 *4978:ZN 0.000305768
3 *5150:D *4905:A1 0.000976421
4 *5150:D *310:24 0.000110547
5 *5150:D *482:20 0.000361932
6 *5609:D *5150:D 2.96438e-05
*RES
1 *4978:ZN *5150:D 22.41
*END
*D_NET *36 0.00112157
*CONN
*I *5196:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4982:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5196:D 0.000327448
2 *4982:ZN 0.000327448
3 *5196:D *4982:A3 3.01487e-05
4 *5196:D *5197:CLK 0
5 *5196:D *268:96 0.000436524
6 *5196:D *268:141 0
*RES
1 *4982:ZN *5196:D 11.52
*END
*D_NET *37 0.00161121
*CONN
*I *5197:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4985:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5197:D 0.00020767
2 *4985:ZN 0.00020767
3 *5197:D *4984:A1 0.000286409
4 *5197:D *4985:A3 0.000536469
5 *5197:D *5197:CLK 0
6 *5197:D *139:26 0.000109348
7 *5197:D *431:12 7.51274e-05
8 *5197:D *442:8 0.000188512
9 *19:13 *5197:D 0
*RES
1 *4985:ZN *5197:D 21.06
*END
*D_NET *38 0.000562868
*CONN
*I *5198:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4987:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5198:D 0.000264305
2 *4987:ZN 0.000264305
3 *5198:D *4987:A1 1.61223e-05
4 *5198:D *5198:CLK 1.50581e-05
5 *5198:D *207:8 3.07804e-06
*RES
1 *4987:ZN *5198:D 10.62
*END
*D_NET *39 0.00123481
*CONN
*I *5199:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4989:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5199:D 0.00037421
2 *4989:ZN 0.00037421
3 *5199:D *4990:A2 0.000182246
4 *5199:D *268:67 9.68694e-06
5 *5199:D *268:80 0.00029446
*RES
1 *4989:ZN *5199:D 21.33
*END
*D_NET *40 0.000689591
*CONN
*I *5200:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4991:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5200:D 0.000301208
2 *4991:ZN 0.000301208
3 *5200:D *4988:A1 0
4 *5200:D *4988:A2 4.21136e-05
5 *5200:D *4989:A3 0
6 *5200:D *4991:A2 3.10936e-05
7 *5200:D *288:18 1.39683e-05
*RES
1 *4991:ZN *5200:D 11.16
*END
*D_NET *41 0.000712778
*CONN
*I *5248:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4992:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5248:D 0.000356389
2 *4992:ZN 0.000356389
3 *5248:D *4995:A1 0
4 *5248:D *4996:A2 0
*RES
1 *4992:ZN *5248:D 11.34
*END
*D_NET *42 0.00107079
*CONN
*I *5249:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4995:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5249:D 0.000272532
2 *4995:ZN 0.000272532
3 *5249:D *4995:A2 8.06113e-05
4 *5249:D *4995:A3 0.000150668
5 *5249:D *403:7 0
6 *5249:D *414:11 0.00029445
*RES
1 *4995:ZN *5249:D 11.97
*END
*D_NET *43 0.00127911
*CONN
*I *5250:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *4998:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5250:D 0.00059208
2 *4998:ZN 0.00059208
3 *5250:D *4998:A2 6.48042e-05
4 *5250:D *423:5 3.01487e-05
*RES
1 *4998:ZN *5250:D 22.14
*END
*D_NET *44 0.00137372
*CONN
*I *5251:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5001:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5251:D 0.00062453
2 *5001:ZN 0.00062453
3 *5251:D *5001:A1 4.21033e-05
4 *5251:D *5001:A3 7.34132e-05
5 *5251:D *5251:CLK 0
6 *5251:D *130:8 9.14169e-06
*RES
1 *5001:ZN *5251:D 12.51
*END
*D_NET *45 0.00093033
*CONN
*I *5301:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5002:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5301:D 0.000271934
2 *5002:ZN 0.000271934
3 *5301:D *848:I 1.55498e-06
4 *5301:D *121:7 0.00029446
5 *5301:D *121:11 9.04462e-05
*RES
1 *5002:ZN *5301:D 11.34
*END
*D_NET *46 0.000474194
*CONN
*I *5302:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5004:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5302:D 9.62888e-05
2 *5004:ZN 9.62888e-05
3 *5302:D *5004:A1 7.96988e-06
4 *5302:D *5004:A2 0.000118147
5 *5302:D *121:19 0.0001555
*RES
1 *5004:ZN *5302:D 10.08
*END
*D_NET *47 0.000317625
*CONN
*I *5303:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5007:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5303:D 0.000146829
2 *5007:ZN 0.000146829
3 *5303:D *5303:CLK 2.39675e-05
4 *5303:D *121:34 0
*RES
1 *5007:ZN *5303:D 9.99
*END
*D_NET *48 0.000602668
*CONN
*I *5355:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5008:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5355:D 0.000288679
2 *5008:ZN 0.000288679
3 *5355:D *5008:A1 1.04678e-05
4 *5355:D *5010:A1 9.69029e-06
5 *5355:D *112:11 5.15117e-06
*RES
1 *5008:ZN *5355:D 10.98
*END
*D_NET *49 0.000525387
*CONN
*I *5356:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5010:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5356:D 0.000262693
2 *5010:ZN 0.000262693
3 *5356:D *286:57 0
*RES
1 *5010:ZN *5356:D 10.62
*END
*D_NET *50 0.000723622
*CONN
*I *5410:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5011:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5410:D 0.000219336
2 *5011:ZN 0.000219336
3 *5410:D *5011:A1 0.000284949
*RES
1 *5011:ZN *5410:D 10.8
*END
*D_NET *51 0.000466319
*CONN
*I *5466:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5019:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5466:D 4.26825e-05
2 *5019:ZN 4.26825e-05
3 *5466:D *182:27 0.000302501
4 *5466:D *229:37 7.84526e-05
*RES
1 *5019:ZN *5466:D 9.81
*END
*D_NET *52 0.000980734
*CONN
*I *5467:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5021:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5467:D 0.000124485
2 *5021:ZN 0.000124485
3 *5467:D *5021:A2 1.0415e-05
4 *5467:D *182:27 0.000343508
5 *5467:D *229:37 0.000377841
*RES
1 *5021:ZN *5467:D 10.89
*END
*D_NET *53 0.000632507
*CONN
*I *5468:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5025:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*CAP
1 *5468:D 0.000150725
2 *5025:ZN 0.000150725
3 *5468:D *5025:A2 0.00029445
4 *5468:D *181:18 3.66071e-05
*RES
1 *5025:ZN *5468:D 19.26
*END
*D_NET *54 0.00230778
*CONN
*I *5469:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5028:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*CAP
1 *5469:D 0.000933737
2 *5028:ZN 0.000933737
3 *5469:D *5013:A2 5.25785e-05
4 *5469:D *5013:A3 0
5 *5469:D *5013:A4 3.40214e-05
6 *5469:D *5023:A2 0
7 *5469:D *5028:A1 0.000106548
8 *5469:D *5028:B 0.000154748
9 *5469:D *5031:A1 1.71343e-05
10 *5469:D *5470:CLK 0
11 *5469:D *229:9 0
12 *5469:D *236:5 2.39164e-05
13 *5469:D *236:17 5.13601e-05
*RES
1 *5028:ZN *5469:D 25.38
*END
*D_NET *55 0.00122735
*CONN
*I *5470:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5031:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5470:D 0.000334773
2 *5031:ZN 0.000334773
3 *5470:D *5031:A2 0
4 *5470:D *5031:B 0.000121424
5 *5470:D *229:20 0.000436375
*RES
1 *5031:ZN *5470:D 12.33
*END
*D_NET *56 0.00151824
*CONN
*I *5471:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5033:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi221_1
*CAP
1 *5471:D 0.000607871
2 *5033:ZN 0.000607871
3 *5471:D *5014:A2 0
4 *5471:D *5033:A1 0.000302501
*RES
1 *5033:ZN *5471:D 23.04
*END
*D_NET *57 0.00206642
*CONN
*I *5472:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5036:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5472:D 0.00048238
2 *5036:ZN 0.00048238
3 *5472:D *857:I 0.000177399
4 *5472:D *5036:A2 1.0415e-05
5 *5472:D *5036:B 7.53912e-05
6 *5472:D *5472:CLK 0.000393233
7 *5472:D *237:33 0.00029446
8 *5472:D *311:16 0.000101647
9 *5472:D *343:10 4.911e-05
*RES
1 *5036:ZN *5472:D 22.86
*END
*D_NET *58 0.000806738
*CONN
*I *5473:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5039:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5473:D 0.000220451
2 *5039:ZN 0.000220451
3 *5473:D *5016:A2 3.66071e-05
4 *5473:D *5038:A2 0
5 *5473:D *5039:B 0.000247435
6 *5473:D *5473:CLK 8.17943e-05
7 *5473:D *180:10 0
*RES
1 *5039:ZN *5473:D 19.98
*END
*D_NET *59 0.00120624
*CONN
*I *5474:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5040:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5474:D 0.000567817
2 *5040:ZN 0.000567817
3 *5474:D *4941:A3 3.12451e-05
4 *5474:D *5040:A2 3.33765e-05
5 *5474:D *176:7 5.97909e-06
6 *5474:D *224:7 0
7 *5474:D *224:9 0
8 *5474:D *228:9 0
9 *5474:D *265:97 0
*RES
1 *5040:ZN *5474:D 12.78
*END
*D_NET *60 0.00212419
*CONN
*I *5475:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5042:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi22_1
*CAP
1 *5475:D 0.000537546
2 *5042:ZN 0.000537546
3 *5475:D *4934:I 7.53912e-05
4 *5475:D *4942:I 0.000619638
5 *5475:D *5468:CLK 0
6 *5475:D *5475:CLK 2.39675e-05
7 *5475:D *182:11 0.000259286
8 *5475:D *182:64 7.08191e-05
*RES
1 *5042:ZN *5475:D 23.58
*END
*D_NET *61 0.00187626
*CONN
*I *5513:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5049:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5513:D 0.0004447
2 *5049:ZN 0.0004447
3 *5513:D *1075:I 0.000138855
4 *5513:D *5513:CLK 0
5 *5513:D *267:226 0.000151463
6 *5513:D *294:8 0.000263094
7 *5513:D *294:14 0.000324236
8 *5513:D *543:9 0.000109209
*RES
1 *5049:ZN *5513:D 23.94
*END
*D_NET *62 0.000365375
*CONN
*I *5514:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5051:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5514:D 0.000164384
2 *5051:ZN 0.000164384
3 *5514:D *5514:CLK 3.66071e-05
*RES
1 *5051:ZN *5514:D 10.08
*END
*D_NET *63 0.00337111
*CONN
*I *5515:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5055:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5515:D 0.00109456
2 *5055:ZN 0.00109456
3 *5515:D *5044:A2 0
4 *5515:D *5044:A3 8.90289e-06
5 *5515:D *5055:A3 0.000154152
6 *5515:D *5058:A1 0.000164429
7 *5515:D *5517:D 0
8 *5515:D *267:143 7.83197e-05
9 *5515:D *267:203 0.000393233
10 *5515:D *563:9 0.000382962
11 *5515:D *564:10 0
*RES
1 *5055:ZN *5515:D 27.18
*END
*D_NET *64 0.000857667
*CONN
*I *5516:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5058:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5516:D 0.000245176
2 *5058:ZN 0.000245176
3 *5516:D *5517:CLK 2.92808e-05
4 *5516:D *267:11 1.0415e-05
5 *5516:D *267:140 0.000327618
*RES
1 *5058:ZN *5516:D 11.34
*END
*D_NET *65 0.00122958
*CONN
*I *5517:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5060:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5517:D 0.000559899
2 *5060:ZN 0.000559899
3 *5517:D *5055:A3 3.39408e-05
4 *5517:D *246:9 6.97466e-05
5 *5517:D *253:11 6.0941e-06
6 *5517:D *267:150 0
7 *5515:D *5517:D 0
*RES
1 *5060:ZN *5517:D 12.78
*END
*D_NET *66 0.00208499
*CONN
*I *5518:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5062:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*CAP
1 *5518:D 0.000917363
2 *5062:ZN 0.000917363
3 *5518:D *566:9 0.000250266
*RES
1 *5062:ZN *5518:D 24.21
*END
*D_NET *67 0.00107442
*CONN
*I *5519:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5065:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5519:D 0.000537212
2 *5065:ZN 0.000537212
3 *5519:D *5061:B 0
4 *5519:D *296:54 0
*RES
1 *5065:ZN *5519:D 21.96
*END
*D_NET *68 0.0013773
*CONN
*I *5520:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5069:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5520:D 0.000639395
2 *5069:ZN 0.000639395
3 *5520:D *4932:A3 0
4 *5520:D *5046:A1 0
5 *5520:D *5069:A2 9.85067e-05
6 *5520:D *295:7 0
*RES
1 *5069:ZN *5520:D 13.14
*END
*D_NET *69 0.000980554
*CONN
*I *5521:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5070:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5521:D 0.000337698
2 *5070:ZN 0.000337698
3 *5521:D *5070:A1 0.000305158
*RES
1 *5070:ZN *5521:D 11.88
*END
*D_NET *70 0.000629547
*CONN
*I *5561:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5077:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5561:D 0.000298173
2 *5077:ZN 0.000298173
3 *5561:D *5077:A1 9.23413e-06
4 *5561:D *5078:A2 0
5 *5561:D *5079:A1 5.31325e-06
6 *5561:D *5082:A3 1.86543e-05
*RES
1 *5077:ZN *5561:D 10.98
*END
*D_NET *71 0.000271233
*CONN
*I *5562:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5079:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5562:D 0.000126289
2 *5079:ZN 0.000126289
3 *5562:D *275:49 1.86543e-05
*RES
1 *5079:ZN *5562:D 9.81
*END
*D_NET *72 0.00199673
*CONN
*I *5563:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5082:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5563:D 0.000560378
2 *5082:ZN 0.000560378
3 *5563:D *5071:I 0
4 *5563:D *5073:A4 0.000490975
5 *5563:D *5563:CLK 4.37704e-06
6 *5563:D *275:20 8.68947e-05
7 *5563:D *275:22 0.000206262
8 *5563:D *283:44 7.7749e-07
9 *5563:D *535:8 8.66883e-05
*RES
1 *5082:ZN *5563:D 23.4
*END
*D_NET *73 0.000764737
*CONN
*I *5564:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5085:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5564:D 0.000374399
2 *5085:ZN 0.000374399
3 *5564:D *5564:CLK 7.96988e-06
4 *5564:D *83:46 7.96988e-06
*RES
1 *5085:ZN *5564:D 11.88
*END
*D_NET *74 0.000427814
*CONN
*I *5565:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5087:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5565:D 0.000163938
2 *5087:ZN 0.000163938
3 *5565:D *5087:A2 9.99385e-05
4 *5565:D *5565:CLK 0
*RES
1 *5087:ZN *5565:D 10.35
*END
*D_NET *75 0.00187386
*CONN
*I *5566:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5090:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5566:D 0.000752355
2 *5090:ZN 0.000752355
3 *5566:D *5090:A1 8.46635e-05
4 *5566:D *5090:A3 0.00014228
5 *5566:D *5092:A2 0.000129835
6 *5566:D *83:8 0
7 *5566:D *538:8 1.23714e-05
*RES
1 *5090:ZN *5566:D 24.93
*END
*D_NET *76 0.000682742
*CONN
*I *5567:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5093:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *5567:D 5.04091e-05
2 *5093:ZN 5.04091e-05
3 *5567:D *5093:A1 0.00020839
4 *5567:D *82:7 0.000373534
*RES
1 *5093:ZN *5567:D 10.26
*END
*D_NET *77 0.000764788
*CONN
*I *5568:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5094:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5568:D 0.000282147
2 *5094:ZN 0.000282147
3 *5568:D *4923:A2 6.36964e-05
4 *5568:D *5094:A1 7.31014e-05
5 *5568:D *5094:A2 0
6 *5568:D *164:6 6.36964e-05
*RES
1 *5094:ZN *5568:D 20.43
*END
*D_NET *78 0.000726291
*CONN
*I *5610:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5095:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5610:D 0.000231673
2 *5095:ZN 0.000231673
3 *5610:D *5610:CLK 0.000111037
4 *5610:D *187:8 0.00012659
5 *5610:D *187:17 2.53181e-05
*RES
1 *5095:ZN *5610:D 20.34
*END
*D_NET *79 0.00437028
*CONN
*I *5081:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5080:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5078:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5077:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5071:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5081:A2 0
2 *5080:A3 0
3 *5078:A2 0.000374969
4 *5077:A1 0.000301278
5 *5071:Z 0.000241143
6 *79:12 0.000734477
7 *79:10 0.000358639
8 *79:8 0.000541553
9 *5077:A1 *865:I 0.000528769
10 *5077:A1 *5079:A1 3.98162e-05
11 *5077:A1 *5082:A3 0.000138388
12 *5078:A2 *526:7 1.61223e-05
13 *5078:A2 *526:9 6.10595e-05
14 *79:8 *5071:I 0.000215962
15 *79:8 *275:15 0
16 *79:10 *5071:I 0
17 *79:10 *5081:A1 0.000591397
18 *79:10 *5082:A3 8.30332e-05
19 *79:12 *5082:A3 0.000134446
20 *5561:D *5077:A1 9.23413e-06
21 *5561:D *5078:A2 0
*RES
1 *5071:Z *79:8 11.16
2 *79:8 *79:10 2.43
3 *79:10 *79:12 0.81
4 *79:12 *5077:A1 11.88
5 *79:12 *5078:A2 11.61
6 *79:10 *5080:A3 9
7 *79:8 *5081:A2 9
*END
*D_NET *80 0.0031351
*CONN
*I *5094:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5075:A1 I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *5072:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *5094:A1 0.000420063
2 *5075:A1 0.000690371
3 *5072:ZN 0
4 *80:5 0.00111043
5 *5075:A1 *4922:A1 0
6 *5075:A1 *4922:A2 0
7 *5075:A1 *5512:CLK 0
8 *5075:A1 *5567:CLK 0
9 *5075:A1 *540:9 9.97279e-05
10 *5094:A1 *871:I 6.349e-05
11 *5094:A1 *1134:I 0.000174537
12 *5094:A1 *4923:A1 6.24504e-05
13 *5094:A1 *4923:A2 1.84922e-05
14 *5094:A1 *5094:A2 1.0743e-05
15 *5094:A1 *5512:CLK 0
16 *5094:A1 *164:6 0
17 *5094:A1 *275:64 0
18 *5094:A1 *275:66 0
19 *5094:A1 *540:9 1.07462e-05
20 *5512:D *5094:A1 0.000400942
21 *5568:D *5094:A1 7.31014e-05
*RES
1 *5072:ZN *80:5 9
2 *80:5 *5075:A1 13.5
3 *80:5 *5094:A1 13.14
*END
*D_NET *81 0.00525082
*CONN
*I *5074:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5083:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5073:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *5074:A4 0.000291226
2 *5083:I 0
3 *5073:Z 0.000125806
4 *81:6 0.000417032
5 *5074:A4 *5074:A2 8.39828e-06
6 *5074:A4 *5074:A3 0.000140058
7 *5074:A4 *5088:A2 1.24348e-05
8 *5074:A4 *5092:A2 2.27072e-05
9 *5074:A4 *83:8 0.000726924
10 *5074:A4 *83:43 0.000906591
11 *5074:A4 *88:12 0.00076189
12 *5074:A4 *88:17 0.000237165
13 *5074:A4 *538:8 5.06372e-05
14 *81:6 *5085:A1 0.000885552
15 *81:6 *5085:A3 0
16 *81:6 *83:43 0.000187152
17 *81:6 *83:51 9.76769e-06
18 *81:6 *88:12 0.000174537
19 *81:6 *275:22 0.000292938
*RES
1 *5073:Z *81:6 12.51
2 *81:6 *5083:I 9
3 *81:6 *5074:A4 14.67
*END
*D_NET *82 0.00356687
*CONN
*I *5091:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *5094:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5075:A2 I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *5074:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*CAP
1 *5091:I 0
2 *5094:A2 0.000366722
3 *5075:A2 0.000294582
4 *5074:ZN 0.000233351
5 *82:17 0.000429028
6 *82:7 0.000590239
7 *5075:A2 *4922:A1 0
8 *5075:A2 *4922:A2 3.09285e-06
9 *5075:A2 *5093:A3 0
10 *5075:A2 *164:6 0
11 *5094:A2 *871:I 0
12 *5094:A2 *1134:I 0
13 *5094:A2 *4923:A2 0.000153875
14 *5094:A2 *5093:A1 0
15 *5094:A2 *84:51 8.49514e-06
16 *5094:A2 *266:123 0
17 *82:7 *5076:I 0
18 *82:7 *5092:A2 0
19 *82:7 *5093:A1 6.35892e-05
20 *82:7 *5093:A2 0.000609317
21 *82:7 *83:17 0
22 *82:17 *5093:A1 0.000365492
23 *82:17 *5093:A2 6.48146e-05
24 *5094:A1 *5094:A2 1.0743e-05
25 *5567:D *82:7 0.000373534
26 *5568:D *5094:A2 0
*RES
1 *5074:ZN *82:7 7.38
2 *82:7 *5075:A2 15.75
3 *82:7 *82:17 0.99
4 *82:17 *5094:A2 16.2
5 *82:17 *5091:I 4.5
*END
*D_NET *83 0.00911037
*CONN
*I *867:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *868:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5082:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5085:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5090:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5076:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *864:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *870:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5075:ZN O *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*CAP
1 *867:I 8.07561e-05
2 *868:I 0
3 *5082:A2 0.000309043
4 *5085:A2 0
5 *5090:A2 0.000231516
6 *5076:I 0.000178161
7 *864:I 0
8 *870:I 0
9 *5075:ZN 0.000767033
10 *83:51 0.000530698
11 *83:46 0.000495471
12 *83:43 0.000562678
13 *83:17 0.000473829
14 *83:15 0.000584637
15 *83:10 5.74538e-05
16 *83:8 0.000975138
17 *867:I *275:43 3.22008e-05
18 *5076:I *5093:A2 0
19 *5076:I *266:123 1.59607e-05
20 *5076:I *539:8 0
21 *5082:A2 *5073:A2 0
22 *5082:A2 *5073:A4 1.43029e-05
23 *5082:A2 *5084:A2 0
24 *5082:A2 *5085:A1 0.000119218
25 *5090:A2 *5090:A1 2.60524e-05
26 *5090:A2 *266:123 0.000184582
27 *5090:A2 *537:29 0
28 *83:8 *4922:A2 2.65663e-06
29 *83:8 *5092:A1 0.000307471
30 *83:8 *5092:A2 0
31 *83:8 *163:11 0.000222237
32 *83:8 *538:8 0.000120735
33 *83:17 *5074:A2 0
34 *83:17 *266:123 0.000129238
35 *83:17 *537:29 2.00305e-06
36 *83:43 *5092:A1 2.05612e-05
37 *83:43 *5564:CLK 0.000174546
38 *83:43 *275:22 0.000210986
39 *83:43 *275:24 0.000218696
40 *83:43 *539:8 9.91695e-05
41 *83:46 *5085:A1 0
42 *83:46 *5564:CLK 0
43 *83:46 *88:7 2.21248e-05
44 *83:51 *5085:A1 0.000102806
45 *5074:A4 *83:8 0.000726924
46 *5074:A4 *83:43 0.000906591
47 *5564:D *83:46 7.96988e-06
48 *5566:D *83:8 0
49 *81:6 *83:43 0.000187152
50 *81:6 *83:51 9.76769e-06
51 *82:7 *5076:I 0
52 *82:7 *83:17 0
*RES
1 *5075:ZN *83:8 16.56
2 *83:8 *83:10 4.5
3 *83:10 *870:I 4.5
4 *83:10 *83:15 0.45
5 *83:15 *83:17 2.07
6 *83:17 *864:I 4.5
7 *83:17 *5076:I 14.76
8 *83:15 *5090:A2 6.3
9 *83:8 *83:43 8.01
10 *83:43 *83:46 1.8
11 *83:46 *83:51 10.71
12 *83:51 *5085:A2 4.5
13 *83:51 *5082:A2 6.93
14 *83:46 *868:I 4.5
15 *83:43 *867:I 5.13
*END
*D_NET *84 0.00972971
*CONN
*I *866:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *871:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5094:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *865:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5079:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5077:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *869:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5087:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5076:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *866:I 1.76597e-05
2 *871:I 0.000149839
3 *5094:B 0
4 *865:I 0.000152493
5 *5079:A1 0.000244929
6 *5077:A2 0
7 *869:I 0
8 *5087:A1 0.000161318
9 *5076:Z 0
10 *84:51 0.00045514
11 *84:45 0.000435528
12 *84:27 0.000244929
13 *84:25 0.000320742
14 *84:22 0.000298322
15 *84:8 0.000953216
16 *84:4 0.000809404
17 *866:I *275:49 6.65385e-05
18 *871:I *1134:I 0.000215952
19 *5079:A1 *539:8 2.39385e-05
20 *5087:A1 *4921:A2 0
21 *5087:A1 *5565:CLK 4.34972e-05
22 *5087:A1 *537:9 1.81814e-05
23 *84:8 *1100:I 3.15786e-05
24 *84:8 *5564:CLK 0.00117016
25 *84:8 *5565:CLK 0.000366411
26 *84:8 *266:123 0.000482362
27 *84:8 *275:43 7.03031e-06
28 *84:8 *275:49 9.85067e-05
29 *84:8 *539:8 0.000567863
30 *84:22 *275:49 0.000338017
31 *84:22 *539:8 0.000365483
32 *84:25 *275:49 3.15311e-05
33 *84:45 *275:49 0.000813145
34 *84:45 *539:8 0.000169539
35 *84:51 *1134:I 3.05708e-05
36 *5077:A1 *865:I 0.000528769
37 *5077:A1 *5079:A1 3.98162e-05
38 *5094:A1 *871:I 6.349e-05
39 *5094:A2 *871:I 0
40 *5094:A2 *84:51 8.49514e-06
41 *5561:D *5079:A1 5.31325e-06
*RES
1 *5076:Z *84:4 4.5
2 *84:4 *84:8 14.04
3 *84:8 *5087:A1 10.35
4 *84:8 *869:I 9
5 *84:4 *84:22 0.99
6 *84:22 *84:25 5.67
7 *84:25 *84:27 4.5
8 *84:27 *5077:A2 4.5
9 *84:27 *5079:A1 6.93
10 *84:25 *865:I 10.71
11 *84:22 *84:45 2.25
12 *84:45 *84:51 10.8
13 *84:51 *5094:B 4.5
14 *84:51 *871:I 6.12
15 *84:45 *866:I 4.77
*END
*D_NET *85 0.000538943
*CONN
*I *5079:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5078:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5079:A2 0.000269471
2 *5078:ZN 0.000269471
*RES
1 *5078:ZN *5079:A2 20.25
*END
*D_NET *86 0.00203061
*CONN
*I *5084:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5082:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5080:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *5084:A2 0.000348443
2 *5082:A1 3.44723e-05
3 *5080:Z 0.000238612
4 *86:5 0.000621527
5 *5084:A2 *1098:I 7.56244e-06
6 *5084:A2 *5073:A2 9.99385e-05
7 *5084:A2 *5073:A3 0.000226035
8 *5084:A2 *5073:A4 0.000288077
9 *86:5 *5073:A3 3.98031e-05
10 *86:5 *5082:A3 0.000126144
11 *5082:A2 *5084:A2 0
*RES
1 *5080:Z *86:5 6.39
2 *86:5 *5082:A1 4.77
3 *86:5 *5084:A2 7.92
*END
*D_NET *87 0.0021654
*CONN
*I *5082:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5081:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5082:A3 0.000520033
2 *5081:ZN 0.000520033
3 *5082:A3 *5071:I 3.327e-05
4 *5082:A3 *5081:A1 0.000591397
5 *5077:A1 *5082:A3 0.000138388
6 *5561:D *5082:A3 1.86543e-05
7 *79:10 *5082:A3 8.30332e-05
8 *79:12 *5082:A3 0.000134446
9 *86:5 *5082:A3 0.000126144
*RES
1 *5081:ZN *5082:A3 23.4
*END
*D_NET *88 0.00507048
*CONN
*I *5085:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5089:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5088:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5086:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5083:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5085:A1 0.000215995
2 *5089:A2 0
3 *5088:A3 0
4 *5086:A2 0.000161826
5 *5083:Z 6.1746e-05
6 *88:17 0.000316367
7 *88:12 0.000458456
8 *88:7 0.000581656
9 *5086:A2 *5088:A2 3.5516e-05
10 *5086:A2 *537:9 1.61223e-05
11 *5086:A2 *537:19 0.000125951
12 *88:7 *5564:CLK 2.4367e-05
13 *88:12 *5090:A1 7.74443e-05
14 *88:12 *5090:A3 4.04298e-05
15 *88:17 *5074:A3 3.5516e-05
16 *88:17 *5088:A2 0.000338687
17 *88:17 *5089:A1 3.01487e-05
18 *88:17 *5090:A1 2.11725e-05
19 *88:17 *5090:A3 5.09264e-05
20 *88:17 *5092:A2 0
21 *88:17 *537:29 5.32024e-06
22 *88:17 *538:11 0.000169539
23 *5074:A4 *88:12 0.00076189
24 *5074:A4 *88:17 0.000237165
25 *5082:A2 *5085:A1 0.000119218
26 *81:6 *5085:A1 0.000885552
27 *81:6 *88:12 0.000174537
28 *83:46 *5085:A1 0
29 *83:46 *88:7 2.21248e-05
30 *83:51 *5085:A1 0.000102806
*RES
1 *5083:Z *88:7 9.45
2 *88:7 *88:12 3.87
3 *88:12 *88:17 6.48
4 *88:17 *5086:A2 5.85
5 *88:17 *5088:A3 4.5
6 *88:12 *5089:A2 9
7 *88:7 *5085:A1 12.06
*END
*D_NET *89 0.000291258
*CONN
*I *5085:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5084:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5085:A3 0.000145629
2 *5084:ZN 0.000145629
3 *5085:A3 *275:22 0
4 *81:6 *5085:A3 0
*RES
1 *5084:ZN *5085:A3 19.17
*END
*D_NET *90 0.000899488
*CONN
*I *5087:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5086:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5087:A2 0.000214354
2 *5086:ZN 0.000214354
3 *5087:A2 *5565:CLK 7.63806e-05
4 *5087:A2 *537:9 0.00029446
5 *5565:D *5087:A2 9.99385e-05
*RES
1 *5086:ZN *5087:A2 11.07
*END
*D_NET *91 0.00282819
*CONN
*I *5090:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5092:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5088:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *5090:A1 0.000239831
2 *5092:A2 0.000478381
3 *5088:Z 0
4 *91:5 0.000718212
5 *5090:A1 *5088:A2 4.91829e-05
6 *5090:A1 *5090:A3 0.000521059
7 *5090:A1 *537:29 4.04738e-06
8 *5090:A1 *538:8 0.000107497
9 *5092:A2 *5074:A3 0
10 *5092:A2 *5092:A1 0.000151473
11 *5092:A2 *538:8 0.000196637
12 *5092:A2 *538:11 0
13 *5074:A4 *5092:A2 2.27072e-05
14 *5090:A2 *5090:A1 2.60524e-05
15 *5566:D *5090:A1 8.46635e-05
16 *5566:D *5092:A2 0.000129835
17 *82:7 *5092:A2 0
18 *83:8 *5092:A2 0
19 *88:12 *5090:A1 7.74443e-05
20 *88:17 *5090:A1 2.11725e-05
21 *88:17 *5092:A2 0
*RES
1 *5088:Z *91:5 9
2 *91:5 *5092:A2 13.14
3 *91:5 *5090:A1 11.97
*END
*D_NET *92 0.00137689
*CONN
*I *5090:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5089:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5090:A3 0.000179751
2 *5089:ZN 0.000179751
3 *5090:A3 *5088:A2 0.000153126
4 *5090:A3 *537:29 0.000109567
5 *5090:A1 *5090:A3 0.000521059
6 *5566:D *5090:A3 0.00014228
7 *88:12 *5090:A3 4.04298e-05
8 *88:17 *5090:A3 5.09264e-05
*RES
1 *5089:ZN *5090:A3 20.7
*END
*D_NET *93 0.00120904
*CONN
*I *5093:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5091:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *5093:A2 0.000267457
2 *5091:ZN 0.000267457
3 *5093:A2 *5567:CLK 0
4 *5076:I *5093:A2 0
5 *82:7 *5093:A2 0.000609317
6 *82:17 *5093:A2 6.48146e-05
*RES
1 *5091:ZN *5093:A2 11.7
*END
*D_NET *94 0.000708512
*CONN
*I *5093:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5092:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5093:A3 0.000320798
2 *5092:ZN 0.000320798
3 *5093:A3 *5092:A1 6.69157e-05
4 *5075:A2 *5093:A3 0
*RES
1 *5092:ZN *5093:A3 20.25
*END
*D_NET *95 0.00433571
*CONN
*I *4850:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4846:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4850:A1 0.00115513
2 *4846:ZN 0.00115513
3 *4850:A1 *1036:I 4.83668e-05
4 *4850:A1 *4846:A1 0.000302501
5 *4850:A1 *4846:A2 8.06113e-05
6 *4850:A1 *4847:A1 2.65663e-06
7 *4850:A1 *4847:A2 7.70267e-05
8 *4850:A1 *4847:A3 0.000839135
9 *4850:A1 *4847:A4 0.000570537
10 *4850:A1 *4850:A2 9.06617e-05
11 *4850:A1 *5441:D 7.96988e-06
12 *4850:A1 *5449:D 0
13 *4850:A1 *297:150 5.97909e-06
*RES
1 *4846:ZN *4850:A1 19.8
*END
*D_NET *96 0.00065795
*CONN
*I *4850:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4847:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4850:A2 0.00017235
2 *4847:ZN 0.00017235
3 *4850:A2 *4847:A1 0.00017758
4 *4850:A2 *4847:A3 4.50088e-05
5 *4850:A1 *4850:A2 9.06617e-05
*RES
1 *4847:ZN *4850:A2 10.71
*END
*D_NET *97 0.00371584
*CONN
*I *4850:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4848:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4850:A3 0.000870373
2 *4848:ZN 0.000870373
3 *4850:A3 *4848:A3 4.49984e-05
4 *4850:A3 *4849:A1 2.12756e-05
5 *4850:A3 *4849:A2 0.000769594
6 *4850:A3 *4850:A4 0.000683328
7 *4850:A3 *5410:CLK 4.49984e-05
8 *5354:D *4850:A3 0.0004109
*RES
1 *4848:ZN *4850:A3 18.09
*END
*D_NET *98 0.0012635
*CONN
*I *4850:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4849:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4850:A4 0.000212303
2 *4849:ZN 0.000212303
3 *4850:A4 *4855:A1 3.95443e-05
4 *4850:A4 *4855:A2 0.000100124
5 *4850:A3 *4850:A4 0.000683328
6 *5354:D *4850:A4 1.59018e-05
*RES
1 *4849:ZN *4850:A4 11.34
*END
*D_NET *99 0.00250048
*CONN
*I *4855:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5011:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4850:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*CAP
1 *4855:A1 0.000368629
2 *5011:A1 0.000616525
3 *4850:ZN 0
4 *99:5 0.000985153
5 *4855:A1 *4847:A2 0
6 *4855:A1 *5410:CLK 0.000137967
7 *5011:A1 *4847:A2 0
8 *5011:A1 *4855:A2 6.77126e-05
9 *4850:A4 *4855:A1 3.95443e-05
10 *5410:D *5011:A1 0.000284949
*RES
1 *4850:ZN *99:5 9
2 *99:5 *5011:A1 13.32
3 *99:5 *4855:A1 11.52
*END
*D_NET *100 0.000795637
*CONN
*I *4854:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4851:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4854:A1 0.000121022
2 *4851:ZN 0.000121022
3 *4854:A1 *4851:A2 6.85374e-06
4 *4854:A1 *4854:A2 0.000546739
*RES
1 *4851:ZN *4854:A1 19.71
*END
*D_NET *101 0.0012733
*CONN
*I *4854:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4852:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4854:A2 0.000352673
2 *4852:ZN 0.000352673
3 *4854:A2 *4851:A2 1.85571e-05
4 *4854:A2 *5425:D 2.65663e-06
5 *4854:A2 *267:29 0
6 *4854:A1 *4854:A2 0.000546739
*RES
1 *4852:ZN *4854:A2 20.88
*END
*D_NET *102 0.00271289
*CONN
*I *4854:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4853:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4854:A3 0.000847001
2 *4853:ZN 0.000847001
3 *4854:A3 *4851:A2 6.69186e-05
4 *4854:A3 *4851:A3 0
5 *4854:A3 *5417:D 0.000109081
6 *4854:A3 *267:15 0.000264311
7 *4854:A3 *267:17 0.000578579
8 *4854:A3 *267:25 0
*RES
1 *4853:ZN *4854:A3 15.84
*END
*D_NET *103 0.00495184
*CONN
*I *4855:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5011:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4854:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *4855:A2 0.000550188
2 *5011:A2 0
3 *4854:ZN 0.000884704
4 *103:8 0.00143489
5 *4855:A2 *4849:A1 7.20923e-05
6 *4855:A2 *4849:A3 3.42686e-05
7 *4855:A2 *4849:A4 0.00102878
8 *4855:A2 *5410:CLK 2.17874e-05
9 *103:8 *4849:A3 0.000684827
10 *103:8 *4849:A4 4.70718e-05
11 *103:8 *4851:A2 0
12 *103:8 *5645:I 0
13 *4850:A4 *4855:A2 0.000100124
14 *5011:A1 *4855:A2 6.77126e-05
15 *5354:D *4855:A2 2.53962e-05
*RES
1 *4854:ZN *103:8 20.79
2 *103:8 *5011:A2 4.5
3 *103:8 *4855:A2 18.36
*END
*D_NET *104 0.00420898
*CONN
*I *4864:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4856:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*CAP
1 *4864:A1 0.000597167
2 *4856:ZN 0.000597167
3 *4864:A1 *1008:I 0.000403308
4 *4864:A1 *4856:A4 0
5 *4864:A1 *4864:A2 0.000351933
6 *4864:A1 *4864:A3 9.84971e-05
7 *4864:A1 *105:8 0.00101488
8 *4864:A1 *286:10 0.000247435
9 *4864:A1 *286:14 0.000484353
10 *4864:A1 *286:163 0.000351915
11 *19:13 *4864:A1 6.2328e-05
*RES
1 *4856:ZN *4864:A1 28.17
*END
*D_NET *105 0.00611136
*CONN
*I *834:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4864:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4857:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*CAP
1 *834:I 0
2 *4864:A2 0.000222032
3 *4857:ZN 0.000900462
4 *105:8 0.00112249
5 *4864:A2 *4864:A3 0
6 *4864:A2 *4864:A4 7.96988e-06
7 *4864:A2 *286:18 7.53912e-05
8 *4864:A2 *286:23 0.000143021
9 *105:8 *1008:I 7.00916e-05
10 *105:8 *4857:A1 7.02166e-05
11 *105:8 *286:10 0.000218696
12 *105:8 *286:14 0.000484353
13 *105:8 *286:18 0.000104177
14 *4864:A1 *4864:A2 0.000351933
15 *4864:A1 *105:8 0.00101488
16 *19:13 *105:8 0.00132565
*RES
1 *4857:ZN *105:8 20.88
2 *105:8 *4864:A2 12.24
3 *105:8 *834:I 9
*END
*D_NET *106 0.00193902
*CONN
*I *4864:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4858:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*CAP
1 *4864:A3 0.000426446
2 *4858:ZN 0.000426446
3 *4864:A3 *4858:A1 0.000987628
4 *4864:A3 *5367:CLK 0
5 *4864:A1 *4864:A3 9.84971e-05
6 *4864:A2 *4864:A3 0
*RES
1 *4858:ZN *4864:A3 12.87
*END
*D_NET *107 0.00277484
*CONN
*I *4863:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4859:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*CAP
1 *4863:A1 0.000860658
2 *4859:ZN 0.000860658
3 *4863:A1 *4859:A2 0
4 *4863:A1 *4859:B 0.0001451
5 *4863:A1 *4861:A1 0.000266722
6 *4863:A1 *4863:A2 0.00025798
7 *4863:A1 *4863:A3 1.51249e-05
8 *4863:A1 *4863:A4 4.47735e-05
9 *4863:A1 *5405:D 0
10 *4863:A1 *268:218 0.000150342
11 *4863:A1 *347:8 0.000173481
*RES
1 *4859:ZN *4863:A1 25.47
*END
*D_NET *108 0.00217835
*CONN
*I *4863:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4860:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4863:A2 0.000944463
2 *4860:ZN 0.000944463
3 *4863:A2 *4861:A1 0
4 *4863:A2 *4863:A3 1.0743e-05
5 *4863:A2 *5389:CLK 0
6 *4863:A2 *5395:D 0
7 *4863:A2 *268:218 2.06967e-05
8 *4863:A2 *285:34 0
9 *4863:A2 *285:36 0
10 *4863:A2 *285:38 0
11 *4863:A1 *4863:A2 0.00025798
*RES
1 *4860:ZN *4863:A2 24.57
*END
*D_NET *109 0.00183312
*CONN
*I *4863:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4861:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4863:A3 0.000232399
2 *4861:ZN 0.000232399
3 *4863:A3 *4861:A1 0.000285003
4 *4863:A3 *4861:A2 9.00136e-05
5 *4863:A3 *5387:CLK 5.99413e-06
6 *4863:A3 *5393:D 4.83668e-05
7 *4863:A3 *268:218 0.000819513
8 *4863:A3 *285:34 9.35657e-05
9 *4863:A1 *4863:A3 1.51249e-05
10 *4863:A2 *4863:A3 1.0743e-05
*RES
1 *4861:ZN *4863:A3 21.33
*END
*D_NET *110 0.00278873
*CONN
*I *4863:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4862:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4863:A4 0.000799202
2 *4862:ZN 0.000799202
3 *4863:A4 *4861:A1 0.00043555
4 *4863:A4 *4862:A1 0.000106548
5 *4863:A4 *4862:A2 0.00017758
6 *4863:A4 *4864:A4 4.34878e-05
7 *4863:A4 *5387:D 8.06004e-05
8 *4863:A4 *268:176 0.000199829
9 *4863:A4 *268:218 7.84457e-05
10 *4863:A4 *285:17 0
11 *4863:A4 *285:34 0
12 *4863:A4 *347:8 2.35125e-05
13 *4863:A1 *4863:A4 4.47735e-05
*RES
1 *4862:ZN *4863:A4 25.38
*END
*D_NET *111 0.00230892
*CONN
*I *4864:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4863:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *4864:A4 0.000944182
2 *4863:Z 0.000944182
3 *4864:A4 *5008:A1 0
4 *4864:A4 *112:11 0
5 *4864:A4 *285:34 0.000144849
6 *4864:A4 *286:23 0.000188732
7 *4864:A4 *286:47 3.5516e-05
8 *4863:A4 *4864:A4 4.34878e-05
9 *4864:A2 *4864:A4 7.96988e-06
*RES
1 *4863:Z *4864:A4 25.74
*END
*D_NET *112 0.00328589
*CONN
*I *4865:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5010:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5008:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4864:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*CAP
1 *4865:A2 0.000528605
2 *5010:A1 0.000417353
3 *5008:A2 0
4 *4864:ZN 8.37532e-05
5 *112:11 0.000780192
6 *112:7 0.000975198
7 *4865:A2 *5357:D 7.84457e-05
8 *4865:A2 *286:47 1.59564e-05
9 *4865:A2 *286:53 0.000232106
10 *4865:A2 *286:57 7.24666e-05
11 *5010:A1 *5010:A2 0
12 *5010:A1 *286:47 0
13 *5010:A1 *286:57 0
14 *112:7 *286:47 8.69735e-05
15 *112:11 *286:47 0
16 *4864:A4 *112:11 0
17 *5355:D *5010:A1 9.69029e-06
18 *5355:D *112:11 5.15117e-06
*RES
1 *4864:ZN *112:7 9.63
2 *112:7 *112:11 7.02
3 *112:11 *5008:A2 4.5
4 *112:11 *5010:A1 7.29
5 *112:7 *4865:A2 13.5
*END
*D_NET *113 0.000409035
*CONN
*I *4874:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4866:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *4874:A1 0.000203516
2 *4866:ZN 0.000203516
3 *4874:A1 *4866:A2 0
4 *4874:A1 *4874:A2 2.00305e-06
5 *4874:A1 *121:7 0
*RES
1 *4866:ZN *4874:A1 10.26
*END
*D_NET *114 0.00200038
*CONN
*I *4874:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4867:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*CAP
1 *4874:A2 0.000933762
2 *4867:ZN 0.000933762
3 *4874:A2 *983:I 0
4 *4874:A2 *4867:A2 0
5 *4874:A2 *4874:A3 0
6 *4874:A2 *5312:D 0.000127779
7 *4874:A2 *305:91 3.07804e-06
8 *4874:A1 *4874:A2 2.00305e-06
*RES
1 *4867:ZN *4874:A2 15.21
*END
*D_NET *115 0.0013409
*CONN
*I *4874:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4868:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*CAP
1 *4874:A3 0.000573174
2 *4868:ZN 0.000573174
3 *4874:A3 *4874:A4 0.000192545
4 *4874:A3 *120:11 2.00305e-06
5 *4874:A2 *4874:A3 0
*RES
1 *4868:ZN *4874:A3 22.5
*END
*D_NET *116 0.00290098
*CONN
*I *4873:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4869:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4873:A1 0.000753457
2 *4869:ZN 0.000753457
3 *4873:A1 *4869:A1 0.000243505
4 *4873:A1 *4870:A1 0
5 *4873:A1 *4873:A3 1.0743e-05
6 *4873:A1 *5320:D 0
7 *4873:A1 *5320:CLK 6.87159e-06
8 *4873:A1 *5324:D 0.000147996
9 *4873:A1 *5324:CLK 0.000984954
*RES
1 *4869:ZN *4873:A1 15.93
*END
*D_NET *117 0.000521369
*CONN
*I *4873:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4870:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4873:A2 0.000260684
2 *4870:ZN 0.000260684
*RES
1 *4870:ZN *4873:A2 19.89
*END
*D_NET *118 0.00272853
*CONN
*I *4873:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4871:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4873:A3 0.000852867
2 *4871:ZN 0.000852867
3 *4873:A3 *4871:A1 0.00017758
4 *4873:A3 *4871:A2 5.46446e-05
5 *4873:A3 *4871:A3 5.37148e-05
6 *4873:A3 *4872:A1 8.06113e-05
7 *4873:A3 *5324:CLK 0.000185808
8 *4873:A3 *5328:D 0.00045969
9 *4873:A1 *4873:A3 1.0743e-05
*RES
1 *4871:ZN *4873:A3 16.47
*END
*D_NET *119 0.00108372
*CONN
*I *4873:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4872:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4873:A4 0.00032074
2 *4872:ZN 0.00032074
3 *4873:A4 *5324:D 0.000212202
4 *4873:A4 *120:11 0.000230038
*RES
1 *4872:ZN *4873:A4 21.51
*END
*D_NET *120 0.00753068
*CONN
*I *835:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4874:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4873:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *835:I 0
2 *4874:A4 0.000432694
3 *4873:Z 0.00243797
4 *120:11 0.00287066
5 *4874:A4 *4866:A1 4.03174e-05
6 *120:11 *983:I 4.04953e-06
7 *120:11 *4867:A3 0.000453743
8 *120:11 *4867:A4 0.000193143
9 *120:11 *4872:A3 0.000144842
10 *120:11 *4872:A4 0
11 *120:11 *5324:D 0.000316191
12 *120:11 *5326:D 0
13 *120:11 *5326:CLK 0.000122709
14 *120:11 *5534:CLK 3.0582e-05
15 *120:11 *293:12 5.9195e-05
16 *4873:A4 *120:11 0.000230038
17 *4874:A3 *4874:A4 0.000192545
18 *4874:A3 *120:11 2.00305e-06
*RES
1 *4873:Z *120:11 31.41
2 *120:11 *4874:A4 16.92
3 *120:11 *835:I 4.5
*END
*D_NET *121 0.0104467
*CONN
*I *849:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *848:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5002:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5004:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *850:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4875:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *836:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5007:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4874:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*CAP
1 *849:I 0.000351969
2 *848:I 4.72589e-05
3 *5002:A2 0
4 *5004:A1 3.0809e-05
5 *850:I 0
6 *4875:A2 0.000126398
7 *836:I 0
8 *5007:A1 9.80849e-05
9 *4874:ZN 0.000774728
10 *121:60 0.000473997
11 *121:34 0.000545464
12 *121:23 0.000654098
13 *121:19 0.000676961
14 *121:11 0.000826258
15 *121:10 0.000309851
16 *121:7 0.000903913
17 *848:I *267:98 9.23413e-06
18 *849:I *977:I 0
19 *849:I *267:98 0.000281324
20 *849:I *375:8 0.000155614
21 *849:I *375:18 0
22 *5007:A1 *5007:A2 0.000182246
23 *121:7 *4866:A2 0.000717969
24 *121:7 *4866:A3 0.000264335
25 *121:7 *267:98 0
26 *121:7 *304:11 4.62238e-05
27 *121:7 *304:13 0.000264335
28 *121:7 *304:23 0.000266372
29 *121:10 *267:98 0.000164267
30 *121:11 *5003:A2 0
31 *121:11 *375:18 0
32 *121:19 *5002:A1 0
33 *121:19 *5003:A2 0.000142452
34 *121:19 *5004:A2 0.000775472
35 *121:19 *5006:A2 8.44248e-05
36 *121:19 *5303:CLK 0
37 *121:19 *302:54 0
38 *121:23 *5006:A2 4.65694e-05
39 *121:23 *5303:CLK 0
40 *121:34 *4875:A1 0
41 *121:34 *5006:A1 7.04786e-05
42 *121:34 *5006:A2 3.01487e-05
43 *121:34 *5007:A2 0.000218696
44 *121:60 *977:I 0
45 *121:60 *267:98 0.000356783
46 *121:60 *304:26 0
47 *4874:A1 *121:7 0
48 *5301:D *848:I 1.55498e-06
49 *5301:D *121:7 0.00029446
50 *5301:D *121:11 9.04462e-05
51 *5302:D *5004:A1 7.96988e-06
52 *5302:D *121:19 0.0001555
53 *5303:D *121:34 0
*RES
1 *4874:ZN *121:7 17.19
2 *121:7 *121:10 4.95
3 *121:10 *121:11 1.71
4 *121:11 *121:19 14.13
5 *121:19 *121:23 5.49
6 *121:23 *5007:A1 9.99
7 *121:23 *121:34 7.74
8 *121:34 *836:I 4.5
9 *121:34 *4875:A2 5.49
10 *121:19 *850:I 4.5
11 *121:11 *5004:A1 4.77
12 *121:10 *5002:A2 4.5
13 *121:7 *121:60 0.99
14 *121:60 *848:I 9.27
15 *121:60 *849:I 11.88
*END
*D_NET *122 0.0014702
*CONN
*I *4883:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4876:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4883:A1 0.000217539
2 *4876:ZN 0.000217539
3 *4883:A1 *971:I 0.000196487
4 *4883:A1 *4876:A1 0.00017758
5 *4883:A1 *264:27 0.000621247
6 *4883:A1 *301:32 3.9806e-05
*RES
1 *4876:ZN *4883:A1 11.97
*END
*D_NET *123 0.00219934
*CONN
*I *4883:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4877:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4883:A2 0.000344691
2 *4877:ZN 0.000344691
3 *4883:A2 *4883:A3 0.000106548
4 *4883:A2 *5268:CLK 0
5 *4883:A2 *5274:CLK 0
6 *4883:A2 *264:27 0.00140341
*RES
1 *4877:ZN *4883:A2 13.14
*END
*D_NET *124 0.00136131
*CONN
*I *4882:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4878:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4882:A1 0.000469235
2 *4878:ZN 0.000469235
3 *4882:A1 *957:I 0
4 *4882:A1 *4878:A1 3.4594e-05
5 *4882:A1 *4878:A2 0.000243128
6 *4882:A1 *4882:A2 0.000106548
7 *4882:A1 *4882:A3 3.85685e-05
*RES
1 *4878:ZN *4882:A1 12.69
*END
*D_NET *125 0.00172818
*CONN
*I *4882:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4879:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4882:A2 0.000347607
2 *4879:ZN 0.000347607
3 *4882:A2 *967:I 0.000782583
4 *4882:A2 *4879:A2 0.000127779
5 *4882:A2 *4882:A3 1.0743e-05
6 *4882:A2 *4882:A4 5.31325e-06
7 *4882:A1 *4882:A2 0.000106548
*RES
1 *4879:ZN *4882:A2 12.69
*END
*D_NET *126 0.00301732
*CONN
*I *4882:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4880:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4882:A3 0.000428746
2 *4880:ZN 0.000428746
3 *4882:A3 *4882:A4 0.000595905
4 *4882:A3 *4883:A3 0.000121466
5 *4882:A1 *4882:A3 3.85685e-05
6 *4882:A2 *4882:A3 1.0743e-05
7 *1:15 *4882:A3 0.00139314
*RES
1 *4880:ZN *4882:A3 26.28
*END
*D_NET *127 0.00500646
*CONN
*I *4882:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4881:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4882:A4 0.00128616
2 *4881:ZN 0.00128616
3 *4882:A4 *954:I 0
4 *4882:A4 *1173:I 0.00046357
5 *4882:A4 *4880:A1 0.000365483
6 *4882:A4 *4880:A2 0.000428473
7 *4882:A4 *4881:A2 2.65663e-06
8 *4882:A4 *4883:A3 0.000174546
9 *4882:A4 *5264:D 0
10 *4882:A4 *5332:D 0
11 *4882:A4 *265:209 0.000380402
12 *4882:A2 *4882:A4 5.31325e-06
13 *4882:A3 *4882:A4 0.000595905
14 *1:15 *4882:A4 1.78027e-05
*RES
1 *4881:ZN *4882:A4 30.51
*END
*D_NET *128 0.00320928
*CONN
*I *4883:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4882:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *4883:A3 0.000986731
2 *4882:Z 0.000986731
3 *4883:A3 *971:I 0.00014228
4 *4883:A3 *4878:A2 0.000104212
5 *4883:A3 *264:27 4.62238e-05
6 *4882:A3 *4883:A3 0.000121466
7 *4882:A4 *4883:A3 0.000174546
8 *4883:A2 *4883:A3 0.000106548
9 *1:15 *4883:A3 0.000540544
*RES
1 *4882:Z *4883:A3 28.62
*END
*D_NET *129 0.00471017
*CONN
*I *847:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *837:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4884:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5001:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4883:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *847:I 0
2 *837:I 0
3 *4884:I 0
4 *5001:A1 0.000152015
5 *4883:ZN 0.00100425
6 *129:15 0.000275996
7 *129:11 0.000221652
8 *129:9 0.00110192
9 *5001:A1 *130:8 8.83271e-05
10 *5001:A1 *130:27 5.37148e-05
11 *129:9 *971:I 1.28636e-05
12 *129:9 *4876:A4 0
13 *129:11 *5001:A3 0
14 *129:15 *5001:A3 0
15 *129:15 *130:27 2.14859e-05
16 *5251:D *5001:A1 4.21033e-05
17 *1:15 *129:9 0.00173584
*RES
1 *4883:ZN *129:9 24.84
2 *129:9 *129:11 0.81
3 *129:11 *129:15 1.08
4 *129:15 *5001:A1 5.85
5 *129:15 *4884:I 4.5
6 *129:11 *837:I 4.5
7 *129:9 *847:I 4.5
*END
*D_NET *130 0.00618651
*CONN
*I *4992:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4995:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4885:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4998:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4884:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *4992:A2 0
2 *4995:A1 0.00046409
3 *4885:A2 6.80547e-05
4 *4998:A1 0.000204504
5 *4884:Z 0
6 *130:27 0.00102539
7 *130:8 0.000810369
8 *130:4 0.00109911
9 *4885:A2 *950:I 0.000578579
10 *4885:A2 *4885:A1 0.00015568
11 *4995:A1 *4995:A2 0.000145299
12 *4995:A1 *4996:A2 3.5516e-05
13 *4995:A1 *214:13 0.000100117
14 *4998:A1 *4998:A2 0
15 *130:8 *5000:A2 5.06361e-05
16 *130:8 *5001:A2 6.3082e-05
17 *130:8 *216:18 1.22427e-05
18 *130:8 *424:9 0.000152338
19 *130:8 *424:13 9.77079e-06
20 *5001:A1 *130:8 8.83271e-05
21 *5001:A1 *130:27 5.37148e-05
22 *5248:D *4995:A1 0
23 *5251:D *130:8 9.14169e-06
24 *1:13 *4995:A1 0.000609368
25 *1:13 *130:27 0.000429682
26 *129:15 *130:27 2.14859e-05
*RES
1 *4884:Z *130:4 4.5
2 *130:4 *130:8 8.46
3 *130:8 *4998:A1 10.17
4 *130:8 *4885:A2 10.53
5 *130:4 *130:27 9
6 *130:27 *4995:A1 13.41
7 *130:27 *4992:A2 9
*END
*D_NET *131 0.000366321
*CONN
*I *4893:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4886:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4893:A1 8.23906e-05
2 *4886:ZN 8.23906e-05
3 *4893:A1 *4886:A2 2.36064e-05
4 *4893:A1 *138:13 0.000177933
*RES
1 *4886:ZN *4893:A1 19.17
*END
*D_NET *132 0.00196516
*CONN
*I *4893:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4887:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4893:A2 0.000489271
2 *4887:ZN 0.000489271
3 *4893:A2 *942:I 0
4 *4893:A2 *4886:A2 9.388e-05
5 *4893:A2 *4886:A4 0
6 *4893:A2 *4887:A2 4.49984e-05
7 *4893:A2 *4887:A4 0
8 *4893:A2 *4893:A3 0.000834849
9 *4893:A2 *5638:I 1.28907e-05
*RES
1 *4887:ZN *4893:A2 13.5
*END
*D_NET *133 0.00296851
*CONN
*I *4892:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4888:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4892:A1 0.00120767
2 *4888:ZN 0.00120767
3 *4892:A1 *927:I 4.93203e-06
4 *4892:A1 *4888:A1 0
5 *4892:A1 *4892:A2 0.000106548
6 *4892:A1 *4892:A4 4.25862e-05
7 *4892:A1 *5203:D 0.000119677
8 *4892:A1 *5630:I 6.64876e-05
9 *4892:A1 *281:5 0.000212947
*RES
1 *4888:ZN *4892:A1 26.91
*END
*D_NET *134 0.0043328
*CONN
*I *4892:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4889:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4892:A2 0.000609776
2 *4889:ZN 0.000609776
3 *4892:A2 *927:I 6.23631e-05
4 *4892:A2 *4892:A3 3.98162e-05
5 *4892:A2 *4892:A4 1.51249e-05
6 *4892:A2 *281:18 0.00118399
7 *4892:A2 *281:20 0.000794175
8 *4892:A2 *281:25 0.000911232
9 *4892:A1 *4892:A2 0.000106548
*RES
1 *4889:ZN *4892:A2 26.46
*END
*D_NET *135 0.00335323
*CONN
*I *4892:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4890:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4892:A3 0.00117093
2 *4890:ZN 0.00117093
3 *4892:A3 *927:I 1.7556e-05
4 *4892:A3 *4890:A1 0.000433841
5 *4892:A3 *4892:A4 1.61223e-05
6 *4892:A3 *5211:D 0
7 *4892:A3 *5239:CLK 0.00014291
8 *4892:A3 *5626:I 7.77658e-05
9 *4892:A3 *268:20 0.000283368
10 *4892:A2 *4892:A3 3.98162e-05
*RES
1 *4890:ZN *4892:A3 27.45
*END
*D_NET *136 0.00104208
*CONN
*I *4892:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4891:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *4892:A4 0.0003546
2 *4891:ZN 0.0003546
3 *4892:A4 *4891:A1 0.000235926
4 *4892:A4 *5203:D 1.28605e-05
5 *4892:A4 *281:18 1.02599e-05
6 *4892:A4 *281:68 0
7 *4892:A1 *4892:A4 4.25862e-05
8 *4892:A2 *4892:A4 1.51249e-05
9 *4892:A3 *4892:A4 1.61223e-05
*RES
1 *4891:ZN *4892:A4 21.06
*END
*D_NET *137 0.00366461
*CONN
*I *4893:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4892:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *4893:A3 0.00103164
2 *4892:Z 0.00103164
3 *4893:A3 *4886:A2 0.000766491
4 *4893:A3 *4887:A3 0
5 *4893:A3 *5203:D 0
6 *4893:A3 *5229:D 0
7 *4893:A2 *4893:A3 0.000834849
*RES
1 *4892:Z *4893:A3 27.72
*END
*D_NET *138 0.00619452
*CONN
*I *4894:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *4991:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4989:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4893:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *4894:I 0.000314067
2 *4991:A1 1.20881e-05
3 *4989:A1 0.00012905
4 *4893:ZN 0.000897367
5 *138:22 0.00068252
6 *138:13 0.00138278
7 *4894:I *4981:A2 4.02718e-05
8 *4894:I *4982:A3 4.11217e-05
9 *4894:I *4991:A2 2.71408e-06
10 *4894:I *139:16 5.31313e-05
11 *4989:A1 *4988:A1 0.000331099
12 *4989:A1 *4990:A2 0.000212892
13 *4991:A1 *4981:A2 9.30351e-05
14 *4991:A1 *288:18 9.30351e-05
15 *138:13 *4886:A2 0.000609368
16 *138:13 *4988:A1 5.83319e-05
17 *138:13 *4990:A2 1.92138e-05
18 *138:13 *4991:A3 0.000320334
19 *138:13 *5235:CLK 0
20 *138:13 *268:89 0
21 *138:13 *453:7 0
22 *138:13 *453:16 0
23 *138:22 *4988:A2 0.000649941
24 *138:22 *4991:A2 5.42816e-06
25 *138:22 *4991:A3 6.88009e-05
26 *4893:A1 *138:13 0.000177933
*RES
1 *4893:ZN *138:13 21.96
2 *138:13 *4989:A1 6.57
3 *138:13 *138:22 7.47
4 *138:22 *4991:A1 9.27
5 *138:22 *4894:I 10.98
*END
*D_NET *139 0.00336358
*CONN
*I *4895:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4985:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4982:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4987:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4894:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *4895:A2 0.000325223
2 *4985:A1 0
3 *4982:A2 0
4 *4987:A1 0.000228252
5 *4894:Z 0
6 *139:26 0.000547303
7 *139:16 0.000507197
8 *139:4 0.000513369
9 *4895:A2 *5197:CLK 1.43887e-05
10 *4895:A2 *268:141 1.58356e-05
11 *4895:A2 *431:7 0
12 *4987:A1 *4981:A2 0
13 *4987:A1 *207:8 0
14 *139:16 *4895:A1 0
15 *139:16 *4981:A2 0
16 *139:16 *4982:A3 5.06472e-05
17 *139:26 *4895:A1 0
18 *139:26 *4982:A1 0.00052875
19 *139:26 *4983:A1 7.63112e-05
20 *139:26 *5197:CLK 9.23413e-06
21 *139:26 *431:12 0.000354223
22 *139:26 *431:26 6.00916e-06
23 *139:26 *442:8 8.23086e-06
24 *4894:I *139:16 5.31313e-05
25 *5197:D *139:26 0.000109348
26 *5198:D *4987:A1 1.61223e-05
*RES
1 *4894:Z *139:4 4.5
2 *139:4 *4987:A1 5.94
3 *139:4 *139:16 6.66
4 *139:16 *4982:A2 9
5 *139:16 *139:26 7.38
6 *139:26 *4985:A1 4.5
7 *139:26 *4895:A2 6.48
*END
*D_NET *140 0.00158817
*CONN
*I *4897:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*I *4896:Z O *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*CAP
1 *4897:A3 0.000794086
2 *4896:Z 0.000794086
3 *4897:A3 *922:I 0
*RES
1 *4896:Z *4897:A3 23.49
*END
*D_NET *141 0.0013238
*CONN
*I *4904:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4965:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4897:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*CAP
1 *4904:A1 0
2 *4965:A1 0.000127088
3 *4897:ZN 8.5405e-05
4 *141:5 0.000212493
5 *4965:A1 *921:I 0.000180864
6 *4965:A1 *4897:A1 5.97909e-06
7 *4965:A1 *4897:A2 3.58746e-05
8 *4965:A1 *4965:A3 0.000608171
9 *141:5 *4897:A2 0
10 *141:5 *4965:A3 3.5516e-05
11 *141:5 *147:9 3.24044e-05
*RES
1 *4897:ZN *141:5 5.13
2 *141:5 *4965:A1 6.84
3 *141:5 *4904:A1 4.5
*END
*D_NET *142 0.000368379
*CONN
*I *4900:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4898:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4900:A1 0.000150946
2 *4898:ZN 0.000150946
3 *4900:A1 *5175:CLK 6.64876e-05
*RES
1 *4898:ZN *4900:A1 10.17
*END
*D_NET *143 0.00364041
*CONN
*I *4900:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4899:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4900:A2 0.000703783
2 *4899:ZN 0.000703783
3 *4900:A2 *4899:A2 0.000180418
4 *4900:A2 *4899:A3 0.0007035
5 *4900:A2 *303:20 0.00134893
*RES
1 *4899:ZN *4900:A2 26.1
*END
*D_NET *144 0.00239067
*CONN
*I *4904:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4965:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4900:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4904:A2 0
2 *4965:A2 0.000178482
3 *4900:Z 0.000819867
4 *144:8 0.000998348
5 *4965:A2 *4965:A3 0.000119459
6 *4965:A2 *148:7 9.43062e-05
7 *144:8 *1168:I 5.31842e-05
8 *144:8 *198:6 5.62005e-05
9 *144:8 *198:71 7.0828e-05
*RES
1 *4900:Z *144:8 19.89
2 *144:8 *4965:A2 6.12
3 *144:8 *4904:A2 4.5
*END
*D_NET *145 0.00123817
*CONN
*I *4903:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4901:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4903:A1 0.000365282
2 *4901:ZN 0.000365282
3 *4903:A1 *910:I 0.00013595
4 *4903:A1 *4901:A2 0
5 *4903:A1 *4903:A2 0.000359853
6 *4903:A1 *265:178 0
7 *4903:A1 *307:66 1.18032e-05
*RES
1 *4901:ZN *4903:A1 21.6
*END
*D_NET *146 0.00323635
*CONN
*I *4903:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4902:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4903:A2 0.000950247
2 *4902:ZN 0.000950247
3 *4903:A2 *910:I 0
4 *4903:A2 *4902:A3 2.88514e-05
5 *4903:A2 *4902:A4 0.000233274
6 *4903:A2 *5165:D 7.56244e-06
7 *4903:A2 *5654:I 6.4489e-05
8 *4903:A2 *265:178 2.4979e-06
9 *4903:A2 *265:194 0.000639325
10 *4903:A2 *307:65 0
11 *4903:A1 *4903:A2 0.000359853
*RES
1 *4902:ZN *4903:A2 18.18
*END
*D_NET *147 0.00365374
*CONN
*I *4904:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4965:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4903:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4904:A3 1.72361e-05
2 *4965:A3 0.000229758
3 *4903:Z 0.00116001
4 *147:9 0.00140701
5 *4965:A3 *921:I 2.14859e-05
6 *4965:A3 *148:7 2.26873e-05
7 *147:9 *4897:A1 0
8 *147:9 *5167:CLK 0
9 *4965:A1 *4965:A3 0.000608171
10 *4965:A2 *4965:A3 0.000119459
11 *141:5 *4965:A3 3.5516e-05
12 *141:5 *147:9 3.24044e-05
*RES
1 *4903:Z *147:9 12.33
2 *147:9 *4965:A3 7.74
3 *147:9 *4904:A3 4.77
*END
*D_NET *148 0.00401217
*CONN
*I *4973:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4976:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4905:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4904:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *4973:A1 0
2 *4976:A1 0
3 *4905:A2 0.000219016
4 *4904:ZN 0.00045384
5 *148:12 0.00109231
6 *148:7 0.00132714
7 *4905:A2 *4905:A1 0
8 *148:7 *921:I 0
9 *148:7 *4965:A4 0.000248206
10 *148:7 *5147:CLK 0
11 *148:7 *198:13 0
12 *148:12 *4905:A1 0
13 *148:12 *4976:A2 0.000246471
14 *148:12 *5148:CLK 3.07804e-06
15 *148:12 *196:9 0.000151463
16 *148:12 *198:13 0
17 *148:12 *198:18 0
18 *148:12 *198:22 0
19 *148:12 *482:20 0
20 *4965:A2 *148:7 9.43062e-05
21 *4965:A3 *148:7 2.26873e-05
22 *5148:D *148:12 0.000153653
*RES
1 *4904:ZN *148:7 8.1
2 *148:7 *148:12 11.7
3 *148:12 *4905:A2 10.71
4 *148:12 *4976:A1 9
5 *148:7 *4973:A1 4.5
*END
*D_NET *149 0.00355011
*CONN
*I *4907:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4906:Z O *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*CAP
1 *4907:A3 0.000906844
2 *4906:Z 0.000906844
3 *4907:A3 *896:I 4.00664e-05
4 *4907:A3 *5136:D 4.25712e-05
5 *4907:A3 *5136:CLK 0.000507556
6 *4907:A3 *5138:CLK 0
7 *4907:A3 *5618:I 0.00105703
8 *4907:A3 *271:5 8.91956e-05
*RES
1 *4906:Z *4907:A3 26.91
*END
*D_NET *150 0.00150429
*CONN
*I *4947:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4913:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4907:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *4947:A1 0.000234775
2 *4913:A1 0
3 *4907:ZN 7.03315e-05
4 *150:5 0.000305107
5 *4947:A1 *4907:A1 2.39164e-05
6 *4947:A1 *4947:A3 0.000507547
7 *4947:A1 *153:9 3.22445e-05
8 *4947:A1 *156:9 0.000160023
9 *150:5 *4907:A1 4.25712e-05
10 *150:5 *153:9 0.000127779
*RES
1 *4907:ZN *150:5 5.31
2 *150:5 *4913:A1 4.5
3 *150:5 *4947:A1 16.02
*END
*D_NET *151 0.00349327
*CONN
*I *4910:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4908:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4910:A1 0.00110452
2 *4908:ZN 0.00110452
3 *4910:A1 *1129:I 0
4 *4910:A1 *4908:A2 3.78122e-05
5 *4910:A1 *4908:A3 0.000302501
6 *4910:A1 *4908:A4 0
7 *4910:A1 *4909:A3 0.000453291
8 *4910:A1 *4909:A4 0.000276751
9 *4910:A1 *4910:A2 2.63534e-05
10 *4910:A1 *266:74 0.000187527
*RES
1 *4908:ZN *4910:A1 27.36
*END
*D_NET *152 0.00140675
*CONN
*I *4910:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4909:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4910:A2 0.000351619
2 *4909:ZN 0.000351619
3 *4910:A2 *1129:I 0
4 *4910:A2 *4909:A1 7.56244e-06
5 *4910:A2 *4909:A3 0.000599776
6 *4910:A2 *266:156 6.98216e-05
7 *4910:A1 *4910:A2 2.63534e-05
*RES
1 *4909:ZN *4910:A2 21.06
*END
*D_NET *153 0.00414268
*CONN
*I *4947:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4913:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4910:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4947:A2 0.000177258
2 *4913:A2 0
3 *4910:Z 0.000681424
4 *153:9 0.000858682
5 *4947:A2 *4947:A3 0.000196986
6 *4947:A2 *155:13 3.01487e-05
7 *153:9 *880:I 0
8 *153:9 *4907:A1 0
9 *153:9 *4907:A2 0.000231469
10 *153:9 *4909:A3 0.000911232
11 *153:9 *4947:A3 3.01487e-05
12 *153:9 *5618:I 9.54169e-05
13 *153:9 *155:13 0.000246655
14 *153:9 *266:156 0.00052324
15 *153:9 *270:8 0
16 *153:9 *270:44 0
17 *4947:A1 *153:9 3.22445e-05
18 *150:5 *153:9 0.000127779
*RES
1 *4910:Z *153:9 22.5
2 *153:9 *4913:A2 4.5
3 *153:9 *4947:A2 6.12
*END
*D_NET *154 0.00190339
*CONN
*I *4912:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *4911:Z O *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*CAP
1 *4912:A4 0.000805286
2 *4911:Z 0.000805286
3 *4912:A4 *891:I 0
4 *4912:A4 *4911:A2 6.97466e-05
5 *4912:A4 *4912:A2 0
6 *4912:A4 *5665:I 0.000140038
7 *4912:A4 *318:7 8.30298e-05
8 *4912:A4 *318:15 0
9 *4912:A4 *318:31 0
*RES
1 *4911:Z *4912:A4 14.85
*END
*D_NET *155 0.00444026
*CONN
*I *4913:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4947:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4912:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*CAP
1 *4913:A3 0
2 *4947:A3 0.000185661
3 *4912:ZN 0.00109289
4 *155:13 0.00127855
5 *4947:A3 *4907:A1 0
6 *4947:A3 *5618:I 0
7 *155:13 *4912:A1 0
8 *155:13 *4912:A2 0.00038918
9 *155:13 *5110:D 0.000250744
10 *155:13 *5110:CLK 9.06697e-05
11 *155:13 *5130:D 4.25712e-05
12 *155:13 *270:7 9.84971e-05
13 *4947:A1 *4947:A3 0.000507547
14 *4947:A2 *4947:A3 0.000196986
15 *4947:A2 *155:13 3.01487e-05
16 *153:9 *4947:A3 3.01487e-05
17 *153:9 *155:13 0.000246655
*RES
1 *4912:ZN *155:13 13.77
2 *155:13 *4947:A3 15.48
3 *155:13 *4913:A3 4.5
*END
*D_NET *156 0.00813438
*CONN
*I *838:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4914:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *842:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4960:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4957:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *841:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4913:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *838:I 4.80997e-05
2 *4914:A2 0.00010484
3 *842:I 0.000151892
4 *4960:A1 0.000454722
5 *4957:A1 0
6 *841:I 0
7 *4913:ZN 0.000352577
8 *156:52 0.000848552
9 *156:31 0.000606614
10 *156:29 0.000903124
11 *156:19 0.000439889
12 *156:9 0.000584954
13 *838:I *4914:A1 3.48503e-05
14 *842:I *184:8 0
15 *4914:A2 *4914:A1 5.49318e-05
16 *4960:A1 *4955:A2 0
17 *4960:A1 *4958:A2 0.000104112
18 *4960:A1 *4959:A2 5.73085e-05
19 *4960:A1 *184:10 0
20 *4960:A1 *509:10 3.42483e-05
21 *4960:A1 *510:12 0
22 *156:9 *4947:A4 0.000515598
23 *156:19 *4947:A4 3.65921e-05
24 *156:19 *4957:A2 1.61223e-05
25 *156:19 *509:5 0
26 *156:29 *4946:A1 0.000507547
27 *156:29 *4946:A2 0.000507547
28 *156:29 *4947:A4 0
29 *156:29 *4956:A2 7.56721e-05
30 *156:29 *4957:A2 7.88309e-05
31 *156:29 *4957:A3 1.04924e-05
32 *156:29 *187:5 0
33 *156:52 *4914:A1 0.000142071
34 *156:52 *4946:A1 0.000560685
35 *156:52 *4946:A2 0.000199491
36 *156:52 *4959:A2 0.000164067
37 *156:52 *4961:A1 0.000134204
38 *156:52 *4962:A2 9.04462e-05
39 *156:52 *510:13 1.27695e-05
40 *156:52 *511:9 9.00992e-05
41 *4947:A1 *156:9 0.000160023
42 *5560:D *4914:A2 5.1403e-05
*RES
1 *4913:ZN *156:9 8.01
2 *156:9 *841:I 4.5
3 *156:9 *156:19 1.89
4 *156:19 *4957:A1 4.5
5 *156:19 *156:29 2.97
6 *156:29 *156:31 4.5
7 *156:31 *4960:A1 11.61
8 *156:31 *842:I 10.17
9 *156:29 *156:52 11.25
10 *156:52 *4914:A2 9.99
11 *156:52 *838:I 9.45
*END
*D_NET *157 0.000687253
*CONN
*I *4917:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4915:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4917:A1 0.000343627
2 *4915:ZN 0.000343627
3 *4917:A1 *4922:A1 0
4 *4917:A1 *5075:C 0
*RES
1 *4915:ZN *4917:A1 11.07
*END
*D_NET *158 0.00112673
*CONN
*I *4917:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4916:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4917:A2 0.000447641
2 *4916:ZN 0.000447641
3 *4917:A2 *4916:A1 2.98955e-05
4 *4917:A2 *4916:A2 0.00017758
5 *4917:A2 *274:5 2.39675e-05
6 *4917:A2 *274:13 0
*RES
1 *4916:ZN *4917:A2 12.51
*END
*D_NET *159 0.00221771
*CONN
*I *4922:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *5075:C I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *4917:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4922:A1 0.000313552
2 *5075:C 4.52667e-05
3 *4917:Z 0.000337439
4 *159:8 0.000696257
5 *4922:A1 *4922:A2 0.00075314
6 *4922:A1 *540:9 0
7 *5075:C *4922:A2 7.20505e-05
8 *4917:A1 *4922:A1 0
9 *4917:A1 *5075:C 0
10 *5075:A1 *4922:A1 0
11 *5075:A2 *4922:A1 0
*RES
1 *4917:Z *159:8 16.11
2 *159:8 *5075:C 4.95
3 *159:8 *4922:A1 16.74
*END
*D_NET *160 0.000466511
*CONN
*I *4921:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4918:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4921:A1 0.000206946
2 *4918:ZN 0.000206946
3 *4921:A1 *1105:I 4.18761e-05
4 *4921:A1 *4921:A3 1.0743e-05
*RES
1 *4918:ZN *4921:A1 19.5183
*END
*D_NET *161 0.00315992
*CONN
*I *4921:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4919:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4921:A2 0.000955184
2 *4919:ZN 0.000955184
3 *4921:A2 *4919:A3 0.00042578
4 *4921:A2 *5565:CLK 0
5 *4921:A2 *5581:D 0.000213108
6 *4921:A2 *5583:D 0.000131141
7 *4921:A2 *266:91 0.000123828
8 *4921:A2 *266:94 0.000337034
9 *4921:A2 *266:116 1.02599e-05
10 *4921:A2 *266:123 8.39828e-06
11 *5087:A1 *4921:A2 0
*RES
1 *4919:ZN *4921:A2 27.36
*END
*D_NET *162 0.00375369
*CONN
*I *4921:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4920:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4921:A3 0.00104542
2 *4920:ZN 0.00104542
3 *4921:A3 *1105:I 6.31381e-05
4 *4921:A3 *4918:A1 0.000142348
5 *4921:A3 *4920:A1 6.97362e-05
6 *4921:A3 *5254:CLK 5.14431e-05
7 *4921:A3 *5583:D 0.000122572
8 *4921:A3 *277:5 0.000226387
9 *4921:A3 *277:7 0.000167585
10 *4921:A3 *277:11 0.000808888
11 *4921:A1 *4921:A3 1.0743e-05
*RES
1 *4920:ZN *4921:A3 19.08
*END
*D_NET *163 0.00476935
*CONN
*I *4922:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *5075:B I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *4921:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *4922:A2 0.000282273
2 *5075:B 0
3 *4921:Z 0.00153566
4 *163:11 0.00181794
5 *163:11 *1105:I 0
6 *163:11 *1120:I 1.31343e-05
7 *163:11 *4916:A1 0
8 *163:11 *274:5 0
9 *163:11 *274:13 6.71629e-05
10 *163:11 *538:8 0
11 *4922:A1 *4922:A2 0.00075314
12 *5075:A1 *4922:A2 0
13 *5075:A2 *4922:A2 3.09285e-06
14 *5075:C *4922:A2 7.20505e-05
15 *83:8 *4922:A2 2.65663e-06
16 *83:8 *163:11 0.000222237
*RES
1 *4921:Z *163:11 14.94
2 *163:11 *5075:B 4.5
3 *163:11 *4922:A2 16.56
*END
*D_NET *164 0.00301472
*CONN
*I *4923:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5093:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4922:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*CAP
1 *4923:A2 0.000280843
2 *5093:A1 0.000331038
3 *4922:ZN 0.000178874
4 *164:6 0.000790754
5 *4923:A2 *5567:CLK 0
6 *4923:A2 *275:78 0.000365483
7 *5093:A1 *5092:A1 0
8 *5093:A1 *5567:CLK 0.000115029
9 *164:6 *540:9 1.54643e-05
10 *5075:A2 *164:6 0
11 *5094:A1 *4923:A2 1.84922e-05
12 *5094:A1 *164:6 0
13 *5094:A2 *4923:A2 0.000153875
14 *5094:A2 *5093:A1 0
15 *5567:D *5093:A1 0.00020839
16 *5568:D *4923:A2 6.36964e-05
17 *5568:D *164:6 6.36964e-05
18 *82:7 *5093:A1 6.35892e-05
19 *82:17 *5093:A1 0.000365492
*RES
1 *4922:ZN *164:6 10.53
2 *164:6 *5093:A1 12.6
3 *164:6 *4923:A2 11.7
*END
*D_NET *165 0.0022931
*CONN
*I *4932:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5047:A1 I *D gf180mcu_fd_sc_mcu7t5v0__oai211_1
*I *5070:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4924:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *4932:A1 4.36127e-05
2 *5047:A1 6.93058e-05
3 *5070:A1 0.000163164
4 *4924:ZN 0.000208953
5 *165:20 0.000379677
6 *165:7 0.000638875
7 *4932:A1 *168:9 0.000106548
8 *4932:A1 *267:150 1.28907e-05
9 *5047:A1 *168:9 0.000108803
10 *5047:A1 *267:150 6.97362e-05
11 *5070:A1 *863:I 2.35125e-05
12 *5070:A1 *5060:A1 0
13 *5070:A1 *5070:B 7.56244e-06
14 *5070:A1 *267:150 0
15 *165:7 *4924:I 1.86543e-05
16 *165:7 *267:150 0
17 *165:20 *5047:B 1.50846e-05
18 *165:20 *172:21 3.70164e-05
19 *165:20 *172:30 8.4542e-05
20 *165:20 *295:10 0
21 *5521:D *5070:A1 0.000305158
*RES
1 *4924:ZN *165:7 5.94
2 *165:7 *5070:A1 5.94
3 *165:7 *165:20 11.07
4 *165:20 *5047:A1 5.31
5 *165:20 *4932:A1 5.04
*END
*D_NET *166 0.00140125
*CONN
*I *4927:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4925:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4927:A1 0.000700625
2 *4925:ZN 0.000700625
3 *4927:A1 *4926:A2 0
*RES
1 *4925:ZN *4927:A1 23.85
*END
*D_NET *167 0.000385118
*CONN
*I *4927:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4926:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4927:A2 0.000192559
2 *4926:ZN 0.000192559
*RES
1 *4926:ZN *4927:A2 10.26
*END
*D_NET *168 0.00265335
*CONN
*I *4932:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5047:C I *D gf180mcu_fd_sc_mcu7t5v0__oai211_1
*I *5068:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4927:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4932:A2 0
2 *5047:C 0
3 *5068:A1 0.000106626
4 *4927:Z 0.000482308
5 *168:9 0.00020552
6 *168:5 0.000581202
7 *5068:A1 *4932:A3 8.40629e-06
8 *5068:A1 *5053:I 9.04462e-05
9 *5068:A1 *5063:A1 0
10 *5068:A1 *5069:B 0.000192268
11 *5068:A1 *172:33 3.42554e-05
12 *5068:A1 *249:5 0.00042579
13 *168:5 *4932:A3 1.0743e-05
14 *168:5 *5520:CLK 0.000134204
15 *168:5 *267:150 2.83412e-05
16 *168:9 *4932:A3 3.6196e-05
17 *168:9 *5069:B 9.76928e-05
18 *168:9 *267:150 3.99817e-06
19 *4932:A1 *168:9 0.000106548
20 *5047:A1 *168:9 0.000108803
*RES
1 *4927:Z *168:5 8.01
2 *168:5 *168:9 1.44
3 *168:9 *5068:A1 6.21
4 *168:9 *5047:C 4.5
5 *168:5 *4932:A2 4.5
*END
*D_NET *169 0.00313162
*CONN
*I *4931:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4928:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *4931:A1 0.000902418
2 *4928:ZN 0.000902418
3 *4931:A1 *4928:A1 4.83668e-05
4 *4931:A1 *4928:A2 0
5 *4931:A1 *4928:A3 0
6 *4931:A1 *4930:A4 6.30678e-05
7 *4931:A1 *4931:A3 0.000127779
8 *4931:A1 *5513:CLK 0.00058663
9 *4931:A1 *5540:D 0
10 *4931:A1 *5540:CLK 0.000400999
11 *4931:A1 *267:220 9.99385e-05
12 *4931:A1 *267:226 0
*RES
1 *4928:ZN *4931:A1 16.56
*END
*D_NET *170 0.00206862
*CONN
*I *4931:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4929:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4931:A2 0.00103431
2 *4929:ZN 0.00103431
3 *4931:A2 *4930:A2 0
4 *4931:A2 *4930:A3 0
5 *4931:A2 *5538:D 0
6 *4931:A2 *5540:D 0
7 *4931:A2 *5640:I 0
*RES
1 *4929:ZN *4931:A2 24.84
*END
*D_NET *171 0.00042585
*CONN
*I *4931:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4930:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4931:A3 0.000129052
2 *4930:ZN 0.000129052
3 *4931:A3 *172:12 3.99668e-05
4 *4931:A1 *4931:A3 0.000127779
*RES
1 *4930:ZN *4931:A3 10.26
*END
*D_NET *172 0.00783057
*CONN
*I *5047:B I *D gf180mcu_fd_sc_mcu7t5v0__oai211_1
*I *4932:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *839:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5068:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *863:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *862:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4931:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *5047:B 3.61073e-05
2 *4932:A3 0.000309516
3 *839:I 0
4 *5068:A2 5.47876e-05
5 *863:I 0.00032416
6 *862:I 0
7 *4931:Z 0.00132653
8 *172:46 0.000441828
9 *172:33 0.000254143
10 *172:30 0.000188659
11 *172:21 0.000748146
12 *172:12 0.00166501
13 *863:I *5060:A1 5.69667e-05
14 *863:I *5070:B 0
15 *863:I *267:150 0.000100205
16 *4932:A3 *5063:A1 7.24888e-05
17 *4932:A3 *5069:A2 0
18 *4932:A3 *5069:B 0.000273838
19 *5068:A2 *5053:I 1.0415e-05
20 *5068:A2 *248:13 9.85067e-05
21 *5068:A2 *249:5 2.08301e-05
22 *5068:A2 *267:150 6.18243e-06
23 *172:12 *5051:A1 0
24 *172:12 *5051:A2 0
25 *172:12 *5640:I 0.00013575
26 *172:12 *250:8 0
27 *172:21 *5070:A2 0.000101638
28 *172:21 *250:6 0
29 *172:21 *250:8 0
30 *172:30 *5070:A2 0.000416842
31 *172:33 *248:13 0.000400999
32 *172:33 *249:5 0.000151473
33 *172:33 *267:150 2.08301e-05
34 *172:46 *5048:I 0.000166837
35 *172:46 *248:8 0.000158161
36 *4931:A3 *172:12 3.99668e-05
37 *5068:A1 *4932:A3 8.40629e-06
38 *5068:A1 *172:33 3.42554e-05
39 *5070:A1 *863:I 2.35125e-05
40 *5520:D *4932:A3 0
41 *165:20 *5047:B 1.50846e-05
42 *165:20 *172:21 3.70164e-05
43 *165:20 *172:30 8.4542e-05
44 *168:5 *4932:A3 1.0743e-05
45 *168:9 *4932:A3 3.6196e-05
*RES
1 *4931:Z *172:12 18.72
2 *172:12 *862:I 9
3 *172:12 *172:21 2.7
4 *172:21 *863:I 11.43
5 *172:21 *172:30 1.35
6 *172:30 *172:33 5.85
7 *172:33 *5068:A2 5.04
8 *172:33 *172:46 10.35
9 *172:46 *839:I 4.5
10 *172:46 *4932:A3 7.38
11 *172:30 *5047:B 9.45
*END
*D_NET *173 0.00219504
*CONN
*I *4933:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *4932:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *4933:I 0.000636999
2 *4932:Z 0.000636999
3 *4933:I *1050:I 4.82503e-05
4 *4933:I *5046:A4 1.37075e-05
5 *4933:I *5063:A1 4.47808e-05
6 *4933:I *5069:A1 0.000546739
7 *4933:I *5069:B 0.000219314
8 *4933:I *295:49 0
9 *5465:D *4933:I 4.82503e-05
*RES
1 *4932:Z *4933:I 23.76
*END
*D_NET *174 0.000986676
*CONN
*I *4941:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_2
*I *5042:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi22_1
*I *4934:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *4941:A1 0.000218324
2 *5042:A1 0.00022249
3 *4934:ZN 3.44723e-05
4 *174:5 0.000475286
5 *5042:A1 *181:8 2.5688e-05
6 *5042:A1 *181:18 1.0415e-05
*RES
1 *4934:ZN *174:5 4.77
2 *174:5 *5042:A1 6.03
3 *174:5 *4941:A1 6.21
*END
*D_NET *175 0.000546943
*CONN
*I *4936:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*I *4935:Z O *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*CAP
1 *4936:A3 0.000273472
2 *4935:Z 0.000273472
*RES
1 *4935:Z *4936:A3 10.8
*END
*D_NET *176 0.00562515
*CONN
*I *4941:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_2
*I *5016:C I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *4936:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*CAP
1 *4941:A2 0
2 *5016:C 0.000227354
3 *4936:ZN 0.00047007
4 *176:7 0.000697424
5 *5016:C *4941:A3 0.000698089
6 *5016:C *5039:A2 0
7 *5016:C *232:37 0
8 *5016:C *265:97 2.14859e-05
9 *176:7 *4936:A1 0.000895146
10 *176:7 *265:97 0.00233539
11 *176:7 *280:33 9.70331e-05
12 *176:7 *280:37 0.000177176
13 *5474:D *176:7 5.97909e-06
*RES
1 *4936:ZN *176:7 12.06
2 *176:7 *5016:C 16.02
3 *176:7 *4941:A2 4.5
*END
*D_NET *177 0.00180586
*CONN
*I *4940:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4937:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4940:A1 0.000572656
2 *4937:ZN 0.000572656
3 *4940:A1 *1055:I 1.83335e-05
4 *4940:A1 *4937:A1 0
5 *4940:A1 *4937:A3 0.000238103
6 *4940:A1 *180:10 0
7 *4940:A1 *280:9 4.13069e-05
8 *4940:A1 *280:120 0.000362808
*RES
1 *4937:ZN *4940:A1 13.86
*END
*D_NET *178 0.00281978
*CONN
*I *4940:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4938:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4940:A2 0.000814902
2 *4938:ZN 0.000814902
3 *4940:A2 *1061:I 0.000232191
4 *4940:A2 *4938:A2 0
5 *4940:A2 *4938:A3 0.000337521
6 *4940:A2 *265:131 0
7 *4940:A2 *280:120 0.000507547
8 *4940:A2 *301:101 6.77097e-05
9 *4940:A2 *301:106 4.50088e-05
*RES
1 *4938:ZN *4940:A2 16.2
*END
*D_NET *179 0.00423673
*CONN
*I *4940:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4939:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*CAP
1 *4940:A3 0.000763205
2 *4939:ZN 0.000763205
3 *4940:A3 *1066:I 0.000147322
4 *4940:A3 *4937:A3 0
5 *4940:A3 *5159:D 0
6 *4940:A3 *5161:CLK 0.00012653
7 *4940:A3 *5498:D 0.000473841
8 *4940:A3 *180:10 5.97909e-06
9 *4940:A3 *265:81 0.000851753
10 *4940:A3 *265:83 5.93454e-05
11 *4940:A3 *280:120 7.20607e-05
12 *4940:A3 *312:25 4.72935e-05
13 *4940:A3 *312:32 0.000926197
*RES
1 *4939:ZN *4940:A3 28.53
*END
*D_NET *180 0.00502318
*CONN
*I *4941:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_2
*I *5016:B I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *4940:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *4941:A3 0.000357412
2 *5016:B 0
3 *4940:Z 0.00149252
4 *180:10 0.00184993
5 *4941:A3 *5039:A2 0
6 *180:10 *1055:I 0.000142912
7 *180:10 *5038:A1 0
8 *180:10 *5039:A2 0
9 *180:10 *5039:B 0
10 *180:10 *5480:D 8.47789e-05
11 *180:10 *265:131 0
12 *180:10 *280:7 0.00010925
13 *180:10 *280:9 0.00025106
14 *180:10 *280:120 0
15 *4940:A1 *180:10 0
16 *4940:A3 *180:10 5.97909e-06
17 *5016:C *4941:A3 0.000698089
18 *5473:D *180:10 0
19 *5474:D *4941:A3 3.12451e-05
*RES
1 *4940:Z *180:10 20.07
2 *180:10 *5016:B 9
3 *180:10 *4941:A3 11.97
*END
*D_NET *181 0.00728397
*CONN
*I *5028:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5029:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5025:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *4942:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *4941:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_2
*CAP
1 *5028:A1 0.000247175
2 *5029:I 3.28229e-05
3 *5025:A1 9.24179e-05
4 *4942:I 7.02945e-05
5 *4941:ZN 0.00020982
6 *181:29 0.000691441
7 *181:18 0.00103897
8 *181:8 0.000815226
9 *4942:I *861:I 5.79931e-05
10 *4942:I *182:64 0.000180562
11 *4942:I *232:17 0.000312634
12 *5028:A1 *5028:A2 0.000164741
13 *5028:A1 *5033:B1 1.86522e-05
14 *5028:A1 *225:10 0.000156548
15 *5028:A1 *228:13 0.000218481
16 *5028:A1 *228:15 0.000235083
17 *5028:A1 *229:8 0
18 *5028:A1 *236:5 3.91706e-05
19 *5029:I *228:13 9.04462e-05
20 *5029:I *265:102 6.00916e-06
21 *181:8 *232:17 0.000289034
22 *181:8 *265:97 6.05306e-05
23 *181:18 *5025:A2 6.47225e-05
24 *181:18 *5025:C 3.5516e-05
25 *181:18 *5042:A2 0.000215377
26 *181:18 *232:13 0
27 *181:18 *232:17 6.15609e-06
28 *181:29 *5024:A3 1.86706e-05
29 *181:29 *5025:B 9.55018e-05
30 *181:29 *225:10 0.00102108
31 *181:29 *229:8 0
32 *5042:A1 *181:8 2.5688e-05
33 *5042:A1 *181:18 1.0415e-05
34 *5468:D *181:18 3.66071e-05
35 *5469:D *5028:A1 0.000106548
36 *5475:D *4942:I 0.000619638
*RES
1 *4941:ZN *181:8 10.98
2 *181:8 *4942:I 11.07
3 *181:8 *181:18 8.37
4 *181:18 *5025:A1 5.13
5 *181:18 *181:29 8.82
6 *181:29 *5029:I 9.27
7 *181:29 *5028:A1 11.7
*END
*D_NET *182 0.00885591
*CONN
*I *861:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5042:B2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi22_1
*I *854:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5021:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5019:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4943:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *852:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *840:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4942:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *861:I 0.000120959
2 *5042:B2 3.22739e-05
3 *854:I 0
4 *5021:A1 0
5 *5019:A1 0
6 *4943:I 0
7 *852:I 0.000251371
8 *840:I 0.000109889
9 *4942:Z 0
10 *182:64 0.000259805
11 *182:33 0.00036126
12 *182:31 0.000246386
13 *182:27 0.000665474
14 *182:16 0.000660817
15 *182:11 0.00110557
16 *182:5 0.000970411
17 *840:I *5466:CLK 0
18 *840:I *229:30 0
19 *852:I *5466:CLK 0
20 *852:I *229:20 0.000320343
21 *852:I *229:30 0
22 *852:I *313:15 0
23 *861:I *5042:A2 0.000110295
24 *861:I *232:17 0.000591388
25 *5042:B2 *5025:A2 1.0415e-05
26 *5042:B2 *232:17 2.94011e-05
27 *182:11 *5468:CLK 6.12226e-05
28 *182:11 *5475:CLK 0
29 *182:16 *855:I 0.000528769
30 *182:27 *5019:B 0.000319188
31 *182:27 *5021:A2 1.85908e-05
32 *182:27 *5021:B 0.000619894
33 *182:27 *229:37 0.000184514
34 *182:31 *229:30 0
35 *182:31 *229:37 1.61223e-05
36 *4942:I *861:I 5.79931e-05
37 *4942:I *182:64 0.000180562
38 *5409:D *852:I 4.6885e-05
39 *5466:D *182:27 0.000302501
40 *5467:D *182:27 0.000343508
41 *5475:D *182:11 0.000259286
42 *5475:D *182:64 7.08191e-05
*RES
1 *4942:Z *182:5 9
2 *182:5 *182:11 10.71
3 *182:11 *182:16 11.07
4 *182:16 *182:27 6.12
5 *182:27 *182:31 1.62
6 *182:31 *182:33 4.5
7 *182:33 *840:I 9.81
8 *182:33 *852:I 11.43
9 *182:31 *4943:I 4.5
10 *182:27 *5019:A1 4.5
11 *182:16 *5021:A1 4.5
12 *182:11 *854:I 4.5
13 *182:5 *182:64 1.53
14 *182:64 *5042:B2 9.27
15 *182:64 *861:I 10.89
*END
*D_NET *183 0.002233
*CONN
*I *4951:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *4950:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4953:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4944:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4951:A2 0.000130973
2 *4950:A1 8.99397e-05
3 *4953:A2 0.000119467
4 *4944:Z 5.38091e-05
5 *183:15 0.000371768
6 *183:6 0.000324131
7 *4950:A1 *4952:A1 0.000207401
8 *4950:A1 *266:197 0.000129272
9 *4951:A2 *4951:A1 1.18863e-05
10 *4951:A2 *4952:A1 0.000106932
11 *4951:A2 *266:197 0.000436515
12 *4953:A2 *4945:A1 6.3498e-05
13 *4953:A2 *4952:A1 5.00855e-05
14 *4953:A2 *487:11 7.56244e-06
15 *4953:A2 *507:7 3.01487e-05
16 *183:6 *187:17 0
17 *183:6 *507:8 2.87856e-05
18 *183:15 *4951:A1 6.44314e-05
19 *183:15 *187:17 0
20 *183:15 *507:8 6.39661e-06
*RES
1 *4944:Z *183:6 9.45
2 *183:6 *4953:A2 9.99
3 *183:6 *183:15 1.17
4 *183:15 *4950:A1 10.35
5 *183:15 *4951:A2 10.62
*END
*D_NET *184 0.00507309
*CONN
*I *4954:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4956:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4946:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4955:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4945:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *4954:A1 0.000270486
2 *4956:A2 3.73681e-05
3 *4946:A4 6.68807e-06
4 *4955:A2 0.00011563
5 *4945:Z 0.000318041
6 *184:10 0.000174847
7 *184:8 0.000504433
8 *184:6 0.00100306
9 *4946:A4 *4957:A3 9.85067e-05
10 *4946:A4 *191:11 9.85067e-05
11 *4954:A1 *4947:A4 0.000156394
12 *4954:A1 *4948:I 8.47789e-05
13 *4954:A1 *186:7 1.0743e-05
14 *4955:A2 *4957:A2 9.92648e-05
15 *4955:A2 *191:8 0.000451519
16 *4955:A2 *509:5 7.20607e-05
17 *4955:A2 *509:10 0.000101916
18 *4956:A2 *4946:A2 0.000373534
19 *4956:A2 *4946:A3 9.84971e-05
20 *4956:A2 *4956:A1 0.00029446
21 *4956:A2 *4957:A3 5.59384e-05
22 *184:6 *4954:A3 0
23 *184:6 *5098:CLK 0.000189176
24 *184:8 *5098:CLK 4.2493e-05
25 *184:10 *4957:A2 0.000132353
26 *184:10 *509:10 3.94756e-05
27 *842:I *184:8 0
28 *4960:A1 *4955:A2 0
29 *4960:A1 *184:10 0
30 *5098:D *4954:A1 0.000126819
31 *5099:D *184:8 2.5588e-05
32 *5099:D *184:10 1.48418e-05
33 *156:29 *4956:A2 7.56721e-05
*RES
1 *4945:Z *184:6 11.79
2 *184:6 *184:8 2.43
3 *184:8 *184:10 0.63
4 *184:10 *4955:A2 10.8
5 *184:10 *4946:A4 9.27
6 *184:8 *4956:A2 10.35
7 *184:6 *4954:A1 11.7
*END
*D_NET *185 0.00169254
*CONN
*I *4947:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4946:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*CAP
1 *4947:A4 0.000491978
2 *4946:ZN 0.000491978
3 *4947:A4 *186:7 0
4 *4954:A1 *4947:A4 0.000156394
5 *156:9 *4947:A4 0.000515598
6 *156:19 *4947:A4 3.65921e-05
7 *156:29 *4947:A4 0
*RES
1 *4946:ZN *4947:A4 13.32
*END
*D_NET *186 0.00193545
*CONN
*I *4948:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *4954:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4947:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*CAP
1 *4948:I 0.000199363
2 *4954:A2 0
3 *4947:ZN 0.000177735
4 *186:7 0.000377098
5 *4948:I *4954:A3 0.000761133
6 *4948:I *187:5 5.97909e-06
7 *4947:A4 *186:7 0
8 *4954:A1 *4948:I 8.47789e-05
9 *4954:A1 *186:7 1.0743e-05
10 *5098:D *4948:I 0.000302501
11 *5098:D *186:7 1.61223e-05
*RES
1 *4947:ZN *186:7 5.76
2 *186:7 *4954:A2 4.5
3 *186:7 *4948:I 7.38
*END
*D_NET *187 0.00640237
*CONN
*I *4962:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4950:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4952:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5095:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4948:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *4962:A1 5.76926e-05
2 *4950:A2 0
3 *4952:A1 0.00064425
4 *5095:A2 0
5 *4948:Z 0.000562604
6 *187:17 0.00107069
7 *187:8 0.000679196
8 *187:5 0.000873048
9 *4952:A1 *1132:I 0.000122593
10 *4952:A1 *4952:A2 3.07804e-06
11 *4952:A1 *5108:CLK 0.000365483
12 *4952:A1 *266:188 0.000110682
13 *4952:A1 *266:197 9.99385e-05
14 *4952:A1 *487:11 0
15 *4952:A1 *507:7 0.000150927
16 *4962:A1 *4946:A1 0
17 *4962:A1 *511:9 0
18 *187:5 *4946:A1 0
19 *187:5 *4954:A3 3.19374e-05
20 *187:8 *5610:CLK 0
21 *187:17 *5095:A1 0.000228011
22 *187:17 *5610:CLK 0
23 *187:17 *498:11 0.00012686
24 *4948:I *187:5 5.97909e-06
25 *4950:A1 *4952:A1 0.000207401
26 *4951:A2 *4952:A1 0.000106932
27 *4953:A2 *4952:A1 5.00855e-05
28 *5097:D *4952:A1 0.000753072
29 *5610:D *187:8 0.00012659
30 *5610:D *187:17 2.53181e-05
31 *156:29 *187:5 0
32 *183:6 *187:17 0
33 *183:15 *187:17 0
*RES
1 *4948:Z *187:5 8.19
2 *187:5 *187:8 6.93
3 *187:8 *5095:A2 9
4 *187:8 *187:17 7.83
5 *187:17 *4952:A1 11.97
6 *187:17 *4950:A2 4.5
7 *187:5 *4962:A1 4.95
*END
*D_NET *188 0.000371843
*CONN
*I *4950:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4949:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4950:A3 0.000158774
2 *4949:ZN 0.000158774
3 *4950:A3 *266:197 0
4 *4950:A3 *487:7 4.83668e-05
5 *4950:A3 *487:9 7.7749e-07
6 *4950:A3 *487:11 5.15117e-06
*RES
1 *4949:ZN *4950:A3 10.26
*END
*D_NET *189 0.00247969
*CONN
*I *4952:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4951:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *4952:A2 0.000532535
2 *4951:ZN 0.000532535
3 *4952:A2 *1132:I 0.00048878
4 *4952:A2 *5108:D 0
5 *4952:A2 *5108:CLK 0.000112708
6 *4952:A2 *266:174 0
7 *4952:A2 *266:188 0.000436524
8 *4952:A2 *266:197 0.000373534
9 *4952:A1 *4952:A2 3.07804e-06
*RES
1 *4951:ZN *4952:A2 14.04
*END
*D_NET *190 0.00280904
*CONN
*I *4954:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4953:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *4954:A3 0.000858095
2 *4953:ZN 0.000858095
3 *4954:A3 *4945:A3 0.000226886
4 *4954:A3 *507:8 7.28986e-05
5 *4948:I *4954:A3 0.000761133
6 *184:6 *4954:A3 0
7 *187:5 *4954:A3 3.19374e-05
*RES
1 *4953:ZN *4954:A3 24.84
*END
*D_NET *191 0.00505455
*CONN
*I *4957:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4961:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4959:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4958:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4955:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4957:A2 0.000115897
2 *4961:A2 0.000183108
3 *4959:A2 0.000105316
4 *4958:A2 0.000193614
5 *4955:Z 0.000129134
6 *191:13 0.000298931
7 *191:11 0.000457406
8 *191:8 0.000519329
9 *4957:A2 *4957:A3 0.000231456
10 *4958:A2 *4960:A2 1.98278e-05
11 *4958:A2 *4960:A3 0.000233384
12 *4958:A2 *510:7 7.56244e-06
13 *4958:A2 *510:12 6.11492e-05
14 *4959:A2 *4946:A2 2.60586e-05
15 *4959:A2 *4960:A3 0.000231618
16 *4959:A2 *510:13 3.98162e-05
17 *4961:A2 *4946:A2 2.60586e-05
18 *4961:A2 *4960:A3 8.62651e-06
19 *4961:A2 *4961:A1 0.000205547
20 *4961:A2 *510:13 5.30848e-05
21 *191:8 *509:5 4.04536e-06
22 *191:11 *4946:A2 5.33259e-05
23 *191:11 *4956:A1 3.13604e-05
24 *191:11 *4957:A3 0.000212629
25 *191:11 *4960:A3 9.69905e-05
26 *191:11 *509:5 4.28194e-05
27 *4946:A4 *191:11 9.85067e-05
28 *4955:A2 *4957:A2 9.92648e-05
29 *4955:A2 *191:8 0.000451519
30 *4960:A1 *4958:A2 0.000104112
31 *4960:A1 *4959:A2 5.73085e-05
32 *5099:D *4957:A2 5.12688e-05
33 *5099:D *191:8 0.000213099
34 *156:19 *4957:A2 1.61223e-05
35 *156:29 *4957:A2 7.88309e-05
36 *156:52 *4959:A2 0.000164067
37 *184:10 *4957:A2 0.000132353
*RES
1 *4955:Z *191:8 10.98
2 *191:8 *191:11 7.11
3 *191:11 *191:13 4.5
4 *191:13 *4958:A2 10.98
5 *191:13 *4959:A2 10.26
6 *191:11 *4961:A2 6.39
7 *191:8 *4957:A2 10.53
*END
*D_NET *192 0.00139541
*CONN
*I *4957:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4956:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4957:A3 0.00016944
2 *4956:ZN 0.00016944
3 *4957:A3 *4946:A3 9.84971e-05
4 *4957:A3 *4956:A1 0.000335343
5 *4957:A3 *509:5 1.36656e-05
6 *4946:A4 *4957:A3 9.85067e-05
7 *4956:A2 *4957:A3 5.59384e-05
8 *4957:A2 *4957:A3 0.000231456
9 *156:29 *4957:A3 1.04924e-05
10 *191:11 *4957:A3 0.000212629
*RES
1 *4956:ZN *4957:A3 11.43
*END
*D_NET *193 0.00075613
*CONN
*I *4960:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4958:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4960:A2 0.000136855
2 *4958:Z 0.000136855
3 *4960:A2 *4960:A3 0.000462593
4 *4958:A2 *4960:A2 1.98278e-05
*RES
1 *4958:Z *4960:A2 10.44
*END
*D_NET *194 0.0016065
*CONN
*I *4960:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4959:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4960:A3 0.000205587
2 *4959:ZN 0.000205587
3 *4960:A3 *510:12 0.000162112
4 *4958:A2 *4960:A3 0.000233384
5 *4959:A2 *4960:A3 0.000231618
6 *4960:A2 *4960:A3 0.000462593
7 *4961:A2 *4960:A3 8.62651e-06
8 *191:11 *4960:A3 9.69905e-05
*RES
1 *4959:ZN *4960:A3 21.06
*END
*D_NET *195 0.00126998
*CONN
*I *4962:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4961:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *4962:A2 0.000249564
2 *4961:ZN 0.000249564
3 *4962:A2 *4961:A1 1.61223e-05
4 *5101:D *4962:A2 0.000664286
5 *156:52 *4962:A2 9.04462e-05
*RES
1 *4961:ZN *4962:A2 20.25
*END
*D_NET *196 0.00379268
*CONN
*I *4973:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4975:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4964:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4974:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4963:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *4973:A2 0
2 *4975:A2 0.000159257
3 *4964:A3 0
4 *4974:A2 8.3945e-05
5 *4963:Z 0.000444921
6 *196:22 0.000292885
7 *196:12 0.000692555
8 *196:9 0.000919902
9 *4974:A2 *4964:A2 0
10 *4975:A2 *921:I 0
11 *4975:A2 *4964:A1 5.15453e-06
12 *4975:A2 *4975:A1 1.51249e-05
13 *4975:A2 *4976:A3 0.000141058
14 *196:9 *4973:A3 0.000246284
15 *196:9 *198:13 0
16 *196:12 *4964:A2 0
17 *196:12 *4965:A4 8.2866e-05
18 *196:22 *4964:A1 2.896e-05
19 *196:22 *4976:A3 0.000304879
20 *5148:D *196:9 0.000223428
21 *148:12 *196:9 0.000151463
*RES
1 *4963:Z *196:9 18.18
2 *196:9 *196:12 8.19
3 *196:12 *4974:A2 9.45
4 *196:12 *196:22 5.85
5 *196:22 *4964:A3 4.5
6 *196:22 *4975:A2 5.94
7 *196:9 *4973:A2 4.5
*END
*D_NET *197 0.00145337
*CONN
*I *4965:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *4964:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *4965:A4 0.000561147
2 *4964:ZN 0.000561147
3 *4965:A4 *1168:I 0
4 *4965:A4 *198:13 0
5 *148:7 *4965:A4 0.000248206
6 *196:12 *4965:A4 8.2866e-05
*RES
1 *4964:ZN *4965:A4 22.32
*END
*D_NET *198 0.00833031
*CONN
*I *845:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4971:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *843:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4969:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *844:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4966:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4978:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *846:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *4965:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*CAP
1 *845:I 8.45383e-06
2 *4971:A1 0.000255724
3 *843:I 0
4 *4969:A2 0.000138158
5 *844:I 6.28309e-05
6 *4966:A2 0
7 *4978:A1 9.31831e-05
8 *846:I 0.000159193
9 *4965:ZN 3.2547e-05
10 *198:71 0.000405689
11 *198:42 0.000369798
12 *198:22 0.000683971
13 *198:18 0.000601823
14 *198:17 0.00037834
15 *198:13 0.00113174
16 *198:6 0.0012665
17 *844:I *4969:A3 0.000119218
18 *845:I *1168:I 4.83668e-05
19 *845:I *5147:CLK 1.19582e-05
20 *846:I *310:5 4.83651e-05
21 *4969:A2 *4968:A1 0.000112034
22 *4969:A2 *4969:A1 0.000258934
23 *4969:A2 *4969:A3 5.48299e-05
24 *4969:A2 *470:18 6.06221e-05
25 *4971:A1 *1168:I 6.55598e-05
26 *4978:A1 *4978:A2 1.61223e-05
27 *4978:A1 *310:5 2.1289e-05
28 *4978:A1 *482:7 2.33247e-06
29 *4978:A1 *482:20 1.27799e-05
30 *198:6 *1168:I 0.000320334
31 *198:13 *5147:CLK 0.000158795
32 *198:13 *459:7 3.10856e-05
33 *198:17 *459:7 1.59687e-05
34 *198:18 *899:I 0
35 *198:18 *4966:A1 0.000192275
36 *198:22 *899:I 0
37 *198:22 *310:10 0
38 *198:22 *310:75 0
39 *198:22 *482:20 0.000112034
40 *198:42 *899:I 0
41 *198:42 *4966:A1 0.000133911
42 *198:42 *4968:A1 6.98506e-05
43 *198:42 *4969:A3 2.87921e-05
44 *198:42 *459:20 5.6223e-05
45 *198:71 *1168:I 0.000511535
46 *198:71 *5147:CLK 2.4656e-05
47 *4965:A4 *198:13 0
48 *5145:D *198:17 0
49 *5146:D *4969:A2 3.97913e-05
50 *5147:D *4971:A1 4.34859e-05
51 *5148:D *198:13 4.41802e-05
52 *144:8 *198:6 5.62005e-05
53 *144:8 *198:71 7.0828e-05
54 *148:7 *198:13 0
55 *148:12 *198:13 0
56 *148:12 *198:18 0
57 *148:12 *198:22 0
58 *196:9 *198:13 0
*RES
1 *4965:ZN *198:6 9.81
2 *198:6 *198:13 11.79
3 *198:13 *198:17 4.77
4 *198:17 *198:18 1.89
5 *198:18 *198:22 7.29
6 *198:22 *846:I 5.58
7 *198:22 *4978:A1 5.13
8 *198:18 *4966:A2 9
9 *198:17 *198:42 2.07
10 *198:42 *844:I 9.81
11 *198:42 *4969:A2 11.34
12 *198:13 *843:I 4.5
13 *198:6 *198:71 6.3
14 *198:71 *4971:A1 15.2374
15 *198:71 *845:I 4.77
*END
*D_NET *199 0.00300937
*CONN
*I *4970:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *4972:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4969:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4967:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4970:A2 0.000180166
2 *4972:A2 0
3 *4969:A1 0.000268587
4 *4967:Z 0
5 *199:19 0.000502972
6 *199:4 0.000591393
7 *4969:A1 *459:20 6.97466e-05
8 *4969:A1 *470:7 2.35125e-05
9 *4969:A1 *470:18 6.15609e-06
10 *4970:A2 *479:19 0.000196839
11 *199:19 *4963:A2 0.00040905
12 *199:19 *4963:A3 0.000183707
13 *199:19 *4972:B 1.19582e-05
14 *199:19 *459:20 0.000146233
15 *199:19 *479:19 1.0415e-05
16 *199:19 *480:9 7.12514e-05
17 *4969:A2 *4969:A1 0.000258934
18 *5146:D *4969:A1 7.84526e-05
*RES
1 *4967:Z *199:4 4.5
2 *199:4 *4969:A1 6.84
3 *199:4 *199:19 3.78
4 *199:19 *4972:A2 4.5
5 *199:19 *4970:A2 6.57
*END
*D_NET *200 0.000712354
*CONN
*I *4969:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4968:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4969:A3 0.000236453
2 *4968:ZN 0.000236453
3 *4969:A3 *459:7 3.66071e-05
4 *844:I *4969:A3 0.000119218
5 *4969:A2 *4969:A3 5.48299e-05
6 *198:42 *4969:A3 2.87921e-05
*RES
1 *4968:ZN *4969:A3 20.16
*END
*D_NET *201 0.000680914
*CONN
*I *4971:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4970:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *4971:A2 0.000276755
2 *4970:ZN 0.000276755
3 *4971:A2 *479:19 5.97909e-06
4 *5147:D *4971:A2 0.000121424
*RES
1 *4970:ZN *4971:A2 11.07
*END
*D_NET *202 0.00115402
*CONN
*I *4973:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4972:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *4973:A3 0.000453867
2 *4972:ZN 0.000453867
3 *196:9 *4973:A3 0.000246284
*RES
1 *4972:ZN *4973:A3 22.41
*END
*D_NET *203 0.00134835
*CONN
*I *4977:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4976:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4974:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4977:A2 0
2 *4976:A2 0.00010681
3 *4974:Z 0.000247881
4 *203:7 0.000354691
5 *203:7 *4964:A1 0.000295374
6 *203:7 *4976:A3 9.71227e-05
7 *148:12 *4976:A2 0.000246471
*RES
1 *4974:Z *203:7 7.02
2 *203:7 *4976:A2 14.85
3 *203:7 *4977:A2 4.5
*END
*D_NET *204 0.00197583
*CONN
*I *4976:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4975:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4976:A3 0.00046077
2 *4975:ZN 0.00046077
3 *4976:A3 *4964:A1 0
4 *4976:A3 *4974:A1 0.000149265
5 *4976:A3 *4975:A1 0.000151473
6 *4976:A3 *481:13 3.9806e-05
7 *4975:A2 *4976:A3 0.000141058
8 *5149:D *4976:A3 0.000170683
9 *196:22 *4976:A3 0.000304879
10 *203:7 *4976:A3 9.71227e-05
*RES
1 *4975:ZN *4976:A3 14.13
*END
*D_NET *205 0.000457883
*CONN
*I *4978:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4977:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4978:A2 6.96295e-05
2 *4977:ZN 6.96295e-05
3 *4978:A2 *482:20 0.000302501
4 *4978:A1 *4978:A2 1.61223e-05
*RES
1 *4977:ZN *4978:A2 9.81
*END
*D_NET *206 0.00388398
*CONN
*I *4987:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4988:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4980:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4979:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *4987:A2 0
2 *4988:A2 0.000241006
3 *4980:A2 0
4 *4979:Z 0.000129407
5 *206:12 0.000676502
6 *206:8 0.000564903
7 *4988:A2 *4895:A1 0
8 *4988:A2 *4991:A2 3.19831e-06
9 *4988:A2 *4991:A3 0.000246471
10 *4988:A2 *5198:CLK 0.000507556
11 *4988:A2 *288:18 0.000320456
12 *206:8 *4987:A3 0.000400942
13 *206:12 *207:8 0.000101481
14 *5200:D *4988:A2 4.21136e-05
15 *138:22 *4988:A2 0.000649941
*RES
1 *4979:Z *206:8 10.62
2 *206:8 *206:12 7.11
3 *206:12 *4980:A2 4.5
4 *206:12 *4988:A2 17.46
5 *206:8 *4987:A2 9
*END
*D_NET *207 0.00503147
*CONN
*I *4981:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4990:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4989:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4980:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4981:A2 0.000469034
2 *4990:A2 0.000397967
3 *4989:A2 0
4 *4980:Z 0
5 *207:8 0.000719668
6 *207:4 0.000790735
7 *4981:A2 *4981:A1 6.9344e-05
8 *4981:A2 *4982:A3 0
9 *4981:A2 *5198:CLK 0.000143901
10 *4981:A2 *5200:CLK 0
11 *4981:A2 *208:7 0.000564439
12 *4981:A2 *288:18 0.000711772
13 *4990:A2 *4988:A1 3.64493e-05
14 *4990:A2 *268:80 0
15 *4990:A2 *452:7 0
16 *4990:A2 *453:7 1.86543e-05
17 *207:8 *4980:A1 0.000168714
18 *207:8 *4988:A1 0.000163428
19 *207:8 *5198:CLK 0.000125145
20 *4894:I *4981:A2 4.02718e-05
21 *4987:A1 *4981:A2 0
22 *4987:A1 *207:8 0
23 *4989:A1 *4990:A2 0.000212892
24 *4991:A1 *4981:A2 9.30351e-05
25 *5198:D *207:8 3.07804e-06
26 *5199:D *4990:A2 0.000182246
27 *138:13 *4990:A2 1.92138e-05
28 *139:16 *4981:A2 0
29 *206:12 *207:8 0.000101481
*RES
1 *4980:Z *207:4 4.5
2 *207:4 *207:8 8.1
3 *207:8 *4989:A2 9
4 *207:8 *4990:A2 12.69
5 *207:4 *4981:A2 9.81
*END
*D_NET *208 0.00251535
*CONN
*I *4982:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4991:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4981:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4982:A3 0.000340092
2 *4991:A2 0.000163419
3 *4981:Z 0.000188766
4 *208:7 0.000692277
5 *4982:A3 *4895:A1 0.000123397
6 *4982:A3 *5197:CLK 0
7 *4991:A2 *4895:A1 4.35218e-05
8 *4991:A2 *288:18 0.000235083
9 *208:7 *268:96 0
10 *4894:I *4982:A3 4.11217e-05
11 *4894:I *4991:A2 2.71408e-06
12 *4981:A2 *4982:A3 0
13 *4981:A2 *208:7 0.000564439
14 *4988:A2 *4991:A2 3.19831e-06
15 *5196:D *4982:A3 3.01487e-05
16 *5200:D *4991:A2 3.10936e-05
17 *138:22 *4991:A2 5.42816e-06
18 *139:16 *4982:A3 5.06472e-05
*RES
1 *4981:Z *208:7 10.71
2 *208:7 *4991:A2 10.26
3 *208:7 *4982:A3 11.61
*END
*D_NET *209 0.00134816
*CONN
*I *4985:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4986:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4983:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4985:A2 5.7146e-05
2 *4986:A2 0.000257457
3 *4983:Z 0.000227348
4 *209:7 0.000541951
5 *4985:A2 *5197:CLK 5.68952e-05
6 *4985:A2 *431:26 0
7 *4986:A2 *4979:A1 8.70211e-05
8 *4986:A2 *5197:CLK 9.49531e-05
9 *4986:A2 *431:26 0
10 *209:7 *431:26 2.53881e-05
*RES
1 *4983:Z *209:7 5.76
2 *209:7 *4986:A2 6.66
3 *209:7 *4985:A2 4.95
*END
*D_NET *210 0.00103554
*CONN
*I *4985:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4984:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4985:A3 1.7751e-05
2 *4984:ZN 1.7751e-05
3 *4985:A3 *431:12 0.00046357
4 *5197:D *4985:A3 0.000536469
5 *19:13 *4985:A3 0
*RES
1 *4984:ZN *4985:A3 19.35
*END
*D_NET *211 0.00159059
*CONN
*I *4987:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4986:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4987:A3 0.000252406
2 *4986:ZN 0.000252406
3 *4987:A3 *4983:A1 0
4 *4987:A3 *4986:A1 0.000684836
5 *206:8 *4987:A3 0.000400942
*RES
1 *4986:ZN *4987:A3 21.33
*END
*D_NET *212 0.00134557
*CONN
*I *4989:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4988:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4989:A3 0.000217666
2 *4988:ZN 0.000217666
3 *4989:A3 *4988:A1 0.000907158
4 *4989:A3 *452:7 3.07804e-06
5 *5200:D *4989:A3 0
*RES
1 *4988:ZN *4989:A3 11.43
*END
*D_NET *213 0.00119764
*CONN
*I *4991:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4990:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4991:A3 0.000233451
2 *4990:ZN 0.000233451
3 *4991:A3 *453:16 9.51271e-05
4 *4988:A2 *4991:A3 0.000246471
5 *138:13 *4991:A3 0.000320334
6 *138:22 *4991:A3 6.88009e-05
*RES
1 *4990:ZN *4991:A3 20.97
*END
*D_NET *214 0.00278521
*CONN
*I *4995:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4997:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4996:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4993:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4995:A2 0.000214082
2 *4997:A2 0.000207644
3 *4996:A2 0.000259145
4 *4993:Z 0
5 *214:13 0.000565567
6 *214:4 0.000402987
7 *4995:A2 *4995:A3 2.39675e-05
8 *4995:A2 *403:7 8.59105e-05
9 *4996:A2 *4997:A1 9.67336e-05
10 *4996:A2 *4998:A3 0.000231469
11 *4997:A2 *4997:A1 1.61223e-05
12 *4997:A2 *403:7 0.000229587
13 *4997:A2 *403:11 9.04462e-05
14 *4995:A1 *4995:A2 0.000145299
15 *4995:A1 *4996:A2 3.5516e-05
16 *4995:A1 *214:13 0.000100117
17 *5248:D *4996:A2 0
18 *5249:D *4995:A2 8.06113e-05
*RES
1 *4993:Z *214:4 4.5
2 *214:4 *4996:A2 7.11
3 *214:4 *214:13 0.9
4 *214:13 *4997:A2 6.48
5 *214:13 *4995:A2 6.84
*END
*D_NET *215 0.00079796
*CONN
*I *4995:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4994:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4995:A3 0.000180202
2 *4994:ZN 0.000180202
3 *4995:A3 *4994:A1 0.00017758
4 *4995:A3 *403:7 7.56244e-06
5 *4995:A3 *414:11 7.7779e-05
6 *4995:A2 *4995:A3 2.39675e-05
7 *5249:D *4995:A3 0.000150668
*RES
1 *4994:ZN *4995:A3 11.16
*END
*D_NET *216 0.00392081
*CONN
*I *4999:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5000:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4998:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4996:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *4999:A2 0
2 *5000:A2 0.000218497
3 *4998:A2 0.000209155
4 *4996:Z 0
5 *216:18 0.000537491
6 *216:5 0.000528148
7 *4998:A2 *4998:A3 0.000695459
8 *4998:A2 *423:5 0.000192268
9 *5000:A2 *4885:A1 4.83668e-05
10 *5000:A2 *5000:A1 0.000524581
11 *5000:A2 *5001:A2 0.000599097
12 *5000:A2 *424:9 1.59687e-05
13 *5000:A2 *424:13 0.00015451
14 *216:18 *4998:A3 0
15 *216:18 *424:9 1.59607e-05
16 *216:18 *424:13 5.36227e-05
17 *4998:A1 *4998:A2 0
18 *5250:D *4998:A2 6.48042e-05
19 *130:8 *5000:A2 5.06361e-05
20 *130:8 *216:18 1.22427e-05
*RES
1 *4996:Z *216:5 9
2 *216:5 *4998:A2 11.52
3 *216:5 *216:18 6.66
4 *216:18 *5000:A2 17.73
5 *216:18 *4999:A2 4.5
*END
*D_NET *217 0.00200902
*CONN
*I *4998:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4997:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *4998:A3 0.00025548
2 *4997:ZN 0.00025548
3 *4998:A3 *950:I 0.000119228
4 *4998:A3 *4885:A1 5.31325e-06
5 *4998:A3 *4997:A1 0.000302501
6 *4998:A3 *423:5 0.000138777
7 *4998:A3 *424:13 5.31325e-06
8 *4996:A2 *4998:A3 0.000231469
9 *4998:A2 *4998:A3 0.000695459
10 *216:18 *4998:A3 0
*RES
1 *4997:ZN *4998:A3 12.69
*END
*D_NET *218 0.000842315
*CONN
*I *5001:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *4999:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *5001:A2 9.0068e-05
2 *4999:Z 9.0068e-05
3 *5000:A2 *5001:A2 0.000599097
4 *130:8 *5001:A2 6.3082e-05
*RES
1 *4999:Z *5001:A2 19.53
*END
*D_NET *219 0.000521867
*CONN
*I *5001:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5000:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5001:A3 0.000224227
2 *5000:ZN 0.000224227
3 *5251:D *5001:A3 7.34132e-05
4 *129:11 *5001:A3 0
5 *129:15 *5001:A3 0
*RES
1 *5000:ZN *5001:A3 10.53
*END
*D_NET *220 0.00140034
*CONN
*I *5004:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5003:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5004:A2 0.000245529
2 *5003:ZN 0.000245529
3 *5004:A2 *5003:A2 1.56672e-05
4 *5004:A2 *5302:CLK 0
5 *5004:A2 *5303:CLK 0
6 *5302:D *5004:A2 0.000118147
7 *121:19 *5004:A2 0.000775472
*RES
1 *5003:ZN *5004:A2 11.7
*END
*D_NET *221 0.00230556
*CONN
*I *5006:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5005:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*CAP
1 *5006:A2 0.000418103
2 *5005:ZN 0.000418103
3 *5006:A2 *5003:A1 0.000248206
4 *5006:A2 *5003:A2 0.000913922
5 *5006:A2 *5005:A1 0.0001224
6 *5006:A2 *5005:A2 1.61223e-05
7 *5006:A2 *5006:A1 7.56244e-06
8 *121:19 *5006:A2 8.44248e-05
9 *121:23 *5006:A2 4.65694e-05
10 *121:34 *5006:A2 3.01487e-05
*RES
1 *5005:ZN *5006:A2 14.04
*END
*D_NET *222 0.000519621
*CONN
*I *5007:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5006:Z O *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*CAP
1 *5007:A2 5.93392e-05
2 *5006:Z 5.93392e-05
3 *5007:A1 *5007:A2 0.000182246
4 *121:34 *5007:A2 0.000218696
*RES
1 *5006:Z *5007:A2 18.99
*END
*D_NET *223 0.0006787
*CONN
*I *5010:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5009:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5010:A2 0.000327366
2 *5009:ZN 0.000327366
3 *5010:A2 *4865:A1 0
4 *5010:A2 *5009:A1 2.39675e-05
5 *5010:A1 *5010:A2 0
*RES
1 *5009:ZN *5010:A2 20.34
*END
*D_NET *224 0.00368473
*CONN
*I *5016:A1 I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *5040:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5041:A1 I *D gf180mcu_fd_sc_mcu7t5v0__or2_1
*I *5012:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *5016:A1 0.000169816
2 *5040:A1 0.000117368
3 *5041:A1 6.69128e-05
4 *5012:ZN 0.000393019
5 *224:9 0.000376418
6 *224:7 0.000754972
7 *5016:A1 *5039:A1 0
8 *5040:A1 *5039:A2 0.000799804
9 *5040:A1 *5040:A2 0
10 *5040:A1 *5042:A2 0.000101542
11 *5040:A1 *227:8 0
12 *5041:A1 *5039:A1 6.349e-05
13 *5041:A1 *228:9 0.000365483
14 *224:7 *5012:I 0
15 *224:9 *5016:A2 0
16 *224:9 *5039:A1 9.23413e-06
17 *224:9 *228:9 0.000466673
18 *5474:D *224:7 0
19 *5474:D *224:9 0
*RES
1 *5012:ZN *224:7 7.02
2 *224:7 *224:9 1.89
3 *224:9 *5041:A1 5.49
4 *224:9 *5040:A1 15.57
5 *224:7 *5016:A1 5.94
*END
*D_NET *225 0.00689002
*CONN
*I *5015:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *851:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *858:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5037:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *5034:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *860:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *856:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5027:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5013:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *5015:A3 0.000396106
2 *851:I 0
3 *858:I 0.000112328
4 *5037:A2 0
5 *5034:A1 5.13656e-05
6 *860:I 0
7 *856:I 9.89632e-05
8 *5027:I 0
9 *5013:Z 0.000803154
10 *225:56 0.000683127
11 *225:43 0.000112328
12 *225:41 0.000435473
13 *225:32 0.000303268
14 *225:25 0.000298322
15 *225:17 0.000410457
16 *225:10 0.000919776
17 *856:I *227:8 0
18 *858:I *5037:A1 8.69735e-05
19 *858:I *311:26 2.4649e-05
20 *5015:A3 *5015:A2 0
21 *5015:A3 *5015:A4 1.90462e-05
22 *5015:A3 *5038:A1 1.19803e-05
23 *5015:A3 *311:26 0
24 *5015:A3 *344:5 1.19732e-05
25 *5034:A1 *5037:A1 4.82503e-05
26 *5034:A1 *226:26 0.000223418
27 *225:10 *5013:A1 0
28 *225:10 *5013:A4 6.79785e-05
29 *225:10 *5023:A2 3.08204e-05
30 *225:10 *5025:C 0
31 *225:10 *5033:B1 0.000112197
32 *225:10 *232:13 0
33 *225:10 *339:7 7.49743e-05
34 *225:17 *5039:A1 4.51052e-05
35 *225:17 *226:17 0
36 *225:17 *226:26 0
37 *225:17 *237:21 0.000234139
38 *225:25 *5033:B1 0
39 *225:25 *227:6 0
40 *225:25 *227:8 0
41 *225:32 *226:26 4.07112e-06
42 *225:32 *227:6 0
43 *225:41 *226:26 2.17665e-05
44 *225:56 *5015:A4 5.22028e-05
45 *225:56 *226:26 1.81814e-05
46 *5028:A1 *225:10 0.000156548
47 *181:29 *225:10 0.00102108
*RES
1 *5013:Z *225:10 20.34
2 *225:10 *5027:I 4.5
3 *225:10 *225:17 5.49
4 *225:17 *856:I 9.63
5 *225:17 *225:25 1.53
6 *225:25 *860:I 9
7 *225:25 *225:32 0.63
8 *225:32 *5034:A1 9.63
9 *225:32 *225:41 0.81
10 *225:41 *225:43 4.5
11 *225:43 *5037:A2 4.5
12 *225:43 *858:I 5.4
13 *225:41 *225:56 2.25
14 *225:56 *851:I 9
15 *225:56 *5015:A3 11.52
*END
*D_NET *226 0.0066871
*CONN
*I *5015:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5037:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *5034:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *5033:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi221_1
*I *5014:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *5015:A4 0.000533666
2 *5037:A3 6.20571e-05
3 *5034:A2 0
4 *5033:A2 2.89065e-05
5 *5014:Z 0.000128621
6 *226:26 0.000978925
7 *226:17 0.000974293
8 *226:5 0.000748619
9 *5015:A4 *5015:A1 3.0582e-05
10 *5015:A4 *5015:A2 6.27996e-05
11 *5015:A4 *5185:D 0
12 *5015:A4 *5187:D 0
13 *5015:A4 *227:6 0.000789391
14 *5037:A3 *5038:A2 5.82195e-05
15 *5037:A3 *311:26 7.51579e-05
16 *226:5 *5033:A1 0.000507556
17 *226:5 *5033:C 0.000357009
18 *226:5 *342:7 3.46041e-05
19 *226:17 *5033:B1 1.79373e-05
20 *226:17 *5035:A2 0.000302501
21 *226:17 *5037:A1 6.04995e-05
22 *226:26 *5037:A1 1.51249e-05
23 *226:26 *5038:A2 7.03859e-06
24 *226:26 *227:6 0.000574902
25 *5015:A3 *5015:A4 1.90462e-05
26 *5034:A1 *226:26 0.000223418
27 *225:17 *226:17 0
28 *225:17 *226:26 0
29 *225:32 *226:26 4.07112e-06
30 *225:41 *226:26 2.17665e-05
31 *225:56 *5015:A4 5.22028e-05
32 *225:56 *226:26 1.81814e-05
*RES
1 *5014:Z *226:5 6.75
2 *226:5 *5033:A2 4.77
3 *226:5 *226:17 4.59
4 *226:17 *5034:A2 4.5
5 *226:17 *226:26 7.92
6 *226:26 *5037:A3 9.81
7 *226:26 *5015:A4 13.86
*END
*D_NET *227 0.00494455
*CONN
*I *5016:A2 I *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*I *5041:A2 I *D gf180mcu_fd_sc_mcu7t5v0__or2_1
*I *5040:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5015:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*CAP
1 *5016:A2 0.000362264
2 *5041:A2 0
3 *5040:A2 0.000365046
4 *5015:ZN 0.000182771
5 *227:8 0.000604058
6 *227:6 0.000784047
7 *5016:A2 *5039:A1 0.000177176
8 *5040:A2 *5042:A2 3.60715e-05
9 *5040:A2 *228:9 3.20733e-05
10 *5040:A2 *232:13 6.0776e-05
11 *5040:A2 *265:100 2.39314e-05
12 *227:6 *5015:A1 0.000154209
13 *227:6 *5473:CLK 0.000676142
14 *227:6 *311:41 0
15 *227:8 *5039:A2 0
16 *227:8 *5473:CLK 4.34878e-05
17 *227:8 *232:13 8.22433e-06
18 *856:I *227:8 0
19 *5015:A4 *227:6 0.000789391
20 *5040:A1 *5040:A2 0
21 *5040:A1 *227:8 0
22 *5473:D *5016:A2 3.66071e-05
23 *5474:D *5040:A2 3.33765e-05
24 *224:9 *5016:A2 0
25 *225:25 *227:6 0
26 *225:25 *227:8 0
27 *225:32 *227:6 0
28 *226:26 *227:6 0.000574902
*RES
1 *5015:ZN *227:6 14.13
2 *227:6 *227:8 1.71
3 *227:8 *5040:A2 11.7
4 *227:8 *5041:A2 9
5 *227:6 *5016:A2 11.79
*END
*D_NET *228 0.00614108
*CONN
*I *5022:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5033:B1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi221_1
*I *5017:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5028:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5016:ZN O *D gf180mcu_fd_sc_mcu7t5v0__oai211_2
*CAP
1 *5022:I 0
2 *5033:B1 0.000624293
3 *5017:I 0
4 *5028:A2 0.000131799
5 *5016:ZN 0.000475533
6 *228:15 0.000171776
7 *228:13 0.000937039
8 *228:9 0.000748302
9 *5028:A2 *229:9 4.83651e-05
10 *5028:A2 *265:102 2.39164e-05
11 *5033:B1 *5030:A1 0
12 *5033:B1 *5031:A1 1.0743e-05
13 *5033:B1 *5033:C 1.0743e-05
14 *5033:B1 *229:8 4.06719e-05
15 *5033:B1 *229:69 6.25971e-05
16 *5033:B1 *237:21 5.20419e-05
17 *5033:B1 *237:33 0.000910635
18 *228:9 *5039:A1 5.25635e-05
19 *228:9 *232:13 1.0415e-05
20 *228:9 *237:7 4.02661e-06
21 *228:9 *265:100 0
22 *228:13 *5039:A1 0
23 *228:13 *232:13 1.85804e-05
24 *228:13 *236:5 0
25 *228:13 *237:7 4.18683e-05
26 *228:13 *237:21 0
27 *228:13 *265:100 0
28 *228:13 *265:102 2.94346e-05
29 *228:15 *265:102 1.39681e-05
30 *5028:A1 *5028:A2 0.000164741
31 *5028:A1 *5033:B1 1.86522e-05
32 *5028:A1 *228:13 0.000218481
33 *5028:A1 *228:15 0.000235083
34 *5029:I *228:13 9.04462e-05
35 *5040:A2 *228:9 3.20733e-05
36 *5041:A1 *228:9 0.000365483
37 *5474:D *228:9 0
38 *224:9 *228:9 0.000466673
39 *225:10 *5033:B1 0.000112197
40 *225:25 *5033:B1 0
41 *226:17 *5033:B1 1.79373e-05
*RES
1 *5016:ZN *228:9 8.73
2 *228:9 *228:13 2.34
3 *228:13 *228:15 0.63
4 *228:15 *5028:A2 5.94
5 *228:15 *5017:I 4.5
6 *228:13 *5033:B1 19.26
7 *228:9 *5022:I 4.5
*END
*D_NET *229 0.011945
*CONN
*I *5036:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *857:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *859:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5031:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5019:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5021:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *855:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *853:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5017:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5036:A2 1.26283e-05
2 *857:I 9.92267e-05
3 *859:I 0
4 *5031:A2 7.46899e-05
5 *5019:A2 0
6 *5021:A2 2.30019e-05
7 *855:I 0.000221005
8 *853:I 0
9 *5017:Z 0
10 *229:69 0.000228676
11 *229:37 0.000918204
12 *229:30 0.0011439
13 *229:20 0.00182268
14 *229:9 0.00162565
15 *229:8 0.000415742
16 *229:5 0.000334586
17 *857:I *236:5 0.000287907
18 *857:I *236:17 4.83668e-05
19 *857:I *237:21 5.97909e-06
20 *857:I *237:33 7.1134e-05
21 *5021:A2 *5467:CLK 0
22 *5036:A2 *236:5 6.8358e-05
23 *5036:A2 *237:33 1.85908e-05
24 *229:8 *5028:B 0
25 *229:9 *5031:B 0
26 *229:9 *265:102 0.000796649
27 *229:20 *5031:B 0
28 *229:20 *5470:CLK 7.24666e-05
29 *229:20 *5660:I 0.00024529
30 *229:20 *265:102 0.00017758
31 *229:20 *313:15 0
32 *229:20 *313:26 0
33 *229:30 *5409:CLK 0
34 *229:30 *5466:CLK 0.000737185
35 *229:37 *5466:CLK 0.000112718
36 *229:37 *5467:CLK 3.76365e-05
37 *840:I *229:30 0
38 *852:I *229:20 0.000320343
39 *852:I *229:30 0
40 *5028:A1 *229:8 0
41 *5028:A2 *229:9 4.83651e-05
42 *5033:B1 *229:8 4.06719e-05
43 *5033:B1 *229:69 6.25971e-05
44 *5409:D *229:20 3.42687e-05
45 *5466:D *229:37 7.84526e-05
46 *5467:D *5021:A2 1.0415e-05
47 *5467:D *229:37 0.000377841
48 *5469:D *229:9 0
49 *5470:D *5031:A2 0
50 *5470:D *229:20 0.000436375
51 *5472:D *857:I 0.000177399
52 *5472:D *5036:A2 1.0415e-05
53 *181:29 *229:8 0
54 *182:16 *855:I 0.000528769
55 *182:27 *5021:A2 1.85908e-05
56 *182:27 *229:37 0.000184514
57 *182:31 *229:30 0
58 *182:31 *229:37 1.61223e-05
*RES
1 *5017:Z *229:5 9
2 *229:5 *229:8 6.03
3 *229:8 *229:9 2.25
4 *229:9 *229:20 21.06
5 *229:20 *853:I 4.5
6 *229:20 *229:30 12.42
7 *229:30 *229:37 5.94
8 *229:37 *855:I 15.39
9 *229:37 *5021:A2 4.77
10 *229:30 *5019:A2 4.5
11 *229:9 *5031:A2 5.13
12 *229:8 *859:I 4.5
13 *229:5 *229:69 5.49
14 *229:69 *857:I 6.3
15 *229:69 *5036:A2 4.77
*END
*D_NET *230 0.0054205
*CONN
*I *5024:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5023:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5020:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5019:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5018:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5024:A2 7.47476e-05
2 *5023:A2 0.000201308
3 *5020:A2 0
4 *5019:B 0.000307105
5 *5018:Z 0
6 *230:28 0.000428249
7 *230:9 0.000685964
8 *230:5 0.000531053
9 *5019:B *5021:B 3.5516e-05
10 *5023:A2 *5013:A1 1.69952e-06
11 *5023:A2 *5013:A2 7.62241e-05
12 *5023:A2 *5013:A3 5.90159e-05
13 *5023:A2 *5023:A1 4.433e-05
14 *5023:A2 *5025:C 1.53902e-05
15 *5023:A2 *5026:A2 0.000308424
16 *5023:A2 *330:25 9.7012e-05
17 *5024:A2 *5013:A1 3.25973e-05
18 *230:9 *5020:A1 0.00042411
19 *230:9 *5021:B 0.000142064
20 *230:9 *319:8 0.000684836
21 *230:9 *330:18 0.000148413
22 *230:28 *5013:A1 4.49659e-05
23 *230:28 *5013:A2 0.000473841
24 *230:28 *319:8 0.000145797
25 *230:28 *330:18 0.000102806
26 *230:28 *330:25 5.02602e-06
27 *5469:D *5023:A2 0
28 *182:27 *5019:B 0.000319188
29 *225:10 *5023:A2 3.08204e-05
*RES
1 *5018:Z *230:5 9
2 *230:5 *230:9 9.18
3 *230:9 *5019:B 7.29
4 *230:9 *5020:A2 4.5
5 *230:5 *230:28 6.66
6 *230:28 *5023:A2 15.84
7 *230:28 *5024:A2 4.95
*END
*D_NET *231 0.00136668
*CONN
*I *5021:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5020:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5021:B 0.000284601
2 *5020:ZN 0.000284601
3 *5019:B *5021:B 3.5516e-05
4 *182:27 *5021:B 0.000619894
5 *230:9 *5021:B 0.000142064
*RES
1 *5020:ZN *5021:B 12.15
*END
*D_NET *232 0.00700678
*CONN
*I *5039:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5040:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5042:B1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi22_1
*I *5025:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5022:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5039:A2 0.000249037
2 *5040:B 0
3 *5042:B1 0
4 *5025:A2 0.000517441
5 *5022:Z 0.000864955
6 *232:37 0.000423744
7 *232:17 0.000633868
8 *232:13 0.00115609
9 *5025:A2 *5042:A2 4.82503e-05
10 *5025:A2 *5468:CLK 0
11 *5039:A2 *5042:A2 6.85374e-06
12 *232:13 *5039:A1 1.19732e-05
13 *232:13 *5042:A2 0
14 *232:13 *265:97 0.00042579
15 *232:13 *265:100 0
16 *232:13 *339:7 1.59687e-05
17 *232:17 *5042:A2 6.63143e-05
18 *232:37 *5042:A2 9.04956e-05
19 *861:I *232:17 0.000591388
20 *4941:A3 *5039:A2 0
21 *4942:I *232:17 0.000312634
22 *5016:C *5039:A2 0
23 *5016:C *232:37 0
24 *5040:A1 *5039:A2 0.000799804
25 *5040:A2 *232:13 6.0776e-05
26 *5042:B2 *5025:A2 1.0415e-05
27 *5042:B2 *232:17 2.94011e-05
28 *5468:D *5025:A2 0.00029445
29 *180:10 *5039:A2 0
30 *181:8 *232:17 0.000289034
31 *181:18 *5025:A2 6.47225e-05
32 *181:18 *232:13 0
33 *181:18 *232:17 6.15609e-06
34 *225:10 *232:13 0
35 *227:8 *5039:A2 0
36 *227:8 *232:13 8.22433e-06
37 *228:9 *232:13 1.0415e-05
38 *228:13 *232:13 1.85804e-05
*RES
1 *5022:Z *232:13 24.03
2 *232:13 *232:17 7.02
3 *232:17 *5025:A2 8.55
4 *232:17 *5042:B1 4.5
5 *232:13 *232:37 1.71
6 *232:37 *5040:B 9
7 *232:37 *5039:A2 11.79
*END
*D_NET *233 0.00116162
*CONN
*I *5025:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5023:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5025:B 0.000173898
2 *5023:ZN 0.000173898
3 *5025:B *5024:A3 0.000599097
4 *5025:B *5025:C 0.000119228
5 *181:29 *5025:B 9.55018e-05
*RES
1 *5023:ZN *5025:B 20.34
*END
*D_NET *234 0.00243423
*CONN
*I *5025:C I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5026:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5024:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *5025:C 0.00024729
2 *5026:A2 0.000169978
3 *5024:Z 0
4 *234:4 0.000417268
5 *5025:C *5013:A1 3.9806e-05
6 *5026:A2 *5013:A3 0.000103842
7 *5026:A2 *5013:A4 0.000286409
8 *5026:A2 *5023:A1 0.000591397
9 *5026:A2 *5024:A3 6.06221e-05
10 *5026:A2 *265:102 2.86471e-05
11 *5026:A2 *340:9 1.0415e-05
12 *5023:A2 *5025:C 1.53902e-05
13 *5023:A2 *5026:A2 0.000308424
14 *5025:B *5025:C 0.000119228
15 *181:18 *5025:C 3.5516e-05
16 *225:10 *5025:C 0
*RES
1 *5024:Z *234:4 4.5
2 *234:4 *5026:A2 16.38
3 *234:4 *5025:C 6.21
*END
*D_NET *235 0.000631485
*CONN
*I *5028:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5026:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5028:B 0.000236578
2 *5026:ZN 0.000236578
3 *5028:B *5031:A1 3.58199e-06
4 *5469:D *5028:B 0.000154748
5 *229:8 *5028:B 0
*RES
1 *5026:ZN *5028:B 20.07
*END
*D_NET *236 0.0051162
*CONN
*I *5030:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5033:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi221_1
*I *5032:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5028:C I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5027:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5030:A2 0
2 *5033:A1 0.000185985
3 *5032:A2 0.000204706
4 *5028:C 2.87269e-05
5 *5027:Z 0.000265413
6 *236:20 0.000623675
7 *236:17 0.000588127
8 *236:5 0.000649284
9 *5032:A2 *5030:A1 0.000357441
10 *5032:A2 *5032:A1 1.51249e-05
11 *5032:A2 *5033:C 0.000316204
12 *5033:A1 *5014:A2 2.05612e-05
13 *5033:A1 *341:6 4.57044e-05
14 *5033:A1 *342:7 3.07804e-06
15 *236:5 *237:21 0.00023572
16 *236:5 *237:33 7.56244e-06
17 *236:17 *5030:A1 1.19803e-05
18 *236:17 *5031:A1 3.98162e-05
19 *236:17 *5031:B 8.2459e-05
20 *236:17 *5033:C 0
21 *236:17 *237:33 9.5896e-05
22 *236:20 *5031:A1 0
23 *236:20 *341:6 9.59492e-06
24 *857:I *236:5 0.000287907
25 *857:I *236:17 4.83668e-05
26 *5028:A1 *236:5 3.91706e-05
27 *5036:A2 *236:5 6.8358e-05
28 *5469:D *236:5 2.39164e-05
29 *5469:D *236:17 5.13601e-05
30 *5471:D *5033:A1 0.000302501
31 *226:5 *5033:A1 0.000507556
32 *228:13 *236:5 0
*RES
1 *5027:Z *236:5 7.29
2 *236:5 *5028:C 4.77
3 *236:5 *236:17 3.15
4 *236:17 *236:20 5.67
5 *236:20 *5032:A2 11.43
6 *236:20 *5033:A1 11.34
7 *236:17 *5030:A2 4.5
*END
*D_NET *237 0.00512558
*CONN
*I *5033:B2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi221_1
*I *5031:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5036:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5039:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5029:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5033:B2 0
2 *5031:A1 0.00025648
3 *5036:A1 0
4 *5039:A1 0.000462684
5 *5029:Z 0.000274053
6 *237:33 0.000405369
7 *237:21 0.000366888
8 *237:7 0.000954736
9 *5031:A1 *5033:C 2.4979e-06
10 *237:33 *5030:A1 0
11 *857:I *237:21 5.97909e-06
12 *857:I *237:33 7.1134e-05
13 *5016:A1 *5039:A1 0
14 *5016:A2 *5039:A1 0.000177176
15 *5028:B *5031:A1 3.58199e-06
16 *5033:B1 *5031:A1 1.0743e-05
17 *5033:B1 *237:21 5.20419e-05
18 *5033:B1 *237:33 0.000910635
19 *5036:A2 *237:33 1.85908e-05
20 *5041:A1 *5039:A1 6.349e-05
21 *5469:D *5031:A1 1.71343e-05
22 *5472:D *237:33 0.00029446
23 *224:9 *5039:A1 9.23413e-06
24 *225:17 *5039:A1 4.51052e-05
25 *225:17 *237:21 0.000234139
26 *228:9 *5039:A1 5.25635e-05
27 *228:9 *237:7 4.02661e-06
28 *228:13 *5039:A1 0
29 *228:13 *237:7 4.18683e-05
30 *228:13 *237:21 0
31 *232:13 *5039:A1 1.19732e-05
32 *236:5 *237:21 0.00023572
33 *236:5 *237:33 7.56244e-06
34 *236:17 *5031:A1 3.98162e-05
35 *236:17 *237:33 9.5896e-05
36 *236:20 *5031:A1 0
*RES
1 *5029:Z *237:7 5.94
2 *237:7 *5039:A1 8.28
3 *237:7 *237:21 2.43
4 *237:21 *5036:A1 4.5
5 *237:21 *237:33 3.24
6 *237:33 *5031:A1 15.3
7 *237:33 *5033:B2 4.5
*END
*D_NET *238 0.000559925
*CONN
*I *5031:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5030:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5031:B 0.000178021
2 *5030:ZN 0.000178021
3 *5031:B *5030:A1 0
4 *5470:D *5031:B 0.000121424
5 *229:9 *5031:B 0
6 *229:20 *5031:B 0
7 *236:17 *5031:B 8.2459e-05
*RES
1 *5030:ZN *5031:B 10.71
*END
*D_NET *239 0.00155832
*CONN
*I *5033:C I *D gf180mcu_fd_sc_mcu7t5v0__aoi221_1
*I *5032:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5033:C 0.000346624
2 *5032:ZN 0.000346624
3 *5033:C *5030:A1 1.85908e-05
4 *5033:C *5032:A1 0.000160023
5 *5033:C *342:7 0
6 *5031:A1 *5033:C 2.4979e-06
7 *5032:A2 *5033:C 0.000316204
8 *5033:B1 *5033:C 1.0743e-05
9 *226:5 *5033:C 0.000357009
10 *236:17 *5033:C 0
*RES
1 *5032:ZN *5033:C 13.05
*END
*D_NET *240 0.000466319
*CONN
*I *5035:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5034:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*CAP
1 *5035:A2 4.26825e-05
2 *5034:ZN 4.26825e-05
3 *5035:A2 *5037:A1 7.84526e-05
4 *226:17 *5035:A2 0.000302501
*RES
1 *5034:ZN *5035:A2 9.81
*END
*D_NET *241 0.000246292
*CONN
*I *5036:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5035:Z O *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*CAP
1 *5036:B 8.54506e-05
2 *5035:Z 8.54506e-05
3 *5472:D *5036:B 7.53912e-05
*RES
1 *5035:Z *5036:B 18.99
*END
*D_NET *242 0.000836519
*CONN
*I *5038:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5037:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *5038:A2 0.000352684
2 *5037:ZN 0.000352684
3 *5038:A2 *5038:A1 0
4 *5038:A2 *311:26 1.93235e-05
5 *5038:A2 *311:41 4.65694e-05
6 *5037:A3 *5038:A2 5.82195e-05
7 *5473:D *5038:A2 0
8 *226:26 *5038:A2 7.03859e-06
*RES
1 *5037:ZN *5038:A2 11.25
*END
*D_NET *243 0.00041532
*CONN
*I *5039:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5038:Z O *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*CAP
1 *5039:B 7.77564e-05
2 *5038:Z 7.77564e-05
3 *5039:B *5473:CLK 1.23714e-05
4 *5473:D *5039:B 0.000247435
5 *180:10 *5039:B 0
*RES
1 *5038:Z *5039:B 18.99
*END
*D_NET *244 0.00171591
*CONN
*I *5042:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi22_1
*I *5041:Z O *D gf180mcu_fd_sc_mcu7t5v0__or2_1
*CAP
1 *5042:A2 0.000416893
2 *5041:Z 0.000416893
3 *5042:A2 *265:97 0.000206925
4 *861:I *5042:A2 0.000110295
5 *5025:A2 *5042:A2 4.82503e-05
6 *5039:A2 *5042:A2 6.85374e-06
7 *5040:A1 *5042:A2 0.000101542
8 *5040:A2 *5042:A2 3.60715e-05
9 *181:18 *5042:A2 0.000215377
10 *232:13 *5042:A2 0
11 *232:17 *5042:A2 6.63143e-05
12 *232:37 *5042:A2 9.04956e-05
*RES
1 *5041:Z *5042:A2 22.86
*END
*D_NET *245 0.00431978
*CONN
*I *5054:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5050:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5049:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5052:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5043:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5054:A2 0.000279734
2 *5050:A2 0.000128251
3 *5049:A1 0
4 *5052:A3 0
5 *5043:Z 0.000209064
6 *245:21 0.000261302
7 *245:15 0.000299256
8 *245:11 0.000655003
9 *5050:A2 *1075:I 0
10 *5050:A2 *5050:A1 0.000106548
11 *5050:A2 *554:11 0.000529664
12 *5054:A2 *5044:A1 6.17316e-05
13 *5054:A2 *5044:A2 9.04462e-05
14 *5054:A2 *5055:A3 4.18683e-05
15 *5054:A2 *5057:A2 0.000395614
16 *5054:A2 *5058:A3 9.85067e-05
17 *5054:A2 *554:15 3.89711e-05
18 *245:11 *5044:A4 0.000108464
19 *245:11 *5057:A2 3.5516e-05
20 *245:11 *252:12 5.548e-05
21 *245:15 *5044:A1 0
22 *245:15 *5044:A4 0
23 *245:15 *252:12 4.5954e-05
24 *245:15 *554:11 3.5516e-05
25 *245:15 *554:15 0.000302501
26 *245:21 *5044:A4 0
27 *245:21 *554:11 0.000540389
*RES
1 *5043:Z *245:11 6.12
2 *245:11 *245:15 1.8
3 *245:15 *5052:A3 4.5
4 *245:15 *245:21 1.53
5 *245:21 *5049:A1 4.5
6 *245:21 *5050:A2 6.03
7 *245:11 *5054:A2 7.83
*END
*D_NET *246 0.00704944
*CONN
*I *5056:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5046:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5063:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *5059:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5044:Z O *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*CAP
1 *5056:I 0.000140052
2 *5046:A3 5.763e-05
3 *5063:A1 0.00025022
4 *5059:A2 0
5 *5044:Z 0.000239936
6 *246:22 0.00076803
7 *246:12 0.000634315
8 *246:9 0.000554124
9 *5046:A3 *5067:A1 4.83668e-05
10 *5046:A3 *5069:A2 0.000305158
11 *5056:I *5058:A1 5.59282e-05
12 *5056:I *5060:A1 0.000140069
13 *5056:I *255:5 7.84229e-05
14 *5063:A1 *5046:A4 0.000679864
15 *5063:A1 *5053:I 0
16 *5063:A1 *5069:A2 3.44267e-05
17 *246:9 *5055:A1 0
18 *246:9 *5055:A3 7.45999e-06
19 *246:9 *5058:A1 0.000568325
20 *246:9 *5058:A2 0.000220087
21 *246:9 *253:11 8.6221e-06
22 *246:9 *255:22 0
23 *246:9 *267:143 9.34562e-06
24 *246:12 *5060:A2 0.000237266
25 *246:12 *255:22 0.000444177
26 *246:22 *5053:I 0
27 *246:22 *5059:A1 2.00305e-06
28 *246:22 *5062:A1 0.000161488
29 *246:22 *5067:A1 0.00058663
30 *246:22 *5067:A2 0.000134881
31 *246:22 *5069:A2 3.22289e-05
32 *246:22 *247:15 5.32825e-06
33 *246:22 *255:22 0.000326552
34 *246:22 *565:10 0.000131494
35 *4932:A3 *5063:A1 7.24888e-05
36 *4933:I *5063:A1 4.47808e-05
37 *5068:A1 *5063:A1 0
38 *5517:D *246:9 6.97466e-05
*RES
1 *5044:Z *246:9 16.38
2 *246:9 *246:12 6.93
3 *246:12 *5059:A2 9
4 *246:12 *246:22 9.54
5 *246:22 *5063:A1 16.56
6 *246:22 *5046:A3 5.76
7 *246:9 *5056:I 5.85
*END
*D_NET *247 0.00468912
*CONN
*I *5063:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *5046:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5067:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *5062:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5045:Z O *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*CAP
1 *5063:A2 0
2 *5046:A4 8.64882e-05
3 *5067:A3 0
4 *5062:A2 0.000113815
5 *5045:Z 0
6 *247:23 0.000529131
7 *247:15 0.000628425
8 *247:4 0.000299597
9 *5046:A4 *5069:A2 0.000468759
10 *5062:A2 *5062:A1 0.000630844
11 *5062:A2 *5062:B 8.17009e-05
12 *5062:A2 *5067:A2 3.01487e-05
13 *5062:A2 *566:9 1.79594e-05
14 *247:15 *5062:B 7.84229e-05
15 *247:15 *5067:A1 3.22289e-05
16 *247:15 *5067:A2 0.000158373
17 *247:23 *5046:A1 0
18 *247:23 *5046:A2 0.000507556
19 *247:23 *5064:A2 0.000189414
20 *247:23 *5065:A2 1.86543e-05
21 *247:23 *5067:A1 5.97909e-06
22 *247:23 *5069:A2 0.000112719
23 *247:23 *567:8 0
24 *4933:I *5046:A4 1.37075e-05
25 *5063:A1 *5046:A4 0.000679864
26 *246:22 *247:15 5.32825e-06
*RES
1 *5045:Z *247:4 4.5
2 *247:4 *5062:A2 6.21
3 *247:4 *247:15 1.89
4 *247:15 *5067:A3 4.5
5 *247:15 *247:23 4.32
6 *247:23 *5046:A4 15.48
7 *247:23 *5063:A2 4.5
*END
*D_NET *248 0.00288346
*CONN
*I *5047:A2 I *D gf180mcu_fd_sc_mcu7t5v0__oai211_1
*I *5070:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5068:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *5046:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*CAP
1 *5047:A2 0
2 *5070:A2 0.000113918
3 *5068:A3 0
4 *5046:ZN 0.000287436
5 *248:13 0.000157493
6 *248:8 0.000331011
7 *5070:A2 *5048:I 0
8 *248:8 *5048:I 0.000239735
9 *248:13 *5053:I 9.86406e-06
10 *248:13 *267:150 0.000567854
11 *5068:A2 *248:13 9.85067e-05
12 *172:21 *5070:A2 0.000101638
13 *172:30 *5070:A2 0.000416842
14 *172:33 *248:13 0.000400999
15 *172:46 *248:8 0.000158161
*RES
1 *5046:ZN *248:8 15.75
2 *248:8 *5068:A3 4.5
3 *248:8 *248:13 1.53
4 *248:13 *5070:A2 14.85
5 *248:13 *5047:A2 4.5
*END
*D_NET *249 0.00208732
*CONN
*I *5048:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5053:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5047:ZN O *D gf180mcu_fd_sc_mcu7t5v0__oai211_1
*CAP
1 *5048:I 0.000238972
2 *5053:I 0.000131469
3 *5047:ZN 5.61445e-05
4 *249:5 0.000426585
5 *5053:I *267:150 0.000118764
6 *5063:A1 *5053:I 0
7 *5068:A1 *5053:I 9.04462e-05
8 *5068:A1 *249:5 0.00042579
9 *5068:A2 *5053:I 1.0415e-05
10 *5068:A2 *249:5 2.08301e-05
11 *5070:A2 *5048:I 0
12 *172:33 *249:5 0.000151473
13 *172:46 *5048:I 0.000166837
14 *246:22 *5053:I 0
15 *248:8 *5048:I 0.000239735
16 *248:13 *5053:I 9.86406e-06
*RES
1 *5047:ZN *249:5 5.67
2 *249:5 *5053:I 5.67
3 *249:5 *5048:I 15.39
*END
*D_NET *250 0.00437184
*CONN
*I *5060:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5070:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5049:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5051:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5048:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5060:A1 0.000480918
2 *5070:B 0.000253189
3 *5049:A2 0.000164728
4 *5051:A1 6.76309e-05
5 *5048:Z 0
6 *250:8 0.000702
7 *250:6 0.000819054
8 *250:4 0.000577142
9 *5049:A2 *294:5 1.59607e-05
10 *5049:A2 *554:11 5.81248e-05
11 *5051:A1 *1075:I 8.94812e-05
12 *5051:A1 *5051:A2 0.000466131
13 *5051:A1 *294:8 9.78499e-05
14 *5060:A1 *253:7 0
15 *5060:A1 *267:150 0
16 *250:8 *1075:I 0.000273388
17 *250:8 *5051:A2 0.000101647
18 *863:I *5060:A1 5.69667e-05
19 *863:I *5070:B 0
20 *5056:I *5060:A1 0.000140069
21 *5070:A1 *5060:A1 0
22 *5070:A1 *5070:B 7.56244e-06
23 *172:12 *5051:A1 0
24 *172:12 *250:8 0
25 *172:21 *250:6 0
26 *172:21 *250:8 0
*RES
1 *5048:Z *250:4 4.5
2 *250:4 *250:6 5.13
3 *250:6 *250:8 4.41
4 *250:8 *5051:A1 10.53
5 *250:8 *5049:A2 10.08
6 *250:6 *5070:B 10.71
7 *250:4 *5060:A1 7.92
*END
*D_NET *251 0.000739872
*CONN
*I *5051:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5050:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5051:A2 8.60468e-05
2 *5050:ZN 8.60468e-05
3 *5051:A1 *5051:A2 0.000466131
4 *172:12 *5051:A2 0
5 *250:8 *5051:A2 0.000101647
*RES
1 *5050:ZN *5051:A2 19.35
*END
*D_NET *252 0.00370152
*CONN
*I *5055:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5057:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5052:Z O *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*CAP
1 *5055:A1 0.000471792
2 *5057:A2 0.000446039
3 *5052:Z 0.000186323
4 *252:12 0.00110415
5 *5055:A1 *1153:I 3.72704e-05
6 *5055:A1 *5044:A4 0
7 *5055:A1 *5058:A1 1.10878e-05
8 *5055:A1 *253:11 0.00022481
9 *5055:A1 *543:9 4.36429e-05
10 *5057:A2 *5044:A1 2.4979e-06
11 *5057:A2 *5044:A4 0.000185882
12 *5057:A2 *5058:A2 6.23624e-05
13 *5057:A2 *5058:A3 0.000288294
14 *5057:A2 *267:140 8.24368e-05
15 *252:12 *543:9 2.23646e-05
16 *5054:A2 *5057:A2 0.000395614
17 *245:11 *5057:A2 3.5516e-05
18 *245:11 *252:12 5.548e-05
19 *245:15 *252:12 4.5954e-05
20 *246:9 *5055:A1 0
*RES
1 *5052:Z *252:12 10.26
2 *252:12 *5057:A2 14.31
3 *252:12 *5055:A1 12.42
*END
*D_NET *253 0.00722047
*CONN
*I *5065:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5062:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5055:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5058:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5053:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5065:A1 0.000144894
2 *5062:B 0.00019508
3 *5055:A2 0
4 *5058:A2 0.000245525
5 *5053:Z 0.00015295
6 *253:27 0.000812214
7 *253:11 0.000686551
8 *253:7 0.00106622
9 *5058:A2 *1153:I 0.000255919
10 *5058:A2 *5044:A4 3.5516e-05
11 *5058:A2 *5055:A3 0.000132799
12 *5058:A2 *5058:A3 3.33177e-05
13 *5058:A2 *267:140 0.000234139
14 *5062:B *5061:A2 1.79523e-05
15 *5062:B *5061:B 7.10321e-05
16 *5062:B *566:9 0.000291776
17 *5065:A1 *5061:A2 0.00010687
18 *5065:A1 *5061:B 3.38181e-05
19 *5065:A1 *567:8 0
20 *253:7 *267:150 0.000720653
21 *253:11 *5044:A4 0
22 *253:11 *5055:A3 3.01487e-05
23 *253:11 *5058:A1 0.000455599
24 *253:11 *255:5 0.000187902
25 *253:11 *255:22 0.000287994
26 *253:27 *5061:A2 9.07544e-05
27 *253:27 *255:22 0.000248747
28 *253:27 *567:8 0
29 *253:27 *567:12 0
30 *5055:A1 *253:11 0.00022481
31 *5057:A2 *5058:A2 6.23624e-05
32 *5060:A1 *253:7 0
33 *5062:A2 *5062:B 8.17009e-05
34 *5517:D *253:11 6.0941e-06
35 *246:9 *5058:A2 0.000220087
36 *246:9 *253:11 8.6221e-06
37 *247:15 *5062:B 7.84229e-05
*RES
1 *5053:Z *253:7 10.89
2 *253:7 *253:11 9.36
3 *253:11 *5058:A2 16.38
4 *253:11 *5055:A2 4.5
5 *253:7 *253:27 3.51
6 *253:27 *5062:B 10.89
7 *253:27 *5065:A1 10.53
*END
*D_NET *254 0.00210486
*CONN
*I *5055:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5054:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5055:A3 0.000449151
2 *5054:ZN 0.000449151
3 *5055:A3 *5044:A1 0.000100117
4 *5055:A3 *5044:A3 0.000131225
5 *5055:A3 *5058:A1 1.71706e-06
6 *5055:A3 *267:143 0.000573136
7 *5054:A2 *5055:A3 4.18683e-05
8 *5058:A2 *5055:A3 0.000132799
9 *5515:D *5055:A3 0.000154152
10 *5517:D *5055:A3 3.39408e-05
11 *246:9 *5055:A3 7.45999e-06
12 *253:11 *5055:A3 3.01487e-05
*RES
1 *5054:ZN *5055:A3 22.5
*END
*D_NET *255 0.007416
*CONN
*I *5061:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5067:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *5062:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5058:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5056:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *5061:A2 0.000244984
2 *5067:A2 0.000125709
3 *5062:A1 0.000189381
4 *5058:A1 0.000368256
5 *5056:Z 4.49318e-05
6 *255:24 0.00031509
7 *255:22 0.000522747
8 *255:5 0.000690952
9 *5058:A1 *1153:I 0.000317507
10 *5058:A1 *267:140 9.05703e-05
11 *5061:A2 *5061:A1 2.84685e-05
12 *5061:A2 *5061:B 0.000141466
13 *5061:A2 *565:10 5.73012e-05
14 *5062:A1 *5059:A1 6.87159e-06
15 *5062:A1 *5643:I 4.2493e-05
16 *5062:A1 *565:7 2.11488e-05
17 *5062:A1 *565:10 3.51887e-05
18 *255:22 *565:10 1.07462e-05
19 *5055:A1 *5058:A1 1.10878e-05
20 *5055:A3 *5058:A1 1.71706e-06
21 *5056:I *5058:A1 5.59282e-05
22 *5056:I *255:5 7.84229e-05
23 *5062:A2 *5062:A1 0.000630844
24 *5062:A2 *5067:A2 3.01487e-05
25 *5062:B *5061:A2 1.79523e-05
26 *5065:A1 *5061:A2 0.00010687
27 *5515:D *5058:A1 0.000164429
28 *246:9 *5058:A1 0.000568325
29 *246:9 *255:22 0
30 *246:12 *255:22 0.000444177
31 *246:22 *5062:A1 0.000161488
32 *246:22 *5067:A2 0.000134881
33 *246:22 *255:22 0.000326552
34 *247:15 *5067:A2 0.000158373
35 *253:11 *5058:A1 0.000455599
36 *253:11 *255:5 0.000187902
37 *253:11 *255:22 0.000287994
38 *253:27 *5061:A2 9.07544e-05
39 *253:27 *255:22 0.000248747
*RES
1 *5056:Z *255:5 5.13
2 *255:5 *5058:A1 18
3 *255:5 *255:22 9.45
4 *255:22 *255:24 4.5
5 *255:24 *5062:A1 15.84
6 *255:24 *5067:A2 5.67
7 *255:22 *5061:A2 11.34
*END
*D_NET *256 0.00114693
*CONN
*I *5058:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5057:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*CAP
1 *5058:A3 0.000325636
2 *5057:ZN 0.000325636
3 *5058:A3 *5044:A1 7.55368e-05
4 *5054:A2 *5058:A3 9.85067e-05
5 *5057:A2 *5058:A3 0.000288294
6 *5058:A2 *5058:A3 3.33177e-05
*RES
1 *5057:ZN *5058:A3 21.0365
*END
*D_NET *257 0.000581988
*CONN
*I *5060:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5059:ZN O *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*CAP
1 *5060:A2 0.000172361
2 *5059:ZN 0.000172361
3 *246:12 *5060:A2 0.000237266
*RES
1 *5059:ZN *5060:A2 10.35
*END
*D_NET *258 0.000865371
*CONN
*I *5062:C I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5061:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *5062:C 0.000324474
2 *5061:ZN 0.000324474
3 *5062:C *5061:A1 9.85067e-05
4 *5062:C *5061:B 2.65663e-06
5 *5062:C *566:9 0.000115258
*RES
1 *5061:ZN *5062:C 11.07
*END
*D_NET *259 0.0016569
*CONN
*I *5064:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5063:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*CAP
1 *5064:A2 9.68873e-05
2 *5063:ZN 9.68873e-05
3 *5064:A2 *5046:A2 0.000507556
4 *5064:A2 *5069:A2 0.000766156
5 *247:23 *5064:A2 0.000189414
*RES
1 *5063:ZN *5064:A2 11.7
*END
*D_NET *260 0.000502102
*CONN
*I *5065:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5064:Z O *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*CAP
1 *5065:A2 0.000223966
2 *5064:Z 0.000223966
3 *5065:A2 *567:8 3.5516e-05
4 *247:23 *5065:A2 1.86543e-05
*RES
1 *5064:Z *5065:A2 10.62
*END
*D_NET *261 0.000785615
*CONN
*I *5069:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5066:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *5069:A1 6.4764e-05
2 *5066:ZN 6.4764e-05
3 *5069:A1 *5069:B 0.000109348
4 *5069:A1 *295:8 0
5 *5069:A1 *295:49 0
6 *4933:I *5069:A1 0.000546739
*RES
1 *5066:ZN *5069:A1 19.35
*END
*D_NET *262 0.00267994
*CONN
*I *5069:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5067:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *5069:A2 0.000334861
2 *5067:ZN 0.000334861
3 *5069:A2 *5067:A1 0.000192268
4 *4932:A3 *5069:A2 0
5 *5046:A3 *5069:A2 0.000305158
6 *5046:A4 *5069:A2 0.000468759
7 *5063:A1 *5069:A2 3.44267e-05
8 *5064:A2 *5069:A2 0.000766156
9 *5520:D *5069:A2 9.85067e-05
10 *246:22 *5069:A2 3.22289e-05
11 *247:23 *5069:A2 0.000112719
*RES
1 *5067:ZN *5069:A2 14.13
*END
*D_NET *263 0.0020743
*CONN
*I *5069:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5068:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *5069:B 0.000590919
2 *5068:ZN 0.000590919
3 *5069:B *295:8 0
4 *4932:A3 *5069:B 0.000273838
5 *4933:I *5069:B 0.000219314
6 *5068:A1 *5069:B 0.000192268
7 *5069:A1 *5069:B 0.000109348
8 *168:9 *5069:B 9.76928e-05
*RES
1 *5068:ZN *5069:B 23.04
*END
*D_NET *264 0.0494577
*CONN
*I *1127:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5615:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5614:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1126:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1125:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5613:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1124:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5612:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5611:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1127:I 0.000146845
2 *5615:I 0.000128629
3 *5614:I 0.000234934
4 *1126:I 0
5 *1125:I 0
6 *5613:I 0
7 *1124:I 0.00104204
8 *5612:I 2.32426e-05
9 *5611:Z 0
10 *264:73 0.00241839
11 *264:56 0.00403954
12 *264:27 0.00371597
13 *264:14 0.00298925
14 *264:11 0.00317372
15 *264:6 0.00695116
16 *264:5 0.00245431
17 *1124:I *4939:A1 0.000120998
18 *1124:I *4939:A3 6.67097e-05
19 *1124:I *5155:D 0
20 *1124:I *5161:CLK 0
21 *1124:I *5659:I 0.000104119
22 *1124:I *265:6 0.000134551
23 *1124:I *265:178 0.000442889
24 *1124:I *308:38 0
25 *1124:I *312:32 0
26 *1127:I *5241:D 7.10321e-05
27 *1127:I *291:58 0
28 *5612:I *5498:D 0.000106548
29 *5614:I *5312:CLK 0
30 *5614:I *5429:D 0
31 *5615:I *5546:CLK 4.42556e-05
32 *5615:I *291:20 0
33 *5615:I *291:22 0
34 *5615:I *291:67 2.67801e-05
35 *264:6 *5526:CLK 6.76671e-05
36 *264:11 *4871:A2 0
37 *264:11 *4871:A4 0
38 *264:11 *4878:A3 1.59687e-05
39 *264:11 *4880:A3 0.000692536
40 *264:11 *5256:CLK 7.69456e-05
41 *264:11 *5270:D 0.000172143
42 *264:11 *5272:D 0.000159634
43 *264:11 *5272:CLK 0.000578579
44 *264:11 *5587:D 0.000436515
45 *264:11 *5587:CLK 0
46 *264:11 *5591:CLK 0
47 *264:11 *314:80 4.06962e-05
48 *264:11 *314:82 4.32999e-05
49 *264:11 *315:98 0
50 *264:14 *5298:D 0.000682266
51 *264:27 *1176:I 0.000507547
52 *264:27 *4876:A1 0.00256728
53 *264:27 *4876:A2 4.16465e-05
54 *264:27 *4877:A1 0.000228241
55 *264:27 *4877:A2 8.63005e-05
56 *264:27 *5278:D 0
57 *264:27 *5298:D 0.000174546
58 *264:27 *5496:D 9.37477e-05
59 *264:27 *5498:D 0.000747067
60 *264:27 *266:18 0.000342499
61 *264:27 *301:11 0
62 *264:56 *4868:A2 0.00132861
63 *264:56 *4872:A1 0
64 *264:56 *4872:A4 2.56783e-05
65 *264:56 *5312:CLK 0
66 *264:56 *5330:D 6.97362e-05
67 *264:56 *5526:D 0
68 *264:56 *305:13 9.37397e-05
69 *264:56 *305:18 7.86228e-05
70 *264:56 *305:43 0.000144937
71 *264:56 *305:47 0.000110682
72 *264:56 *305:51 9.29039e-05
73 *264:73 *1087:I 0.000125045
74 *264:73 *4929:A1 0
75 *264:73 *4929:A3 0.000154222
76 *264:73 *4929:A4 0.000203785
77 *264:73 *5241:D 0.000435118
78 *264:73 *5241:CLK 0
79 *264:73 *5526:CLK 7.37907e-05
80 *264:73 *5542:CLK 0.000724291
81 *264:73 *5544:D 0
82 *264:73 *5544:CLK 5.53562e-05
83 *264:73 *292:42 3.66071e-05
84 *4883:A1 *264:27 0.000621247
85 *4883:A2 *264:27 0.00140341
86 *4883:A3 *264:27 4.62238e-05
87 *5611:I *264:11 0.00133835
88 *1:15 *264:11 0.00610432
*RES
1 *5611:Z *264:5 9
2 *264:5 *264:6 2.61
3 *264:6 *264:11 36.9
4 *264:11 *264:14 7.83
5 *264:14 *264:27 31.32
6 *264:27 *5612:I 4.77
7 *264:27 *1124:I 23.13
8 *264:14 *5613:I 9
9 *264:11 *1125:I 4.5
10 *264:6 *264:56 37.62
11 *264:56 *1126:I 9
12 *264:56 *5614:I 10.89
13 *264:5 *264:73 23.58
14 *264:73 *5615:I 14.49
15 *264:73 *1127:I 5.49
*END
*D_NET *265 0.0572696
*CONN
*I *1171:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5653:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5656:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5661:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1173:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1165:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5654:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1166:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1139:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5648:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1160:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1149:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5637:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5627:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5616:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1128:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1172:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5660:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5655:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1170:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1168:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5658:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1169:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5657:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1167:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5659:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5612:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1171:I 0
2 *5653:I 0
3 *5656:I 0.00219078
4 *5661:I 0
5 *1173:I 8.21218e-05
6 *1165:I 0
7 *5654:I 2.59601e-05
8 *1166:I 0
9 *1139:I 0
10 *5648:I 0.000155584
11 *1160:I 0.000733499
12 *1149:I 0
13 *5637:I 0.000926746
14 *5627:I 0
15 *5616:I 0
16 *1128:I 0
17 *1172:I 0
18 *5660:I 0.000152826
19 *5655:I 0
20 *1170:I 0
21 *1168:I 0.00149121
22 *5658:I 0.00108284
23 *1169:I 0.000365862
24 *5657:I 6.94364e-05
25 *1167:I 0.000153004
26 *5659:I 0.000964163
27 *5612:Z 0
28 *265:229 0.00237753
29 *265:209 0.0011533
30 *265:200 0.00110807
31 *265:197 0.000820651
32 *265:194 0.00132693
33 *265:184 0.00085355
34 *265:178 0.000506509
35 *265:154 0.00121466
36 *265:134 0.00106263
37 *265:131 0.00166392
38 *265:102 0.00065673
39 *265:100 0.000791515
40 *265:97 0.0012192
41 *265:91 0.00174743
42 *265:83 0.00249853
43 *265:81 0.00136043
44 *265:51 0.00257406
45 *265:35 0.00103722
46 *265:33 0.00166289
47 *265:22 0.00121397
48 *265:20 0.000304227
49 *265:18 0.00139019
50 *265:6 0.0012863
51 *265:5 0.000525221
52 *1160:I *970:I 8.32448e-05
53 *1160:I *4939:A4 5.79727e-05
54 *1160:I *5278:D 0
55 *1160:I *5492:D 0.000433633
56 *1160:I *301:11 1.64456e-05
57 *1168:I *4964:A2 0
58 *1168:I *5147:CLK 0
59 *1169:I *919:I 3.09285e-06
60 *5637:I *1059:I 0.000353238
61 *5637:I *1067:I 6.44314e-05
62 *5637:I *4938:A4 0.000114552
63 *5637:I *5484:D 2.64621e-05
64 *5637:I *5490:D 0
65 *5637:I *290:18 0.000461302
66 *5637:I *290:23 7.77658e-05
67 *5637:I *290:36 6.64876e-05
68 *5648:I *301:73 6.05306e-05
69 *5656:I *4898:A4 0
70 *5656:I *5171:D 0.00014291
71 *5656:I *5173:D 0.000302501
72 *5656:I *5173:CLK 8.3791e-05
73 *5656:I *5342:D 0.000444566
74 *5656:I *5344:CLK 7.96988e-06
75 *5656:I *5346:CLK 0
76 *5656:I *5350:D 0.00029446
77 *5656:I *5350:CLK 0
78 *5656:I *306:17 0
79 *5656:I *307:17 0
80 *5658:I *5015:A2 0
81 *5658:I *5187:D 2.35125e-05
82 *5659:I *4939:A4 8.24712e-05
83 *5659:I *5155:D 4.83731e-05
84 *5659:I *5163:CLK 9.59492e-06
85 *5659:I *5276:D 0.000192268
86 *5659:I *308:38 1.15035e-05
87 *5660:I *5470:CLK 1.59607e-05
88 *265:6 *5163:CLK 3.32459e-05
89 *265:18 *5163:CLK 0.00038759
90 *265:18 *308:37 0.000357441
91 *265:20 *4901:A4 0.000173292
92 *265:20 *5163:CLK 0.000641569
93 *265:20 *308:25 3.44353e-05
94 *265:20 *308:37 0.000799113
95 *265:33 *912:I 0.000119218
96 *265:33 *4896:A4 0
97 *265:33 *5191:D 7.84526e-05
98 *265:33 *5193:CLK 0.000359853
99 *265:33 *308:11 0
100 *265:33 *308:16 6.98506e-05
101 *265:33 *308:25 6.34999e-05
102 *265:81 *5161:CLK 2.7415e-05
103 *265:81 *308:38 0.000171806
104 *265:83 *280:20 0
105 *265:91 *280:20 0
106 *265:91 *280:22 0
107 *265:91 *280:24 0
108 *265:91 *280:28 0
109 *265:91 *280:61 0
110 *265:97 *5474:CLK 9.12222e-05
111 *265:100 *339:7 6.35892e-05
112 *265:102 *5013:A4 6.0941e-06
113 *265:102 *339:7 0.00010512
114 *265:102 *340:9 0.000122559
115 *265:131 *4938:A1 0
116 *265:131 *4938:A2 0.00104526
117 *265:131 *5478:D 0
118 *265:134 *4938:A4 0
119 *265:154 *1062:I 0.000212202
120 *265:154 *4938:A1 7.86228e-05
121 *265:154 *4938:A4 0
122 *265:154 *5492:D 1.91855e-05
123 *265:154 *301:73 5.99413e-06
124 *265:154 *301:75 4.06274e-05
125 *265:154 *301:86 0.00011994
126 *265:178 *910:I 2.33089e-05
127 *265:178 *5163:CLK 0.000129368
128 *265:178 *307:62 0
129 *265:178 *307:65 3.10936e-05
130 *265:178 *307:66 0.000160384
131 *265:194 *4902:A3 2.66242e-05
132 *265:194 *5153:D 0
133 *265:194 *5157:D 0.000207401
134 *265:194 *5157:CLK 0
135 *265:194 *307:65 0
136 *265:197 *5340:D 0
137 *265:197 *306:28 0
138 *265:200 *5342:D 2.35229e-05
139 *265:209 *4880:A1 1.18575e-05
140 *265:209 *4880:A2 0.000436631
141 *265:209 *4880:A4 4.06053e-05
142 *265:209 *5340:D 3.17787e-05
143 *265:209 *5342:D 5.69667e-05
144 *265:209 *5344:CLK 0
145 *265:229 *5342:D 3.44859e-05
146 *265:229 *306:28 0
147 *845:I *1168:I 4.83668e-05
148 *1124:I *5659:I 0.000104119
149 *1124:I *265:6 0.000134551
150 *1124:I *265:178 0.000442889
151 *4882:A4 *1173:I 0.00046357
152 *4882:A4 *265:209 0.000380402
153 *4903:A1 *265:178 0
154 *4903:A2 *5654:I 6.4489e-05
155 *4903:A2 *265:178 2.4979e-06
156 *4903:A2 *265:194 0.000639325
157 *4940:A2 *265:131 0
158 *4940:A3 *265:81 0.000851753
159 *4940:A3 *265:83 5.93454e-05
160 *4965:A4 *1168:I 0
161 *4971:A1 *1168:I 6.55598e-05
162 *5016:C *265:97 2.14859e-05
163 *5026:A2 *265:102 2.86471e-05
164 *5028:A2 *265:102 2.39164e-05
165 *5029:I *265:102 6.00916e-06
166 *5040:A2 *265:100 2.39314e-05
167 *5042:A2 *265:97 0.000206925
168 *5147:D *1168:I 5.67153e-05
169 *5149:D *1169:I 0
170 *5149:D *265:35 5.48298e-06
171 *5474:D *265:97 0
172 *1:15 *265:209 0
173 *144:8 *1168:I 5.31842e-05
174 *176:7 *265:97 0.00233539
175 *180:10 *265:131 0
176 *181:8 *265:97 6.05306e-05
177 *198:6 *1168:I 0.000320334
178 *198:71 *1168:I 0.000511535
179 *228:9 *265:100 0
180 *228:13 *265:100 0
181 *228:13 *265:102 2.94346e-05
182 *228:15 *265:102 1.39681e-05
183 *229:9 *265:102 0.000796649
184 *229:20 *5660:I 0.00024529
185 *229:20 *265:102 0.00017758
186 *232:13 *265:97 0.00042579
187 *232:13 *265:100 0
*RES
1 *5612:Z *265:5 9
2 *265:5 *265:6 0.99
3 *265:6 *5659:I 16.2
4 *265:6 *265:18 6.57
5 *265:18 *265:20 4.23
6 *265:20 *265:22 4.5
7 *265:22 *1167:I 10.17
8 *265:22 *265:33 12.24
9 *265:33 *265:35 3.69
10 *265:35 *5657:I 4.95
11 *265:35 *1169:I 15.75
12 *265:33 *265:51 4.5
13 *265:51 *5658:I 16.2
14 *265:51 *1168:I 20.7
15 *265:33 *1170:I 4.5
16 *265:20 *5655:I 4.5
17 *265:18 *265:81 14.4
18 *265:81 *265:83 2.97
19 *265:83 *265:91 10.53
20 *265:91 *265:97 19.08
21 *265:97 *265:100 2.16
22 *265:100 *265:102 5.13
23 *265:102 *5660:I 5.94
24 *265:102 *1172:I 4.5
25 *265:100 *1128:I 4.5
26 *265:97 *5616:I 4.5
27 *265:91 *5627:I 4.5
28 *265:83 *265:131 18.09
29 *265:131 *265:134 5.49
30 *265:134 *5637:I 22.77
31 *265:134 *1149:I 4.5
32 *265:131 *265:154 7.74
33 *265:154 *1160:I 20.07
34 *265:154 *5648:I 5.67
35 *265:81 *1139:I 9
36 *265:5 *265:178 9.72
37 *265:178 *1166:I 4.5
38 *265:178 *265:184 0.81
39 *265:184 *5654:I 5.04
40 *265:184 *265:194 6.39
41 *265:194 *265:197 9.27
42 *265:197 *265:200 4.77
43 *265:200 *1165:I 4.5
44 *265:200 *265:209 12.96
45 *265:209 *1173:I 10.17
46 *265:209 *5661:I 9
47 *265:197 *265:229 5.85
48 *265:229 *5656:I 20.34
49 *265:229 *5653:I 4.5
50 *265:194 *1171:I 4.5
*END
*D_NET *266 0.0470021
*CONN
*I *1175:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5621:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1133:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1131:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5619:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5620:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1132:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1130:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5618:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5617:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1129:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1134:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5622:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5623:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1135:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1137:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5625:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5624:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1136:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1177:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5665:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5664:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5662:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1174:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1176:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5663:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5613:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1175:I 0
2 *5621:I 0.000255046
3 *1133:I 0
4 *1131:I 0
5 *5619:I 0.000156668
6 *5620:I 0
7 *1132:I 0.000158824
8 *1130:I 0
9 *5618:I 0.000291513
10 *5617:I 0
11 *1129:I 0.000208875
12 *1134:I 0.000400421
13 *5622:I 0
14 *5623:I 0
15 *1135:I 0
16 *1137:I 0.000521515
17 *5625:I 6.45686e-05
18 *5624:I 0
19 *1136:I 0
20 *1177:I 0
21 *5665:I 0.000837924
22 *5664:I 0
23 *5662:I 0.000136654
24 *1174:I 0
25 *1176:I 0.000112447
26 *5663:I 0
27 *5613:Z 0.000193051
28 *266:211 0.000619433
29 *266:197 0.00163628
30 *266:188 0.00153064
31 *266:177 0.000347606
32 *266:174 0.00131699
33 *266:156 0.000884558
34 *266:153 0.00166483
35 *266:139 0.000696969
36 *266:123 0.00199459
37 *266:116 0.00175319
38 *266:94 0.00122972
39 *266:93 0.000643632
40 *266:91 0.00112074
41 *266:84 0.00133671
42 *266:77 0.0012262
43 *266:74 0.00188561
44 *266:55 0.000985538
45 *266:54 0.00181929
46 *266:48 0.000909122
47 *266:30 0.00073367
48 *266:21 0.000774442
49 *266:18 0.00109496
50 *266:8 0.0011675
51 *266:5 0.000371265
52 *1132:I *273:12 0.000174546
53 *1132:I *273:17 0.000226877
54 *1134:I *275:49 0.000237457
55 *1134:I *275:64 0
56 *1137:I *5579:D 0
57 *5618:I *4907:A1 0.000609368
58 *5619:I *4914:A1 5.29429e-05
59 *5621:I *879:I 0
60 *5621:I *4916:A4 5.26951e-05
61 *5621:I *5601:D 2.35125e-05
62 *5621:I *273:90 0.000473841
63 *5621:I *274:73 2.35125e-05
64 *5625:I *4919:A2 1.04644e-05
65 *5662:I *315:10 2.17634e-05
66 *5662:I *315:12 3.58199e-06
67 *5662:I *315:98 0
68 *5665:I *4912:A3 0
69 *5665:I *5120:CLK 6.9908e-05
70 *5665:I *318:12 5.43793e-05
71 *5665:I *318:62 0.000254508
72 *5665:I *318:71 4.24996e-05
73 *266:8 *316:12 0
74 *266:18 *4877:A1 5.99612e-05
75 *266:18 *5292:D 0
76 *266:18 *316:10 0
77 *266:18 *316:12 0
78 *266:21 *4877:A4 0.000104119
79 *266:30 *4877:A4 0.000233267
80 *266:30 *5268:CLK 1.64456e-05
81 *266:30 *315:12 9.94635e-05
82 *266:30 *315:98 0
83 *266:54 *5114:CLK 0
84 *266:54 *5116:D 4.25712e-05
85 *266:54 *5120:D 0
86 *266:54 *316:17 0
87 *266:74 *4908:A4 0.000247445
88 *266:74 *4909:A4 9.84971e-05
89 *266:77 *5569:CLK 0
90 *266:77 *277:53 0
91 *266:84 *4918:A4 0.000728623
92 *266:84 *277:37 0
93 *266:84 *277:53 0
94 *266:91 *4918:A3 0
95 *266:91 *4918:A4 0.000400942
96 *266:91 *4919:A3 5.61605e-05
97 *266:91 *5583:D 0.000893261
98 *266:91 *5583:CLK 0
99 *266:91 *277:33 0
100 *266:91 *277:37 0
101 *266:91 *278:25 0
102 *266:91 *278:27 0
103 *266:94 *1108:I 0
104 *266:94 *4919:A2 4.24631e-05
105 *266:94 *4919:A3 3.42658e-05
106 *266:94 *278:5 0
107 *266:116 *4919:A3 1.1617e-05
108 *266:116 *278:25 0
109 *266:123 *5565:CLK 0
110 *266:123 *5581:D 0.00019984
111 *266:123 *275:49 0.000266372
112 *266:123 *537:19 0
113 *266:123 *537:29 0
114 *266:139 *4909:A4 0.000979587
115 *266:153 *4909:A4 0.000876361
116 *266:156 *4909:A3 3.42687e-05
117 *266:174 *4909:A2 7.96988e-06
118 *266:174 *4909:A4 9.85067e-05
119 *266:174 *5097:CLK 0
120 *266:174 *5108:D 0
121 *266:174 *5108:CLK 0
122 *266:174 *5603:D 0.000127779
123 *266:197 *1122:I 0
124 *266:197 *5597:CLK 0.000412927
125 *266:197 *5610:CLK 0
126 *266:197 *273:46 0
127 *266:197 *498:11 2.1289e-05
128 *266:211 *879:I 0
129 *266:211 *273:10 0
130 *266:211 *273:90 0.000101647
131 *871:I *1134:I 0.000215952
132 *4907:A3 *5618:I 0.00105703
133 *4910:A1 *1129:I 0
134 *4910:A1 *266:74 0.000187527
135 *4910:A2 *1129:I 0
136 *4910:A2 *266:156 6.98216e-05
137 *4912:A4 *5665:I 0.000140038
138 *4921:A2 *266:91 0.000123828
139 *4921:A2 *266:94 0.000337034
140 *4921:A2 *266:116 1.02599e-05
141 *4921:A2 *266:123 8.39828e-06
142 *4947:A3 *5618:I 0
143 *4950:A1 *266:197 0.000129272
144 *4950:A3 *266:197 0
145 *4951:A2 *266:197 0.000436515
146 *4952:A1 *1132:I 0.000122593
147 *4952:A1 *266:188 0.000110682
148 *4952:A1 *266:197 9.99385e-05
149 *4952:A2 *1132:I 0.00048878
150 *4952:A2 *266:174 0
151 *4952:A2 *266:188 0.000436524
152 *4952:A2 *266:197 0.000373534
153 *5076:I *266:123 1.59607e-05
154 *5090:A2 *266:123 0.000184582
155 *5094:A1 *1134:I 0.000174537
156 *5094:A2 *1134:I 0
157 *5094:A2 *266:123 0
158 *5096:D *266:197 0.000151463
159 *5097:D *1132:I 4.3413e-05
160 *5097:D *266:177 0.000264909
161 *5097:D *266:211 0.000100177
162 *83:17 *266:123 0.000129238
163 *84:8 *266:123 0.000482362
164 *84:51 *1134:I 3.05708e-05
165 *153:9 *5618:I 9.54169e-05
166 *153:9 *266:156 0.00052324
167 *264:27 *1176:I 0.000507547
168 *264:27 *266:18 0.000342499
*RES
1 *5613:Z *266:5 5.67
2 *266:5 *266:8 5.49
3 *266:8 *5663:I 9
4 *266:8 *266:18 12.24
5 *266:18 *266:21 5.13
6 *266:21 *1176:I 10.35
7 *266:21 *266:30 5.13
8 *266:30 *1174:I 9
9 *266:30 *5662:I 9.99
10 *266:18 *5664:I 4.5
11 *266:5 *266:48 0.27
12 *266:48 *266:54 10.26
13 *266:54 *266:55 1.17
14 *266:55 *5665:I 16.38
15 *266:55 *1177:I 9
16 *266:54 *266:74 10.98
17 *266:74 *266:77 11.07
18 *266:77 *1136:I 9
19 *266:77 *266:84 3.33
20 *266:84 *5624:I 9
21 *266:84 *266:91 9.09
22 *266:91 *266:93 4.5
23 *266:93 *266:94 4.77
24 *266:94 *5625:I 4.95
25 *266:94 *1137:I 17.55
26 *266:93 *1135:I 4.5
27 *266:91 *266:116 5.49
28 *266:116 *5623:I 4.5
29 *266:116 *266:123 12.96
30 *266:123 *5622:I 4.5
31 *266:123 *1134:I 17.46
32 *266:74 *266:139 2.61
33 *266:139 *1129:I 14.85
34 *266:139 *5617:I 4.5
35 *266:139 *266:153 2.43
36 *266:153 *266:156 11.43
37 *266:156 *5618:I 13.32
38 *266:156 *1130:I 9
39 *266:153 *266:174 9.99
40 *266:174 *266:177 6.03
41 *266:177 *1132:I 15.84
42 *266:177 *266:188 1.17
43 *266:188 *5620:I 4.5
44 *266:188 *266:197 18
45 *266:197 *5619:I 10.35
46 *266:197 *1131:I 9
47 *266:174 *266:211 3.15
48 *266:211 *1133:I 9
49 *266:211 *5621:I 11.7
50 *266:48 *1175:I 4.5
*END
*D_NET *267 0.0472965
*CONN
*I *5641:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5640:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1152:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1164:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5652:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1153:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5643:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1155:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5642:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1154:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5644:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1156:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1163:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5651:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1162:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5650:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5647:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1159:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5645:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1157:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5646:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1158:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1161:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5649:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5614:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5641:I 0
2 *5640:I 0.000558339
3 *1152:I 0
4 *1164:I 0
5 *5652:I 0.000845357
6 *1153:I 0.000162939
7 *5643:I 0.000176882
8 *1155:I 0
9 *5642:I 3.44723e-05
10 *1154:I 0.000167802
11 *5644:I 0.000148416
12 *1156:I 0
13 *1163:I 0
14 *5651:I 0
15 *1162:I 0
16 *5650:I 0.000156328
17 *5647:I 0
18 *1159:I 0
19 *5645:I 0.00018153
20 *1157:I 0
21 *5646:I 0.000293448
22 *1158:I 0.000137419
23 *1161:I 0
24 *5649:I 0.000341613
25 *5614:Z 0.000257207
26 *267:226 0.00143993
27 *267:220 0.00111653
28 *267:205 0.0011498
29 *267:203 0.00139435
30 *267:170 0.000593761
31 *267:150 0.00146086
32 *267:143 0.00218919
33 *267:140 0.000932516
34 *267:124 0.00044321
35 *267:98 0.00129731
36 *267:93 0.00205041
37 *267:88 0.00151919
38 *267:63 0.000339989
39 *267:56 0.00106162
40 *267:45 0.000826768
41 *267:29 0.000977791
42 *267:25 0.00133973
43 *267:22 0.000810695
44 *267:17 0.000317855
45 *267:15 0.00133371
46 *267:11 0.00164566
47 *267:10 0.00171484
48 *267:5 0.00181318
49 *1153:I *5044:A4 0.000110682
50 *1154:I *4924:I 2.65663e-06
51 *1154:I *5520:CLK 6.04199e-05
52 *1158:I *5411:CLK 0.000169529
53 *1158:I *299:55 0
54 *1158:I *299:63 0.000113823
55 *5640:I *4930:A1 2.36837e-05
56 *5640:I *4930:A2 0.000799804
57 *5640:I *4930:A3 0.000171343
58 *5640:I *5514:CLK 0
59 *5643:I *565:7 0
60 *5643:I *565:10 0
61 *5643:I *566:9 4.49984e-05
62 *5644:I *297:17 0.000169529
63 *5644:I *297:44 0.000133259
64 *5644:I *297:48 3.54095e-05
65 *5645:I *1026:I 3.35913e-06
66 *5646:I *1026:I 2.34144e-05
67 *5646:I *5459:D 1.19803e-05
68 *5649:I *1028:I 0.000104305
69 *5649:I *4852:A2 0
70 *5649:I *4852:A3 0.000240561
71 *5652:I *5314:D 0
72 *5652:I *5326:D 6.1857e-06
73 *5652:I *294:118 0.000101647
74 *5652:I *294:130 0
75 *5652:I *305:6 0.000329747
76 *5652:I *305:8 0.000109348
77 *267:5 *5431:D 0.000432903
78 *267:10 *564:10 4.03236e-05
79 *267:11 *4853:A1 0
80 *267:11 *5433:D 4.77764e-05
81 *267:11 *5433:CLK 0
82 *267:11 *5517:CLK 0
83 *267:11 *297:49 0
84 *267:11 *297:108 0
85 *267:15 *4853:A1 7.34031e-05
86 *267:15 *297:57 0
87 *267:17 *297:57 0
88 *267:17 *297:67 0
89 *267:22 *5417:D 3.76034e-05
90 *267:25 *5411:D 0
91 *267:25 *5411:CLK 0.000209752
92 *267:25 *5417:D 0.000204547
93 *267:25 *297:67 0.000976903
94 *267:29 *4852:A2 0.000207401
95 *267:29 *4852:A3 0.000136016
96 *267:29 *5425:D 0.000684836
97 *267:56 *5459:D 0.000224847
98 *267:63 *1026:I 8.81312e-06
99 *267:88 *4853:A4 6.49499e-05
100 *267:93 *304:13 5.31325e-06
101 *267:98 *5002:A1 0.000145063
102 *267:98 *5413:D 0.000224512
103 *267:98 *304:13 2.39675e-05
104 *267:98 *304:23 6.37161e-05
105 *267:98 *304:26 0
106 *267:98 *375:8 0.000214312
107 *267:124 *5427:D 0
108 *267:124 *5433:D 2.52351e-05
109 *267:124 *297:48 9.78599e-05
110 *267:150 *4924:I 0.000160023
111 *267:150 *5059:A1 0
112 *267:150 *5520:CLK 4.93203e-06
113 *267:150 *565:7 0
114 *267:170 *565:10 0
115 *267:203 *1084:I 0
116 *267:203 *294:87 0
117 *267:203 *294:143 9.39288e-05
118 *267:205 *294:118 0.00141381
119 *267:205 *294:143 0.000343943
120 *267:220 *1083:I 6.48146e-05
121 *267:220 *4928:A1 0.000496822
122 *267:220 *5515:CLK 9.04462e-05
123 *267:226 *4930:A1 0.000337938
124 *267:226 *5513:CLK 0
125 *267:226 *5514:CLK 0
126 *267:226 *5540:CLK 0
127 *267:226 *294:34 0
128 *848:I *267:98 9.23413e-06
129 *849:I *267:98 0.000281324
130 *863:I *267:150 0.000100205
131 *4854:A2 *267:29 0
132 *4854:A3 *267:15 0.000264311
133 *4854:A3 *267:17 0.000578579
134 *4854:A3 *267:25 0
135 *4931:A1 *267:220 9.99385e-05
136 *4931:A1 *267:226 0
137 *4931:A2 *5640:I 0
138 *4932:A1 *267:150 1.28907e-05
139 *5047:A1 *267:150 6.97362e-05
140 *5053:I *267:150 0.000118764
141 *5055:A1 *1153:I 3.72704e-05
142 *5055:A3 *267:143 0.000573136
143 *5057:A2 *267:140 8.24368e-05
144 *5058:A1 *1153:I 0.000317507
145 *5058:A1 *267:140 9.05703e-05
146 *5058:A2 *1153:I 0.000255919
147 *5058:A2 *267:140 0.000234139
148 *5060:A1 *267:150 0
149 *5062:A1 *5643:I 4.2493e-05
150 *5068:A2 *267:150 6.18243e-06
151 *5070:A1 *267:150 0
152 *5513:D *267:226 0.000151463
153 *5515:D *267:143 7.83197e-05
154 *5515:D *267:203 0.000393233
155 *5516:D *267:11 1.0415e-05
156 *5516:D *267:140 0.000327618
157 *5517:D *267:150 0
158 *103:8 *5645:I 0
159 *121:7 *267:98 0
160 *121:10 *267:98 0.000164267
161 *121:60 *267:98 0.000356783
162 *165:7 *267:150 0
163 *168:5 *267:150 2.83412e-05
164 *168:9 *267:150 3.99817e-06
165 *172:12 *5640:I 0.00013575
166 *172:33 *267:150 2.08301e-05
167 *246:9 *267:143 9.34562e-06
168 *248:13 *267:150 0.000567854
169 *253:7 *267:150 0.000720653
*RES
1 *5614:Z *267:5 7.11
2 *267:5 *267:10 14.31
3 *267:10 *267:11 4.95
4 *267:11 *267:15 4.5
5 *267:15 *267:17 1.53
6 *267:17 *267:22 10.53
7 *267:22 *267:25 10.53
8 *267:25 *267:29 10.26
9 *267:29 *5649:I 16.2
10 *267:29 *1161:I 4.5
11 *267:25 *267:45 0.45
12 *267:45 *1158:I 10.35
13 *267:45 *267:56 9.09
14 *267:56 *5646:I 6.21
15 *267:56 *267:63 0.81
16 *267:63 *1157:I 4.5
17 *267:63 *5645:I 14.76
18 *267:22 *1159:I 4.5
19 *267:17 *5647:I 4.5
20 *267:15 *267:88 9.63
21 *267:88 *267:93 11.7
22 *267:93 *267:98 14.94
23 *267:98 *5650:I 10.08
24 *267:98 *1162:I 9
25 *267:93 *5651:I 4.5
26 *267:88 *1163:I 9
27 *267:11 *267:124 7.11
28 *267:124 *1156:I 9
29 *267:124 *5644:I 10.8
30 *267:10 *267:140 2.97
31 *267:140 *267:143 8.55
32 *267:143 *267:150 14.67
33 *267:150 *1154:I 5.76
34 *267:150 *5642:I 4.77
35 *267:143 *267:170 2.43
36 *267:170 *1155:I 9
37 *267:170 *5643:I 10.44
38 *267:140 *1153:I 6.75
39 *267:5 *267:203 10.26
40 *267:203 *267:205 4.41
41 *267:205 *5652:I 16.11
42 *267:205 *1164:I 9
43 *267:203 *267:220 7.11
44 *267:220 *267:226 15.3
45 *267:226 *1152:I 4.5
46 *267:226 *5640:I 18
47 *267:220 *5641:I 4.5
*END
*D_NET *268 0.0580615
*CONN
*I *1150:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1141:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1143:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5631:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5632:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1142:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1140:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5630:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5628:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5629:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *925:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5197:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5634:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5633:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1145:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1146:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1144:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5635:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1147:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5638:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5636:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1148:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1151:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5639:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *1138:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5626:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*I *5615:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1150:I 0.000714597
2 *1141:I 0
3 *1143:I 0
4 *5631:I 0.00105778
5 *5632:I 0
6 *1142:I 0
7 *1140:I 0
8 *5630:I 0.00111955
9 *5628:I 0
10 *5629:I 0
11 *925:I 0
12 *5197:CLK 0.000378603
13 *5634:I 2.43374e-05
14 *5633:I 0
15 *1145:I 0.000146051
16 *1146:I 0
17 *1144:I 0.000298752
18 *5635:I 0
19 *1147:I 0
20 *5638:I 0.000660512
21 *5636:I 0.00103149
22 *1148:I 0
23 *1151:I 0
24 *5639:I 0
25 *1138:I 0
26 *5626:I 0.000134864
27 *5615:Z 0
28 *268:218 0.00209875
29 *268:211 0.00104097
30 *268:192 0.00200995
31 *268:185 0.0018972
32 *268:181 0.00145966
33 *268:176 0.000926283
34 *268:167 0.000932957
35 *268:162 0.00128369
36 *268:141 0.000692041
37 *268:118 0.00152284
38 *268:109 0.00164411
39 *268:99 0.000649023
40 *268:96 0.000877664
41 *268:91 0.00077038
42 *268:89 0.000635912
43 *268:86 0.00121606
44 *268:80 0.000815313
45 *268:67 0.00185649
46 *268:62 0.00139086
47 *268:47 0.00138212
48 *268:20 0.000942777
49 *268:12 0.001698
50 *268:10 0.00132885
51 *268:5 0.00113758
52 *268:4 0.000574348
53 *1144:I *5377:D 0.000244066
54 *1144:I *287:23 2.44668e-07
55 *1145:I *5359:D 3.40214e-05
56 *1150:I *4926:A3 0
57 *1150:I *5550:D 0.000200654
58 *5197:CLK *4895:A1 0.000125664
59 *5197:CLK *4982:A1 0.000101638
60 *5197:CLK *431:12 0.000672865
61 *5197:CLK *431:26 0
62 *5626:I *4890:A1 0.000169539
63 *5626:I *4890:A4 3.78122e-05
64 *5630:I *4888:A2 4.83731e-05
65 *5630:I *5217:D 2.92808e-05
66 *5630:I *5219:D 0
67 *5630:I *5391:CLK 2.26873e-05
68 *5630:I *283:17 5.0433e-05
69 *5631:I *5403:D 0.000248105
70 *5631:I *284:12 3.05529e-05
71 *5631:I *284:68 5.81003e-06
72 *5631:I *284:75 1.76923e-05
73 *5631:I *284:87 1.59534e-05
74 *5634:I *5377:D 6.8358e-05
75 *5634:I *287:13 0
76 *5636:I *289:10 0
77 *5638:I *4887:A1 0.000515598
78 *5638:I *4887:A2 0.000515598
79 *268:5 *1089:I 7.10524e-05
80 *268:5 *4925:A2 0.000120743
81 *268:5 *291:114 0.000578579
82 *268:5 *291:118 0.000167595
83 *268:10 *1089:I 7.02166e-05
84 *268:10 *4925:A2 0.000415791
85 *268:10 *4925:A3 0
86 *268:10 *4925:A4 0.000183717
87 *268:12 *4929:A1 0.000393242
88 *268:12 *5544:CLK 0
89 *268:12 *292:8 0.00113813
90 *268:12 *292:41 0.000312634
91 *268:20 *4890:A2 0
92 *268:20 *5530:D 0
93 *268:20 *5532:D 0.000134824
94 *268:20 *5532:CLK 0.000504873
95 *268:20 *292:8 0.000619638
96 *268:20 *292:25 3.19374e-05
97 *268:47 *1091:I 3.00637e-05
98 *268:47 *289:10 0
99 *268:47 *291:119 1.02599e-05
100 *268:67 *941:I 9.84971e-05
101 *268:67 *5550:D 4.25712e-05
102 *268:67 *291:114 0
103 *268:67 *291:152 8.06113e-05
104 *268:67 *291:158 0.00041678
105 *268:80 *941:I 2.03747e-05
106 *268:80 *453:7 0.000152785
107 *268:80 *453:16 0
108 *268:86 *453:16 0
109 *268:89 *4895:A1 0.00104507
110 *268:89 *5235:CLK 0.000539039
111 *268:89 *288:18 0.00143999
112 *268:89 *453:16 0.00126031
113 *268:96 *5196:CLK 0.000201415
114 *268:96 *5365:D 0
115 *268:96 *5377:D 0.000365483
116 *268:96 *287:13 0
117 *268:96 *287:17 5.15453e-06
118 *268:96 *287:23 7.6801e-05
119 *268:99 *5377:D 3.66488e-05
120 *268:99 *287:23 1.45344e-05
121 *268:109 *5365:D 3.10936e-05
122 *268:109 *5377:D 8.52334e-05
123 *268:118 *1002:I 5.45292e-05
124 *268:118 *4856:A4 2.93059e-05
125 *268:118 *5359:D 4.63928e-05
126 *268:118 *5363:D 0
127 *268:118 *5367:D 4.25712e-05
128 *268:118 *5369:D 0
129 *268:118 *286:5 2.24674e-05
130 *268:118 *286:10 6.59281e-06
131 *268:118 *286:18 6.29275e-05
132 *268:141 *4895:A1 0.000711006
133 *268:162 *5235:CLK 0.000183717
134 *268:167 *4862:A2 0
135 *268:176 *4862:A2 0.000436524
136 *268:176 *4862:A3 1.15066e-05
137 *268:176 *5387:D 0.000291757
138 *268:181 *4862:A3 3.14177e-05
139 *268:181 *4862:A4 0
140 *268:181 *5383:D 0
141 *268:185 *4862:A2 1.04644e-05
142 *268:185 *4862:A4 9.62723e-05
143 *268:185 *5231:CLK 0
144 *268:185 *5383:D 5.45294e-05
145 *268:192 *4891:A1 1.76892e-05
146 *268:192 *4891:A3 5.83265e-05
147 *268:192 *281:68 0.00197647
148 *268:218 *1023:I 2.39675e-05
149 *268:218 *4861:A1 0.000430318
150 *268:218 *4861:A2 0.000235083
151 *268:218 *5387:D 0.000229557
152 *268:218 *5387:CLK 5.63617e-05
153 *268:218 *5393:D 2.08357e-05
154 *268:218 *5405:D 7.86148e-05
155 *4863:A1 *268:218 0.000150342
156 *4863:A2 *268:218 2.06967e-05
157 *4863:A3 *268:218 0.000819513
158 *4863:A4 *268:176 0.000199829
159 *4863:A4 *268:218 7.84457e-05
160 *4892:A1 *5630:I 6.64876e-05
161 *4892:A3 *5626:I 7.77658e-05
162 *4892:A3 *268:20 0.000283368
163 *4893:A2 *5638:I 1.28907e-05
164 *4895:A2 *5197:CLK 1.43887e-05
165 *4895:A2 *268:141 1.58356e-05
166 *4982:A3 *5197:CLK 0
167 *4985:A2 *5197:CLK 5.68952e-05
168 *4986:A2 *5197:CLK 9.49531e-05
169 *4990:A2 *268:80 0
170 *5196:D *5197:CLK 0
171 *5196:D *268:96 0.000436524
172 *5196:D *268:141 0
173 *5197:D *5197:CLK 0
174 *5199:D *268:67 9.68694e-06
175 *5199:D *268:80 0.00029446
176 *138:13 *268:89 0
177 *139:26 *5197:CLK 9.23413e-06
178 *208:7 *268:96 0
*RES
1 *5615:Z *268:4 4.5
2 *268:4 *268:5 3.69
3 *268:5 *268:10 9.18
4 *268:10 *268:12 9.09
5 *268:12 *268:20 16.83
6 *268:20 *5626:I 10.26
7 *268:20 *1138:I 9
8 *268:12 *5639:I 9
9 *268:10 *1151:I 9
10 *268:5 *268:47 6.93
11 *268:47 *1148:I 9
12 *268:47 *5636:I 16.11
13 *268:4 *268:62 6.03
14 *268:62 *268:67 13.23
15 *268:67 *5638:I 15.12
16 *268:67 *268:80 10.08
17 *268:80 *1147:I 4.5
18 *268:80 *268:86 0.45
19 *268:86 *268:89 11.61
20 *268:89 *268:91 4.5
21 *268:91 *5635:I 4.5
22 *268:91 *268:96 6.21
23 *268:96 *268:99 5.13
24 *268:99 *1144:I 11.43
25 *268:99 *268:109 6.21
26 *268:109 *1146:I 4.5
27 *268:109 *268:118 12.96
28 *268:118 *1145:I 10.35
29 *268:118 *5633:I 9
30 *268:96 *5634:I 4.77
31 *268:89 *268:141 7.38
32 *268:141 *5197:CLK 17.19
33 *268:141 *925:I 4.5
34 *268:86 *268:162 10.8
35 *268:162 *268:167 8.1
36 *268:167 *5629:I 4.5
37 *268:167 *268:176 9
38 *268:176 *268:181 7.92
39 *268:181 *268:185 7.02
40 *268:185 *268:192 18
41 *268:192 *5628:I 4.5
42 *268:192 *5630:I 12.15
43 *268:185 *1140:I 4.5
44 *268:181 *1142:I 4.5
45 *268:176 *268:211 4.5
46 *268:211 *5632:I 4.5
47 *268:211 *268:218 10.44
48 *268:218 *5631:I 22.23
49 *268:218 *1143:I 4.5
50 *268:162 *1141:I 9
51 *268:62 *1150:I 14.58
*END
*D_NET *269 0.00421819
*CONN
*I *5468:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5475:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5467:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5616:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5468:CLK 0.000617902
2 *5475:CLK 0.000420301
3 *5467:CLK 0.000899606
4 *5616:Z 0
5 *269:6 0.00142978
6 *269:5 0.000727775
7 *5021:A2 *5467:CLK 0
8 *5025:A2 *5468:CLK 0
9 *5475:D *5468:CLK 0
10 *5475:D *5475:CLK 2.39675e-05
11 *182:11 *5468:CLK 6.12226e-05
12 *182:11 *5475:CLK 0
13 *229:37 *5467:CLK 3.76365e-05
*RES
1 *5616:Z *269:5 9
2 *269:5 *269:6 0.81
3 *269:6 *5467:CLK 15.3
4 *269:6 *5475:CLK 11.79
5 *269:5 *5468:CLK 13.14
*END
*D_NET *270 0.00808509
*CONN
*I *880:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *890:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5112:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5118:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *884:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *881:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5110:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *893:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5130:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5136:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5617:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *880:I 0.000506237
2 *890:I 0
3 *5112:CLK 0
4 *5118:CLK 0.00028097
5 *884:I 0
6 *881:I 0.000100753
7 *5110:CLK 0.000110801
8 *893:I 0.000130111
9 *5130:CLK 0.000211162
10 *5136:CLK 0.000570009
11 *5617:Z 2.05638e-05
12 *270:63 0.000493422
13 *270:59 0.000376781
14 *270:58 0.000295657
15 *270:47 0.000435122
16 *270:44 0.00104256
17 *270:12 0.000990154
18 *270:10 0.000504961
19 *270:8 0.000377863
20 *270:7 0.000455037
21 *880:I *4909:A3 0
22 *881:I *4912:A1 8.83271e-05
23 *5118:CLK *5118:D 0
24 *5118:CLK *5120:D 4.83668e-05
25 *5136:CLK *896:I 1.0415e-05
26 *5136:CLK *271:5 0.000215962
27 *270:44 *5110:D 0
28 *270:47 *4912:A1 2.14859e-05
29 *270:47 *5110:D 0
30 *270:58 *5110:D 0.000101647
31 *270:59 *4912:A1 0
32 *270:63 *4912:A1 0
33 *270:63 *5120:D 0
34 *4907:A3 *5136:CLK 0.000507556
35 *153:9 *880:I 0
36 *153:9 *270:8 0
37 *153:9 *270:44 0
38 *155:13 *5110:CLK 9.06697e-05
39 *155:13 *270:7 9.84971e-05
*RES
1 *5617:Z *270:7 9.27
2 *270:7 *270:8 0.63
3 *270:8 *270:10 1.17
4 *270:10 *270:12 1.53
5 *270:12 *5136:CLK 14.22
6 *270:12 *5130:CLK 10.35
7 *270:10 *893:I 9.99
8 *270:8 *5110:CLK 10.35
9 *270:7 *270:44 2.25
10 *270:44 *270:47 5.85
11 *270:47 *881:I 5.49
12 *270:47 *270:58 10.17
13 *270:58 *270:59 1.35
14 *270:59 *270:63 1.44
15 *270:63 *884:I 4.5
16 *270:63 *5118:CLK 6.66
17 *270:59 *5112:CLK 4.5
18 *270:58 *890:I 4.5
19 *270:44 *880:I 13.14
*END
*D_NET *271 0.00988941
*CONN
*I *875:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *876:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5099:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *895:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *894:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5138:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *877:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5104:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5102:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5140:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5142:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *896:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5618:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *875:I 0.000454796
2 *876:I 0
3 *5099:CLK 0.000254763
4 *895:I 0.000137489
5 *894:I 0
6 *5138:CLK 0.000137863
7 *877:I 0
8 *5104:CLK 0
9 *5102:CLK 0.000431081
10 *5140:CLK 0
11 *5142:CLK 0.000256501
12 *896:I 0.000211977
13 *5618:Z 0
14 *271:79 0.000518107
15 *271:75 0.000192628
16 *271:74 0.000796253
17 *271:66 0.000303218
18 *271:56 0.000313949
19 *271:33 0.000867377
20 *271:29 0.000631337
21 *271:20 0.000961272
22 *271:16 0.000878842
23 *271:5 0.000807173
24 *271:4 0.000373636
25 *895:I *4906:A3 4.82503e-05
26 *896:I *4906:A1 0
27 *896:I *4906:A3 3.07804e-06
28 *896:I *5134:D 0
29 *5099:CLK *4906:A3 5.77783e-05
30 *5102:CLK *5140:D 0
31 *5142:CLK *5142:D 0
32 *271:5 *4906:A3 0.000198698
33 *271:16 *4906:A4 0.000393242
34 *271:29 *5142:D 1.19803e-05
35 *271:33 *5104:D 0
36 *271:56 *4906:A3 7.7779e-05
37 *271:66 *4906:A3 1.51249e-05
38 *271:74 *4906:A3 0.000133707
39 *271:79 *4906:A3 6.58749e-05
40 *4907:A3 *896:I 4.00664e-05
41 *4907:A3 *5138:CLK 0
42 *4907:A3 *271:5 8.91956e-05
43 *5099:D *875:I 0
44 *5099:D *271:75 0
45 *5136:CLK *896:I 1.0415e-05
46 *5136:CLK *271:5 0.000215962
*RES
1 *5618:Z *271:4 4.5
2 *271:4 *271:5 2.79
3 *271:5 *896:I 5.94
4 *271:5 *271:16 6.93
5 *271:16 *271:20 8.19
6 *271:20 *5142:CLK 6.3
7 *271:20 *271:29 1.35
8 *271:29 *271:33 3.06
9 *271:33 *5140:CLK 4.5
10 *271:33 *5102:CLK 7.38
11 *271:29 *5104:CLK 4.5
12 *271:16 *877:I 9
13 *271:4 *271:56 1.17
14 *271:56 *5138:CLK 14.49
15 *271:56 *271:66 0.27
16 *271:66 *894:I 4.5
17 *271:66 *271:74 6.57
18 *271:74 *271:75 0.45
19 *271:75 *271:79 5.49
20 *271:79 *895:I 5.4
21 *271:79 *5099:CLK 6.21
22 *271:75 *876:I 9
23 *271:74 *875:I 12.69
*END
*D_NET *272 0.0024466
*CONN
*I *5560:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5101:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5100:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5619:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5560:CLK 0
2 *5101:CLK 0.000305426
3 *5100:CLK 0.000348916
4 *5619:Z 0.000129336
5 *272:7 0.000654342
6 *272:5 0.000129336
7 *5100:CLK *812:9 0.0004121
8 *5101:CLK *812:9 0.000101647
9 *272:5 *812:9 0.000365492
10 *5560:D *272:5 0
*RES
1 *5619:Z *272:5 5.67
2 *272:5 *272:7 4.5
3 *272:7 *5100:CLK 11.52
4 *272:7 *5101:CLK 11.61
5 *272:5 *5560:CLK 4.5
*END
*D_NET *273 0.0141989
*CONN
*I *1115:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1118:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *879:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5603:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5108:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5597:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1122:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5610:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5098:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *874:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5620:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1115:I 0.000235361
2 *1118:I 0
3 *879:I 0.000480229
4 *5603:CLK 0
5 *5108:CLK 0.000281853
6 *5597:CLK 0.000478793
7 *1122:I 0.000176349
8 *5610:CLK 0.000353908
9 *5098:CLK 0.000381697
10 *874:I 0
11 *5620:Z 0.00012037
12 *273:90 0.000331715
13 *273:46 0.00089812
14 *273:38 0.00142705
15 *273:26 0.00160249
16 *273:17 0.000645218
17 *273:12 0.000647176
18 *273:10 0.000527083
19 *273:9 0.00115282
20 *273:5 0.000472976
21 *879:I *4909:A2 5.97909e-06
22 *879:I *5097:CLK 0
23 *879:I *274:45 0
24 *879:I *274:50 3.73926e-05
25 *879:I *274:65 0
26 *1115:I *274:73 0.000141277
27 *1122:I *487:7 2.35229e-05
28 *1122:I *498:11 1.59687e-05
29 *5108:CLK *5108:D 4.74742e-05
30 *5597:CLK *5597:D 0
31 *5597:CLK *498:11 4.93468e-05
32 *5610:CLK *5095:A1 0
33 *273:9 *4916:A4 1.79373e-05
34 *273:9 *5097:CLK 3.17787e-05
35 *273:10 *5603:D 0.000129771
36 *273:10 *274:50 5.79727e-05
37 *273:26 *507:7 2.35125e-05
38 *273:26 *508:5 3.9806e-05
39 *273:38 *4945:A3 1.51249e-05
40 *273:38 *4945:A4 0.000485987
41 *273:38 *487:7 0
42 *273:38 *498:11 1.51249e-05
43 *273:38 *498:13 5.98574e-05
44 *273:38 *508:5 2.38088e-05
45 *273:46 *5095:A1 0
46 *273:46 *498:11 9.00252e-05
47 *1132:I *273:12 0.000174546
48 *1132:I *273:17 0.000226877
49 *4952:A1 *5108:CLK 0.000365483
50 *4952:A2 *5108:CLK 0.000112708
51 *5096:D *5597:CLK 0.000302363
52 *5097:D *5108:CLK 9.67336e-05
53 *5097:D *273:10 4.911e-05
54 *5097:D *273:12 1.50886e-05
55 *5097:D *273:17 0
56 *5097:D *273:26 0
57 *5610:D *5610:CLK 0.000111037
58 *5621:I *879:I 0
59 *5621:I *273:90 0.000473841
60 *184:6 *5098:CLK 0.000189176
61 *184:8 *5098:CLK 4.2493e-05
62 *187:8 *5610:CLK 0
63 *187:17 *5610:CLK 0
64 *266:174 *5108:CLK 0
65 *266:197 *1122:I 0
66 *266:197 *5597:CLK 0.000412927
67 *266:197 *5610:CLK 0
68 *266:197 *273:46 0
69 *266:211 *879:I 0
70 *266:211 *273:10 0
71 *266:211 *273:90 0.000101647
*RES
1 *5620:Z *273:5 5.31
2 *273:5 *273:9 6.21
3 *273:9 *273:10 3.87
4 *273:10 *273:12 0.99
5 *273:12 *273:17 6.48
6 *273:17 *874:I 4.5
7 *273:17 *273:26 7.2
8 *273:26 *5098:CLK 12.51
9 *273:26 *273:38 15.39
10 *273:38 *5610:CLK 12.15
11 *273:38 *273:46 2.07
12 *273:46 *1122:I 10.17
13 *273:46 *5597:CLK 13.5
14 *273:12 *5108:CLK 11.88
15 *273:10 *5603:CLK 9
16 *273:9 *879:I 12.06
17 *273:5 *273:90 10.35
18 *273:90 *1118:I 4.5
19 *273:90 *1115:I 6.57
*END
*D_NET *274 0.0192444
*CONN
*I *1119:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *878:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5605:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5106:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1113:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1114:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1116:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5593:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5595:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *872:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5096:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5599:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5601:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *873:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5097:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5607:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1120:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1117:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5621:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1119:I 0.000388032
2 *878:I 0.000117461
3 *5605:CLK 0
4 *5106:CLK 0.000188837
5 *1113:I 0.000614933
6 *1114:I 0
7 *1116:I 0.000407528
8 *5593:CLK 0
9 *5595:CLK 0.000228747
10 *872:I 0
11 *5096:CLK 0.000156314
12 *5599:CLK 0
13 *5601:CLK 0
14 *873:I 5.43118e-05
15 *5097:CLK 0.000422445
16 *5607:CLK 6.83834e-05
17 *1120:I 4.12734e-05
18 *1117:I 0
19 *5621:Z 0.000142747
20 *274:147 0.000188837
21 *274:133 0.00110613
22 *274:121 0.00118183
23 *274:112 0.000808755
24 *274:98 0.000898711
25 *274:82 0.000352433
26 *274:81 0.000721571
27 *274:73 0.00112098
28 *274:65 0.000871731
29 *274:50 0.000710793
30 *274:45 0.000965234
31 *274:40 0.000722054
32 *274:38 0.00102337
33 *274:27 0.00130172
34 *274:22 0.0012606
35 *274:13 0.000636317
36 *274:5 0.000315403
37 *873:I *5603:D 0
38 *1113:I *5512:CLK 0
39 *1116:I *4915:A2 0
40 *1116:I *5593:D 1.0415e-05
41 *1119:I *4916:A2 0.000205106
42 *1119:I *5607:D 0
43 *1119:I *277:82 0
44 *1120:I *4916:A1 1.31343e-05
45 *5097:CLK *5603:D 0
46 *274:13 *4916:A1 5.08443e-05
47 *274:22 *4916:A3 2.34225e-05
48 *274:22 *5566:CLK 0
49 *274:27 *4916:A3 7.66145e-05
50 *274:27 *4916:A4 2.46166e-05
51 *274:27 *5566:CLK 0
52 *274:27 *5607:D 2.19732e-05
53 *274:38 *5605:D 0
54 *274:38 *277:82 3.17787e-05
55 *274:45 *5605:D 0
56 *274:50 *4916:A4 2.89056e-05
57 *274:50 *5603:D 0.000893261
58 *274:73 *4916:A4 5.15117e-06
59 *274:73 *5599:D 4.25712e-05
60 *274:73 *5601:D 3.79307e-05
61 *274:81 *4915:A4 5.15453e-06
62 *274:112 *5593:D 0
63 *274:121 *4915:A3 0
64 *274:121 *4915:A4 0
65 *274:133 *4915:A2 0.000359863
66 *274:133 *4915:A3 0
67 *879:I *5097:CLK 0
68 *879:I *274:45 0
69 *879:I *274:50 3.73926e-05
70 *879:I *274:65 0
71 *1115:I *274:73 0.000141277
72 *4917:A2 *274:5 2.39675e-05
73 *4917:A2 *274:13 0
74 *5621:I *274:73 2.35125e-05
75 *163:11 *1120:I 1.31343e-05
76 *163:11 *274:5 0
77 *163:11 *274:13 6.71629e-05
78 *266:174 *5097:CLK 0
79 *273:9 *5097:CLK 3.17787e-05
80 *273:10 *274:50 5.79727e-05
*RES
1 *5621:Z *274:5 5.49
2 *274:5 *1117:I 4.5
3 *274:5 *274:13 1.62
4 *274:13 *1120:I 4.77
5 *274:13 *274:22 7.11
6 *274:22 *274:27 7.56
7 *274:27 *5607:CLK 4.95
8 *274:27 *274:38 9.36
9 *274:38 *274:40 0.81
10 *274:40 *274:45 8.28
11 *274:45 *274:50 11.79
12 *274:50 *5097:CLK 7.02
13 *274:50 *873:I 4.77
14 *274:45 *274:65 0.81
15 *274:65 *5601:CLK 4.5
16 *274:65 *274:73 5.4
17 *274:73 *5599:CLK 4.5
18 *274:73 *274:81 6.93
19 *274:81 *274:82 1.53
20 *274:82 *5096:CLK 10.08
21 *274:82 *872:I 9
22 *274:81 *274:98 0.99
23 *274:98 *5595:CLK 10.53
24 *274:98 *274:112 8.19
25 *274:112 *5593:CLK 4.5
26 *274:112 *274:121 6.48
27 *274:121 *1116:I 11.43
28 *274:121 *274:133 8.46
29 *274:133 *1114:I 4.5
30 *274:133 *1113:I 8.82
31 *274:40 *274:147 4.5
32 *274:147 *5106:CLK 6.12
33 *274:147 *5605:CLK 4.5
34 *274:38 *878:I 10.17
35 *274:22 *1119:I 11.97
*END
*D_NET *275 0.0176609
*CONN
*I *1095:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1098:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5561:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1101:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5568:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5567:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5512:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1073:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5562:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1100:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5564:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1096:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5622:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1095:I 0
2 *1098:I 0.000233548
3 *5561:CLK 0
4 *1101:I 0.000210006
5 *5568:CLK 0
6 *5567:CLK 0.000585652
7 *5512:CLK 0.000335213
8 *1073:I 0
9 *5562:CLK 0
10 *1100:I 4.11702e-05
11 *5564:CLK 0.00031708
12 *1096:I 0.000435226
13 *5622:Z 0
14 *275:78 0.000920804
15 *275:66 0.000764024
16 *275:64 0.000670088
17 *275:55 0.000524509
18 *275:49 0.000710747
19 *275:43 0.0008246
20 *275:24 0.000415519
21 *275:22 0.00069626
22 *275:20 0.000987292
23 *275:15 0.000796531
24 *275:4 0.000845065
25 *1096:I *5080:A1 7.96988e-06
26 *1096:I *5081:B 2.39096e-05
27 *1096:I *526:7 6.31651e-05
28 *1096:I *526:9 0.00058663
29 *1098:I *5073:A1 0.000235083
30 *1098:I *5073:A2 0.000515598
31 *1098:I *5073:A3 6.68764e-05
32 *1098:I *536:11 0.000112383
33 *1101:I *5675:I 0
34 *5512:CLK *4923:A1 0.000103733
35 *5564:CLK *539:8 4.35099e-06
36 *275:15 *5071:I 0
37 *275:15 *526:9 0
38 *275:15 *535:19 6.25566e-05
39 *275:20 *5073:A1 2.38751e-06
40 *275:20 *5073:A4 0.000315018
41 *275:22 *5073:A4 0.000358635
42 *275:43 *539:8 6.65247e-05
43 *275:49 *539:8 0
44 *275:78 *540:9 0
45 *866:I *275:49 6.65385e-05
46 *867:I *275:43 3.22008e-05
47 *1113:I *5512:CLK 0
48 *1134:I *275:49 0.000237457
49 *1134:I *275:64 0
50 *4923:A2 *5567:CLK 0
51 *4923:A2 *275:78 0.000365483
52 *5075:A1 *5512:CLK 0
53 *5075:A1 *5567:CLK 0
54 *5084:A2 *1098:I 7.56244e-06
55 *5085:A3 *275:22 0
56 *5093:A1 *5567:CLK 0.000115029
57 *5093:A2 *5567:CLK 0
58 *5094:A1 *5512:CLK 0
59 *5094:A1 *275:64 0
60 *5094:A1 *275:66 0
61 *5512:D *5512:CLK 7.7749e-07
62 *5512:D *275:78 0
63 *5562:D *275:49 1.86543e-05
64 *5563:D *275:20 8.68947e-05
65 *5563:D *275:22 0.000206262
66 *5564:D *5564:CLK 7.96988e-06
67 *79:8 *275:15 0
68 *81:6 *275:22 0.000292938
69 *83:43 *5564:CLK 0.000174546
70 *83:43 *275:22 0.000210986
71 *83:43 *275:24 0.000218696
72 *83:46 *5564:CLK 0
73 *84:8 *1100:I 3.15786e-05
74 *84:8 *5564:CLK 0.00117016
75 *84:8 *275:43 7.03031e-06
76 *84:8 *275:49 9.85067e-05
77 *84:22 *275:49 0.000338017
78 *84:25 *275:49 3.15311e-05
79 *84:45 *275:49 0.000813145
80 *88:7 *5564:CLK 2.4367e-05
81 *266:123 *275:49 0.000266372
*RES
1 *5622:Z *275:4 4.5
2 *275:4 *1096:I 8.55
3 *275:4 *275:15 2.61
4 *275:15 *275:20 7.38
5 *275:20 *275:22 4.41
6 *275:22 *275:24 0.63
7 *275:24 *5564:CLK 12.6
8 *275:24 *1100:I 9.45
9 *275:22 *275:43 6.57
10 *275:43 *275:49 15.48
11 *275:49 *5562:CLK 4.5
12 *275:49 *275:55 0.99
13 *275:55 *1073:I 4.5
14 *275:55 *275:64 7.2
15 *275:64 *275:66 0.63
16 *275:66 *5512:CLK 11.7
17 *275:66 *275:78 6.93
18 *275:78 *5567:CLK 8.64
19 *275:78 *5568:CLK 4.5
20 *275:64 *1101:I 10.35
21 *275:43 *5561:CLK 4.5
22 *275:20 *1098:I 11.79
23 *275:15 *1095:I 4.5
*END
*D_NET *276 0.00338665
*CONN
*I *5213:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5565:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5581:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5623:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5213:CLK 0
2 *5565:CLK 0.000653863
3 *5581:CLK 0.000403808
4 *5623:Z 0
5 *276:15 0.00104637
6 *276:4 0.000796318
7 *5581:CLK *5581:D 0
8 *276:15 *5213:D 0
9 *4921:A2 *5565:CLK 0
10 *5087:A1 *5565:CLK 4.34972e-05
11 *5087:A2 *5565:CLK 7.63806e-05
12 *5565:D *5565:CLK 0
13 *84:8 *5565:CLK 0.000366411
14 *266:123 *5565:CLK 0
*RES
1 *5623:Z *276:4 4.5
2 *276:4 *5581:CLK 16.2
3 *276:4 *276:15 2.43
4 *276:15 *5565:CLK 19.44
5 *276:15 *5213:CLK 4.5
*END
*D_NET *277 0.0144078
*CONN
*I *1105:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1102:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1099:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5566:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1103:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5575:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5571:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5569:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5573:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1104:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1111:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5589:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5624:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1105:I 0.000414199
2 *1102:I 0
3 *1099:I 0
4 *5566:CLK 0.000204682
5 *1103:I 0
6 *5575:CLK 0
7 *5571:CLK 0
8 *5569:CLK 0.000555985
9 *5573:CLK 0.000152893
10 *1104:I 0
11 *1111:I 0.000153201
12 *5589:CLK 0.000374278
13 *5624:Z 0.000166421
14 *277:82 0.000638578
15 *277:76 0.000720518
16 *277:53 0.00114052
17 *277:49 0.000856451
18 *277:46 0.00114273
19 *277:37 0.00101501
20 *277:33 0.000523485
21 *277:31 0.000814887
22 *277:11 0.000948893
23 *277:7 0.000549368
24 *277:5 0.000449511
25 *1105:I *4918:A1 0.000447475
26 *1105:I *4918:A2 1.86543e-05
27 *1105:I *5583:D 0.000213108
28 *1111:I *4920:A1 0.000281324
29 *5566:CLK *4916:A2 0
30 *5569:CLK *5106:D 0
31 *5569:CLK *5571:D 0
32 *5573:CLK *5573:D 3.66071e-05
33 *5589:CLK *4920:A1 0.000382972
34 *5589:CLK *4920:A4 0.000157636
35 *277:5 *278:37 0
36 *277:7 *278:37 0
37 *277:11 *5254:CLK 0.000215962
38 *277:11 *278:37 0
39 *277:11 *278:39 0
40 *277:31 *5583:CLK 0.00023065
41 *277:33 *5583:CLK 0.00013574
42 *277:46 *4918:A4 9.03145e-05
43 *277:46 *5573:D 2.00305e-06
44 *277:76 *4918:A3 1.54643e-05
45 *277:76 *5575:D 1.86543e-05
46 *1119:I *277:82 0
47 *4921:A1 *1105:I 4.18761e-05
48 *4921:A3 *1105:I 6.31381e-05
49 *4921:A3 *277:5 0.000226387
50 *4921:A3 *277:7 0.000167585
51 *4921:A3 *277:11 0.000808888
52 *163:11 *1105:I 0
53 *266:77 *5569:CLK 0
54 *266:77 *277:53 0
55 *266:84 *277:37 0
56 *266:84 *277:53 0
57 *266:91 *277:33 0
58 *266:91 *277:37 0
59 *274:22 *5566:CLK 0
60 *274:27 *5566:CLK 0
61 *274:38 *277:82 3.17787e-05
*RES
1 *5624:Z *277:5 6.03
2 *277:5 *277:7 1.17
3 *277:7 *277:11 9.45
4 *277:11 *5589:CLK 12.87
5 *277:11 *1111:I 10.53
6 *277:7 *1104:I 4.5
7 *277:5 *277:31 5.85
8 *277:31 *277:33 2.07
9 *277:33 *277:37 6.57
10 *277:37 *5573:CLK 5.49
11 *277:37 *277:46 8.73
12 *277:46 *277:49 6.03
13 *277:49 *277:53 8.64
14 *277:53 *5569:CLK 12.6
15 *277:53 *5571:CLK 9
16 *277:49 *5575:CLK 4.5
17 *277:46 *277:76 6.48
18 *277:76 *1103:I 4.5
19 *277:76 *277:82 2.97
20 *277:82 *5566:CLK 15.03
21 *277:82 *1099:I 4.5
22 *277:33 *1102:I 9
23 *277:31 *1105:I 13.05
*END
*D_NET *278 0.0145253
*CONN
*I *1106:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1107:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *938:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5225:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *953:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1108:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5577:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5579:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5254:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5583:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *936:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5221:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5625:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1106:I 7.68769e-05
2 *1107:I 0
3 *938:I 0.000674666
4 *5225:CLK 0
5 *953:I 0.000600396
6 *1108:I 0.000255336
7 *5577:CLK 0
8 *5579:CLK 0
9 *5254:CLK 0.000863897
10 *5583:CLK 0.000439429
11 *936:I 0.000748478
12 *5221:CLK 0
13 *5625:Z 0
14 *278:81 0.000827655
15 *278:70 0.000989751
16 *278:68 0.000398803
17 *278:66 0.000296767
18 *278:39 0.0012063
19 *278:37 0.000735741
20 *278:27 0.0010826
21 *278:25 0.00103988
22 *278:8 0.000896625
23 *278:5 0.000911202
24 *278:4 0.000285796
25 *936:I *5223:CLK 0
26 *953:I *5258:D 3.22289e-05
27 *1108:I *4919:A4 1.59687e-05
28 *5254:CLK *1112:I 0
29 *5254:CLK *4920:A2 0
30 *5254:CLK *5254:D 5.05917e-05
31 *278:5 *4919:A1 0.000127779
32 *278:5 *4919:A2 0.000132167
33 *278:5 *4919:A3 2.65663e-06
34 *278:25 *4919:A3 0.000912092
35 *278:25 *4919:A4 0
36 *278:27 *4919:A4 0
37 *278:37 *4919:A4 7.24817e-05
38 *278:37 *5577:D 0
39 *278:39 *5579:D 0.00014291
40 *278:68 *4919:A2 6.64876e-05
41 *278:70 *4919:A2 5.97909e-06
42 *4921:A3 *5254:CLK 5.14431e-05
43 *266:91 *5583:CLK 0
44 *266:91 *278:25 0
45 *266:91 *278:27 0
46 *266:94 *1108:I 0
47 *266:94 *278:5 0
48 *266:116 *278:25 0
49 *277:5 *278:37 0
50 *277:7 *278:37 0
51 *277:11 *5254:CLK 0.000215962
52 *277:11 *278:37 0
53 *277:11 *278:39 0
54 *277:31 *5583:CLK 0.00023065
55 *277:33 *5583:CLK 0.00013574
*RES
1 *5625:Z *278:4 4.5
2 *278:4 *278:5 2.43
3 *278:5 *278:8 5.67
4 *278:8 *5221:CLK 9
5 *278:8 *936:I 14.31
6 *278:5 *278:25 9.36
7 *278:25 *278:27 1.71
8 *278:27 *5583:CLK 12.69
9 *278:27 *278:37 7.11
10 *278:37 *278:39 2.61
11 *278:39 *5254:CLK 10.98
12 *278:39 *5579:CLK 4.5
13 *278:37 *5577:CLK 4.5
14 *278:25 *1108:I 10.62
15 *278:4 *278:66 0.45
16 *278:66 *278:68 1.35
17 *278:68 *278:70 1.53
18 *278:70 *953:I 8.46
19 *278:70 *278:81 5.67
20 *278:81 *5225:CLK 9
21 *278:81 *938:I 14.49
22 *278:68 *1107:I 4.5
23 *278:66 *1106:I 5.31
*END
*D_NET *279 0.0113476
*CONN
*I *929:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5205:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *939:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *930:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5209:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *931:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *993:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *995:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5207:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5338:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *955:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5227:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5258:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5334:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5626:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *929:I 0.000837843
2 *5205:CLK 0
3 *939:I 0
4 *930:I 0.000316604
5 *5209:CLK 0
6 *931:I 0
7 *993:I 0
8 *995:I 0.000114166
9 *5207:CLK 5.21358e-05
10 *5338:CLK 0.00028173
11 *955:I 0
12 *5227:CLK 0.000340819
13 *5258:CLK 9.93714e-05
14 *5334:CLK 0
15 *5626:Z 0
16 *279:111 0.00105448
17 *279:103 0.0004151
18 *279:74 0.000245612
19 *279:71 0.000424811
20 *279:67 0.000457689
21 *279:64 0.00076097
22 *279:31 0.000497644
23 *279:29 0.000510648
24 *279:22 0.000532831
25 *279:18 0.000956931
26 *279:14 0.000788008
27 *279:11 0.000798775
28 *279:4 0.000576886
29 *929:I *4889:A1 0
30 *930:I *4890:A3 0
31 *5227:CLK *5258:D 0
32 *5258:CLK *5258:D 0
33 *5338:CLK *992:I 5.45294e-05
34 *5338:CLK *5334:D 0.000515598
35 *279:11 *5207:D 0.00055007
36 *279:64 *5207:D 0
37 *279:71 *4871:A3 0
38 *279:71 *5209:D 0
39 *279:103 *4889:A1 7.52007e-05
40 *279:103 *5205:D 0
41 *279:111 *4889:A1 8.91956e-05
42 *279:111 *5205:D 0
*RES
1 *5626:Z *279:4 4.5
2 *279:4 *279:11 8.01
3 *279:11 *279:14 5.49
4 *279:14 *279:18 8.82
5 *279:18 *279:22 4.95
6 *279:22 *5334:CLK 4.5
7 *279:22 *279:29 3.24
8 *279:29 *279:31 0.45
9 *279:31 *5258:CLK 5.4
10 *279:31 *5227:CLK 6.75
11 *279:29 *955:I 4.5
12 *279:18 *5338:CLK 11.61
13 *279:14 *5207:CLK 4.95
14 *279:11 *279:64 2.07
15 *279:64 *279:67 5.49
16 *279:67 *279:71 1.98
17 *279:71 *279:74 5.49
18 *279:74 *995:I 9.81
19 *279:74 *993:I 9
20 *279:71 *931:I 4.5
21 *279:67 *5209:CLK 4.5
22 *279:64 *930:I 11.43
23 *279:4 *279:103 1.53
24 *279:103 *939:I 4.5
25 *279:103 *279:111 1.8
26 *279:111 *5205:CLK 4.5
27 *279:111 *929:I 19.62
*END
*D_NET *280 0.0143081
*CONN
*I *1056:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1061:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5480:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1057:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5488:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5478:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1072:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5510:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1071:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5508:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5476:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1054:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5474:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1055:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5627:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1056:I 3.44723e-05
2 *1061:I 0.000505798
3 *5480:CLK 0
4 *1057:I 0
5 *5488:CLK 0.000454817
6 *5478:CLK 0
7 *1072:I 0
8 *5510:CLK 2.55478e-05
9 *1071:I 0.000199474
10 *5508:CLK 0.000198887
11 *5476:CLK 0
12 *1054:I 0.000775725
13 *5474:CLK 0.000354408
14 *1055:I 0.000119744
15 *5627:Z 3.54106e-05
16 *280:120 0.000854518
17 *280:92 0.00104031
18 *280:72 0.000225022
19 *280:61 0.000889273
20 *280:37 0.00132204
21 *280:33 0.000335561
22 *280:31 0.000918466
23 *280:28 0.000245343
24 *280:24 0.0010028
25 *280:22 0.000391127
26 *280:20 0.000416762
27 *280:9 0.000564764
28 *280:7 0.000512654
29 *1054:I *5012:I 3.66071e-05
30 *1061:I *4937:A3 3.07804e-06
31 *1061:I *5494:CLK 5.85275e-05
32 *1061:I *301:106 0
33 *5488:CLK *1067:I 2.92808e-05
34 *5488:CLK *4935:A4 0
35 *5488:CLK *5486:D 0
36 *5488:CLK *5488:D 0.000302501
37 *5508:CLK *5508:D 0
38 *280:20 *4937:A4 0.000137544
39 *280:31 *4936:A1 2.94011e-05
40 *280:31 *5476:D 0
41 *280:33 *4936:A1 1.0415e-05
42 *280:33 *5476:D 0
43 *280:92 *4936:A1 0
44 *280:92 *5476:D 9.85067e-05
45 *280:92 *5478:D 0
46 *280:120 *4937:A3 7.64577e-05
47 *4940:A1 *1055:I 1.83335e-05
48 *4940:A1 *280:9 4.13069e-05
49 *4940:A1 *280:120 0.000362808
50 *4940:A2 *1061:I 0.000232191
51 *4940:A2 *280:120 0.000507547
52 *4940:A3 *280:120 7.20607e-05
53 *176:7 *280:33 9.70331e-05
54 *176:7 *280:37 0.000177176
55 *180:10 *1055:I 0.000142912
56 *180:10 *280:7 0.00010925
57 *180:10 *280:9 0.00025106
58 *180:10 *280:120 0
59 *265:83 *280:20 0
60 *265:91 *280:20 0
61 *265:91 *280:22 0
62 *265:91 *280:24 0
63 *265:91 *280:28 0
64 *265:91 *280:61 0
65 *265:97 *5474:CLK 9.12222e-05
*RES
1 *5627:Z *280:7 5.04
2 *280:7 *280:9 1.89
3 *280:9 *1055:I 5.49
4 *280:9 *280:20 7.02
5 *280:20 *280:22 1.17
6 *280:22 *280:24 1.89
7 *280:24 *280:28 5.67
8 *280:28 *280:31 0.72
9 *280:31 *280:33 1.17
10 *280:33 *280:37 6.21
11 *280:37 *5474:CLK 11.34
12 *280:37 *1054:I 14.04
13 *280:33 *5476:CLK 4.5
14 *280:31 *280:61 9.45
15 *280:61 *5508:CLK 10.44
16 *280:61 *280:72 4.5
17 *280:72 *1071:I 5.76
18 *280:72 *5510:CLK 4.77
19 *280:28 *1072:I 4.5
20 *280:24 *280:92 8.37
21 *280:92 *5478:CLK 4.5
22 *280:92 *5488:CLK 8.1
23 *280:22 *1057:I 9
24 *280:20 *5480:CLK 9
25 *280:7 *280:120 3.69
26 *280:120 *1061:I 17.46
27 *280:120 *1056:I 4.77
*END
*D_NET *281 0.0147867
*CONN
*I *940:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5229:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *928:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5203:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *946:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5201:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *937:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5223:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5245:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *927:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5628:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *940:I 0.000215148
2 *5229:CLK 0
3 *928:I 0.000137606
4 *5203:CLK 0
5 *946:I 0.000285971
6 *5201:CLK 0
7 *937:I 0.000206254
8 *5223:CLK 0.000547358
9 *5245:CLK 0
10 *927:I 0.000300085
11 *5628:Z 0
12 *281:82 0.000295583
13 *281:74 0.000298476
14 *281:68 0.000444123
15 *281:33 0.00100076
16 *281:25 0.000599055
17 *281:20 0.000432638
18 *281:18 0.000565146
19 *281:5 0.000769808
20 *281:4 0.000634972
21 *927:I *4891:A2 3.12451e-05
22 *937:I *5245:D 6.67794e-06
23 *940:I *5229:D 0
24 *281:5 *4891:A2 0.000139787
25 *281:18 *4891:A1 0
26 *281:18 *4891:A3 0
27 *281:18 *5201:D 0.000285598
28 *281:20 *5201:D 0.000320334
29 *281:25 *4889:A2 1.71343e-05
30 *281:25 *4889:A3 0.000224512
31 *281:33 *4889:A3 0.00040822
32 *281:33 *5245:D 3.4594e-05
33 *281:68 *4891:A1 6.26102e-05
34 *281:68 *5203:D 0.00134905
35 *281:74 *5229:D 0
36 *281:82 *5229:D 0
37 *936:I *5223:CLK 0
38 *4892:A1 *927:I 4.93203e-06
39 *4892:A1 *281:5 0.000212947
40 *4892:A2 *927:I 6.23631e-05
41 *4892:A2 *281:18 0.00118399
42 *4892:A2 *281:20 0.000794175
43 *4892:A2 *281:25 0.000911232
44 *4892:A3 *927:I 1.7556e-05
45 *4892:A4 *281:18 1.02599e-05
46 *4892:A4 *281:68 0
47 *268:192 *281:68 0.00197647
*RES
1 *5628:Z *281:4 4.5
2 *281:4 *281:5 2.43
3 *281:5 *927:I 15.66
4 *281:5 *281:18 7.65
5 *281:18 *281:20 2.07
6 *281:20 *281:25 8.64
7 *281:25 *5245:CLK 4.5
8 *281:25 *281:33 7.11
9 *281:33 *5223:CLK 13.05
10 *281:33 *937:I 10.35
11 *281:20 *5201:CLK 9
12 *281:18 *946:I 10.71
13 *281:4 *281:68 15.66
14 *281:68 *5203:CLK 4.5
15 *281:68 *281:74 0.63
16 *281:74 *928:I 5.49
17 *281:74 *281:82 0.63
18 *281:82 *5229:CLK 4.5
19 *281:82 *940:I 6.3
*END
*D_NET *282 0.00524037
*CONN
*I *5383:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5385:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5381:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5231:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5629:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5383:CLK 0.000185142
2 *5385:CLK 0.000310659
3 *5381:CLK 0.000196119
4 *5231:CLK 0.000201867
5 *5629:Z 0.000123384
6 *282:24 0.000865686
7 *282:14 0.00104644
8 *282:5 0.000805682
9 *5231:CLK *4862:A4 3.9806e-05
10 *5383:CLK *1016:I 8.06113e-05
11 *5383:CLK *4862:A2 1.19803e-05
12 *5383:CLK *283:75 1.31823e-05
13 *5385:CLK *283:63 0.000320334
14 *5385:CLK *283:75 0.000726425
15 *282:5 *4862:A4 0.000173302
16 *282:24 *4862:A2 1.19732e-05
17 *282:24 *5381:D 0.000127779
18 *268:185 *5231:CLK 0
*RES
1 *5629:Z *282:5 5.67
2 *282:5 *5231:CLK 5.85
3 *282:5 *282:14 8.01
4 *282:14 *5381:CLK 10.53
5 *282:14 *282:24 7.11
6 *282:24 *5385:CLK 17.19
7 *282:24 *5383:CLK 5.85
*END
*D_NET *283 0.0121869
*CONN
*I *1016:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *934:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *935:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *933:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1097:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5563:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5217:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5219:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5215:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5391:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5630:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1016:I 0.000696793
2 *934:I 0
3 *935:I 0.000358482
4 *933:I 0
5 *1097:I 0.000126398
6 *5563:CLK 5.16673e-05
7 *5217:CLK 0
8 *5219:CLK 0.000419358
9 *5215:CLK 0.000674409
10 *5391:CLK 0.000515018
11 *5630:Z 0
12 *283:75 0.00097
13 *283:63 0.000877291
14 *283:44 0.000917427
15 *283:38 0.000932002
16 *283:31 0.000611999
17 *283:20 0.000894521
18 *283:18 0.000501373
19 *283:17 0.000762439
20 *283:4 0.000750594
21 *935:I *4888:A1 7.02166e-05
22 *935:I *4888:A2 0
23 *935:I *5385:D 1.0415e-05
24 *1016:I *5383:D 0
25 *5215:CLK *4888:A4 0.000136112
26 *5215:CLK *5215:D 1.31211e-05
27 *5219:CLK *5219:D 5.99579e-05
28 *5391:CLK *5217:D 0
29 *5391:CLK *5391:D 3.4594e-05
30 *283:17 *4888:A2 0.000507556
31 *283:18 *4888:A4 1.80711e-05
32 *283:20 *4888:A4 5.75237e-05
33 *283:38 *5219:D 7.7749e-07
34 *283:44 *5217:D 0
35 *283:75 *5385:D 0
36 *5383:CLK *1016:I 8.06113e-05
37 *5383:CLK *283:75 1.31823e-05
38 *5385:CLK *283:63 0.000320334
39 *5385:CLK *283:75 0.000726425
40 *5563:D *5563:CLK 4.37704e-06
41 *5563:D *283:44 7.7749e-07
42 *5630:I *5391:CLK 2.26873e-05
43 *5630:I *283:17 5.0433e-05
*RES
1 *5630:Z *283:4 4.5
2 *283:4 *5391:CLK 8.19
3 *283:4 *283:17 6.75
4 *283:17 *283:18 1.71
5 *283:18 *283:20 1.89
6 *283:20 *5215:CLK 13.86
7 *283:20 *283:31 4.5
8 *283:31 *5219:CLK 7.29
9 *283:31 *283:38 1.17
10 *283:38 *5217:CLK 4.5
11 *283:38 *283:44 4.77
12 *283:44 *5563:CLK 4.95
13 *283:44 *1097:I 5.49
14 *283:18 *933:I 9
15 *283:17 *283:63 2.07
16 *283:63 *935:I 11.43
17 *283:63 *283:75 7.2
18 *283:75 *934:I 4.5
19 *283:75 *1016:I 9.36
*END
*D_NET *284 0.0127736
*CONN
*I *5395:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1019:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1023:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5405:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5403:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5407:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1024:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1021:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1020:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1018:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1022:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5397:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5401:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5399:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5631:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5395:CLK 0.000241897
2 *1019:I 0.000109821
3 *1023:I 0.0002445
4 *5405:CLK 0
5 *5403:CLK 0
6 *5407:CLK 4.0429e-05
7 *1024:I 0
8 *1021:I 0.000345909
9 *1020:I 0
10 *1018:I 0
11 *1022:I 0
12 *5397:CLK 0.000238999
13 *5401:CLK 0.000198309
14 *5399:CLK 3.44723e-05
15 *5631:Z 0
16 *284:93 0.00039388
17 *284:87 0.000612034
18 *284:75 0.000597706
19 *284:68 0.000343036
20 *284:54 0.000523006
21 *284:46 0.000280514
22 *284:40 0.000464824
23 *284:19 0.00083083
24 *284:16 0.00141799
25 *284:12 0.00119892
26 *284:11 0.000504985
27 *284:9 0.000356307
28 *284:4 0.000488383
29 *1019:I *4860:A4 0.000507556
30 *1021:I *4860:A3 3.66142e-05
31 *1021:I *4860:A4 0.000266183
32 *5395:CLK *4860:A1 0.00058663
33 *5395:CLK *4860:A4 4.25712e-05
34 *5397:CLK *5397:D 0
35 *5401:CLK *5071:I 0
36 *5401:CLK *5401:D 0
37 *284:9 *4860:A1 2.98955e-05
38 *284:9 *4860:A4 0.000194132
39 *284:19 *5399:D 4.83651e-05
40 *284:19 *5401:D 5.31325e-06
41 *284:40 *4860:A4 0
42 *284:54 *4860:A4 0.000110682
43 *284:68 *5403:D 0.00120283
44 *284:75 *5403:D 0.000138088
45 *284:87 *5403:D 4.40181e-05
46 *5631:I *284:12 3.05529e-05
47 *5631:I *284:68 5.81003e-06
48 *5631:I *284:75 1.76923e-05
49 *5631:I *284:87 1.59534e-05
50 *268:218 *1023:I 2.39675e-05
*RES
1 *5631:Z *284:4 4.5
2 *284:4 *284:9 2.25
3 *284:9 *284:11 4.5
4 *284:11 *284:12 1.89
5 *284:12 *284:16 8.37
6 *284:16 *284:19 3.96
7 *284:19 *5399:CLK 4.77
8 *284:19 *5401:CLK 5.85
9 *284:16 *5397:CLK 6.03
10 *284:12 *284:40 6.75
11 *284:40 *1022:I 4.5
12 *284:40 *284:46 0.81
13 *284:46 *1018:I 4.5
14 *284:46 *284:54 1.62
15 *284:54 *1020:I 4.5
16 *284:54 *1021:I 7.38
17 *284:11 *284:68 3.33
18 *284:68 *1024:I 9
19 *284:68 *284:75 0.99
20 *284:75 *5407:CLK 9.27
21 *284:75 *284:87 7.56
22 *284:87 *5403:CLK 4.5
23 *284:87 *284:93 1.17
24 *284:93 *5405:CLK 4.5
25 *284:93 *1023:I 6.3
26 *284:9 *1019:I 5.85
27 *284:4 *5395:CLK 6.93
*END
*D_NET *285 0.011052
*CONN
*I *1010:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5375:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1014:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1011:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5387:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5393:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1015:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5389:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1017:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5373:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5632:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1010:I 0.000331622
2 *5375:CLK 0
3 *1014:I 0.000148823
4 *1011:I 0
5 *5387:CLK 0.00101978
6 *5393:CLK 0
7 *1015:I 0.000178418
8 *5389:CLK 0.000641412
9 *1017:I 0.00024383
10 *5373:CLK 0
11 *5632:Z 0
12 *285:38 0.000862724
13 *285:36 0.000125397
14 *285:34 0.00164722
15 *285:17 0.00148251
16 *285:12 0.000760518
17 *285:10 0.000368585
18 *285:8 0.000652456
19 *285:4 0.000831089
20 *1010:I *4858:A3 0
21 *1010:I *4858:A4 0.000134194
22 *1010:I *5375:D 0
23 *1010:I *5379:D 0
24 *1014:I *4862:A1 4.83651e-05
25 *1015:I *4861:A4 2.35229e-05
26 *1017:I *4861:A2 2.17883e-05
27 *5387:CLK *4861:A2 1.59714e-05
28 *5387:CLK *4861:A4 0
29 *5387:CLK *4862:A4 0
30 *5387:CLK *5387:D 3.77107e-05
31 *5387:CLK *5393:D 6.97466e-05
32 *5389:CLK *4861:A3 0
33 *5389:CLK *4861:A4 0
34 *5389:CLK *5393:D 6.85374e-06
35 *285:8 *5375:D 3.4594e-05
36 *285:17 *4862:A1 0.000466131
37 *285:17 *5373:D 3.4594e-05
38 *285:34 *4861:A2 0.000452809
39 *285:34 *5393:D 3.38181e-05
40 *285:36 *5393:D 4.93468e-05
41 *285:38 *5393:D 2.7415e-05
42 *4863:A2 *5389:CLK 0
43 *4863:A2 *285:34 0
44 *4863:A2 *285:36 0
45 *4863:A2 *285:38 0
46 *4863:A3 *5387:CLK 5.99413e-06
47 *4863:A3 *285:34 9.35657e-05
48 *4863:A4 *285:17 0
49 *4863:A4 *285:34 0
50 *4864:A4 *285:34 0.000144849
51 *268:218 *5387:CLK 5.63617e-05
*RES
1 *5632:Z *285:4 4.5
2 *285:4 *285:8 8.28
3 *285:8 *285:10 1.17
4 *285:10 *285:12 0.45
5 *285:12 *285:17 9.9
6 *285:17 *5373:CLK 4.5
7 *285:17 *1017:I 6.48
8 *285:17 *285:34 9.72
9 *285:34 *285:36 0.81
10 *285:36 *285:38 0.45
11 *285:38 *5389:CLK 14.13
12 *285:38 *1015:I 10.17
13 *285:36 *5393:CLK 9
14 *285:34 *5387:CLK 15.66
15 *285:12 *1011:I 9
16 *285:10 *1014:I 9.99
17 *285:8 *5375:CLK 9
18 *285:4 *1010:I 7.02
*END
*D_NET *286 0.0184279
*CONN
*I *1008:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1003:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5369:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1002:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1013:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1001:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5356:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5144:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1005:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *897:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1004:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5363:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5361:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5359:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *976:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5300:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5357:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1000:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5355:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5379:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5633:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1008:I 0.000273871
2 *1003:I 7.6703e-05
3 *5369:CLK 0
4 *1002:I 0.000199485
5 *1013:I 0
6 *1001:I 0
7 *5356:CLK 0
8 *5144:CLK 0.000311537
9 *1005:I 0.000192701
10 *897:I 0
11 *1004:I 0.000226769
12 *5363:CLK 0
13 *5361:CLK 0.00034192
14 *5359:CLK 0.00021065
15 *976:I 0.000124881
16 *5300:CLK 0.000516438
17 *5357:CLK 0
18 *1000:I 0
19 *5355:CLK 0
20 *5379:CLK 0.000248327
21 *5633:Z 0.00027375
22 *286:163 0.00045802
23 *286:111 0.000273136
24 *286:103 0.000467795
25 *286:100 0.000880532
26 *286:93 0.00078981
27 *286:80 0.00055257
28 *286:78 0.000507455
29 *286:69 0.000586561
30 *286:59 0.000852068
31 *286:57 0.000276821
32 *286:53 0.000523508
33 *286:47 0.000466223
34 *286:27 0.00036898
35 *286:25 0.000247051
36 *286:23 0.000830601
37 *286:18 0.000900619
38 *286:14 0.00066064
39 *286:10 0.000172205
40 *286:5 0.0005082
41 *1005:I *4856:A2 0
42 *1008:I *4856:A3 0.000167704
43 *5144:CLK *4856:A1 7.02166e-05
44 *5144:CLK *4856:A3 0
45 *5300:CLK *4865:A1 0.000677126
46 *5361:CLK *5361:D 0
47 *5361:CLK *5669:I 0
48 *286:5 *5363:D 9.15055e-05
49 *286:23 *4858:A4 0
50 *286:69 *4865:A1 0.000539039
51 *286:69 *5359:D 0
52 *286:78 *5359:D 0
53 *286:93 *5359:D 0
54 *286:163 *4856:A3 3.42926e-05
55 *286:163 *4856:A4 8.19379e-05
56 *4864:A1 *1008:I 0.000403308
57 *4864:A1 *286:10 0.000247435
58 *4864:A1 *286:14 0.000484353
59 *4864:A1 *286:163 0.000351915
60 *4864:A2 *286:18 7.53912e-05
61 *4864:A2 *286:23 0.000143021
62 *4864:A4 *286:23 0.000188732
63 *4864:A4 *286:47 3.5516e-05
64 *4865:A2 *286:47 1.59564e-05
65 *4865:A2 *286:53 0.000232106
66 *4865:A2 *286:57 7.24666e-05
67 *5010:A1 *286:47 0
68 *5010:A1 *286:57 0
69 *5300:D *5300:CLK 5.05917e-05
70 *5356:D *286:57 0
71 *19:13 *1008:I 3.46319e-05
72 *105:8 *1008:I 7.00916e-05
73 *105:8 *286:10 0.000218696
74 *105:8 *286:14 0.000484353
75 *105:8 *286:18 0.000104177
76 *112:7 *286:47 8.69735e-05
77 *112:11 *286:47 0
78 *268:118 *1002:I 5.45292e-05
79 *268:118 *286:5 2.24674e-05
80 *268:118 *286:10 6.59281e-06
81 *268:118 *286:18 6.29275e-05
*RES
1 *5633:Z *286:5 6.39
2 *286:5 *286:10 5.76
3 *286:10 *286:14 5.85
4 *286:14 *286:18 8.1
5 *286:18 *286:23 9
6 *286:23 *286:25 0.99
7 *286:25 *286:27 0.99
8 *286:27 *5379:CLK 6.3
9 *286:27 *5355:CLK 4.5
10 *286:25 *1000:I 4.5
11 *286:23 *286:47 1.8
12 *286:47 *5357:CLK 4.5
13 *286:47 *286:53 2.25
14 *286:53 *286:57 2.16
15 *286:57 *286:59 4.5
16 *286:59 *5300:CLK 13.32
17 *286:59 *286:69 3.33
18 *286:69 *976:I 9.99
19 *286:69 *286:78 0.99
20 *286:78 *286:80 4.5
21 *286:80 *5359:CLK 5.85
22 *286:80 *5361:CLK 7.11
23 *286:78 *286:93 2.61
24 *286:93 *5363:CLK 9
25 *286:93 *286:100 3.15
26 *286:100 *286:103 5.49
27 *286:103 *1004:I 6.03
28 *286:103 *286:111 0.63
29 *286:111 *897:I 4.5
30 *286:111 *1005:I 15.3
31 *286:100 *5144:CLK 11.34
32 *286:57 *5356:CLK 4.5
33 *286:53 *1001:I 4.5
34 *286:18 *1013:I 9
35 *286:14 *1002:I 5.76
36 *286:10 *5369:CLK 9
37 *286:5 *286:163 6.39
38 *286:163 *1003:I 9.54
39 *286:163 *1008:I 12.42
*END
*D_NET *287 0.0112163
*CONN
*I *1009:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1006:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *924:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5371:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1007:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1012:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5377:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5365:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5367:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5196:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5634:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1009:I 0.000396208
2 *1006:I 0
3 *924:I 0.000510311
4 *5371:CLK 0
5 *1007:I 0.000144398
6 *1012:I 0
7 *5377:CLK 0
8 *5365:CLK 3.83255e-05
9 *5367:CLK 0.000871287
10 *5196:CLK 0.000398102
11 *5634:Z 0
12 *287:74 0.000789182
13 *287:59 0.000406786
14 *287:32 0.00120048
15 *287:23 0.00106388
16 *287:17 0.000519249
17 *287:13 0.00050379
18 *287:8 0.000733938
19 *287:6 0.000991736
20 *287:4 0.000996971
21 *1007:I *4857:A3 0.000160023
22 *5196:CLK *5365:D 9.5155e-05
23 *5365:CLK *5365:D 5.15117e-06
24 *5367:CLK *4858:A2 8.71575e-05
25 *5367:CLK *5365:D 0
26 *287:6 *4857:A3 3.76065e-05
27 *287:8 *4857:A3 1.2974e-05
28 *287:13 *4857:A3 3.14177e-05
29 *287:13 *4857:A4 3.20137e-05
30 *287:17 *4857:A4 0
31 *287:23 *5365:D 0.000127666
32 *287:59 *4857:A2 0
33 *287:59 *4857:A3 6.00123e-06
34 *287:59 *4857:A4 0.000151473
35 *287:74 *4857:A4 0.000420213
36 *1144:I *287:23 2.44668e-07
37 *4864:A3 *5367:CLK 0
38 *5634:I *287:13 0
39 *19:13 *924:I 0.000186644
40 *268:96 *5196:CLK 0.000201415
41 *268:96 *287:13 0
42 *268:96 *287:17 5.15453e-06
43 *268:96 *287:23 7.6801e-05
44 *268:99 *287:23 1.45344e-05
*RES
1 *5634:Z *287:4 4.5
2 *287:4 *287:6 9.27
3 *287:6 *287:8 0.63
4 *287:8 *287:13 7.02
5 *287:13 *287:17 1.08
6 *287:17 *287:23 11.88
7 *287:23 *5196:CLK 7.29
8 *287:23 *287:32 5.85
9 *287:32 *5367:CLK 14.76
10 *287:32 *5365:CLK 9.27
11 *287:17 *5377:CLK 4.5
12 *287:13 *1012:I 4.5
13 *287:8 *287:59 6.93
14 *287:59 *1007:I 6.3
15 *287:59 *5371:CLK 4.5
16 *287:6 *287:74 6.93
17 *287:74 *924:I 17.46
18 *287:74 *1006:I 4.5
19 *287:4 *1009:I 7.11
*END
*D_NET *288 0.00623002
*CONN
*I *5200:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5198:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5235:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5635:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5200:CLK 3.44723e-05
2 *5198:CLK 0.000230534
3 *5235:CLK 0.000266448
4 *5635:Z 0
5 *288:18 0.000671872
6 *288:5 0.000673314
7 *5198:CLK *452:7 0
8 *5235:CLK *5235:D 0
9 *288:18 *4981:A1 2.46602e-05
10 *4981:A2 *5198:CLK 0.000143901
11 *4981:A2 *5200:CLK 0
12 *4981:A2 *288:18 0.000711772
13 *4988:A2 *5198:CLK 0.000507556
14 *4988:A2 *288:18 0.000320456
15 *4991:A1 *288:18 9.30351e-05
16 *4991:A2 *288:18 0.000235083
17 *5198:D *5198:CLK 1.50581e-05
18 *5200:D *288:18 1.39683e-05
19 *138:13 *5235:CLK 0
20 *207:8 *5198:CLK 0.000125145
21 *268:89 *5235:CLK 0.000539039
22 *268:89 *288:18 0.00143999
23 *268:162 *5235:CLK 0.000183717
*RES
1 *5635:Z *288:5 9
2 *288:5 *5235:CLK 11.88
3 *288:5 *288:18 10.98
4 *288:18 *5198:CLK 7.11
5 *288:18 *5200:CLK 4.77
*END
*D_NET *289 0.0028436
*CONN
*I *5556:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5552:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5554:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5636:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5556:CLK 0
2 *5552:CLK 0
3 *5554:CLK 0.000166588
4 *5636:Z 0.000128682
5 *289:10 0.000915811
6 *289:5 0.000877905
7 *5554:CLK *4925:A2 0.000515598
8 *289:5 *4926:A3 0.000183717
9 *289:5 *5556:D 0
10 *289:10 *4926:A2 5.52957e-05
11 *5636:I *289:10 0
12 *268:47 *289:10 0
*RES
1 *5636:Z *289:5 5.67
2 *289:5 *289:10 14.85
3 *289:10 *5554:CLK 6.3
4 *289:10 *5552:CLK 4.5
5 *289:5 *5556:CLK 4.5
*END
*D_NET *290 0.0166088
*CONN
*I *1059:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *950:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5484:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1069:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5500:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1070:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *923:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5195:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5250:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5502:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5504:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5506:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1068:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1067:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5637:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1059:I 0.000162049
2 *950:I 0.000447835
3 *5484:CLK 0
4 *1069:I 0
5 *5500:CLK 0
6 *1070:I 0.000428948
7 *923:I 3.44423e-05
8 *5195:CLK 0.000149696
9 *5250:CLK 0.000967576
10 *5502:CLK 0
11 *5504:CLK 0
12 *5506:CLK 0.00019035
13 *1068:I 0.000210963
14 *1067:I 0.000637618
15 *5637:Z 0
16 *290:99 0.000558903
17 *290:97 0.000259752
18 *290:64 0.00129048
19 *290:51 0.000787936
20 *290:42 0.00150369
21 *290:40 0.000825952
22 *290:38 0.000326576
23 *290:36 0.00071343
24 *290:23 0.000719489
25 *290:18 0.000740519
26 *290:17 0.000554965
27 *290:4 0.000861826
28 *950:I *4885:A1 6.04199e-05
29 *1067:I *4938:A4 9.84971e-05
30 *1067:I *5490:CLK 9.29039e-05
31 *1068:I *4935:A3 5.97909e-06
32 *1070:I *4935:A2 3.66142e-05
33 *1070:I *4935:A3 3.76415e-05
34 *5195:CLK *4935:A3 0
35 *5250:CLK *5502:D 0.0001555
36 *5506:CLK *5506:D 2.92808e-05
37 *290:17 *4938:A4 1.7556e-05
38 *290:17 *5484:D 0
39 *290:17 *5490:CLK 0
40 *290:36 *4935:A3 0.000154737
41 *290:51 *5506:D 4.4562e-05
42 *290:51 *5671:I 4.13525e-05
43 *290:64 *5671:I 0
44 *290:97 *4935:A3 0.000644133
45 *290:99 *4935:A3 0.000498019
46 cout4 *290:51 8.20745e-05
47 *4885:A2 *950:I 0.000578579
48 *4998:A3 *950:I 0.000119228
49 *5195:D *950:I 0.000275283
50 *5195:D *290:18 5.06472e-05
51 *5195:D *290:23 0.0001603
52 *5488:CLK *1067:I 2.92808e-05
53 *5637:I *1059:I 0.000353238
54 *5637:I *1067:I 6.44314e-05
55 *5637:I *290:18 0.000461302
56 *5637:I *290:23 7.77658e-05
57 *5637:I *290:36 6.64876e-05
*RES
1 *5637:Z *290:4 4.5
2 *290:4 *1067:I 18.36
3 *290:4 *290:17 5.85
4 *290:17 *290:18 2.61
5 *290:18 *290:23 6.12
6 *290:23 *1068:I 6.12
7 *290:23 *290:36 7.38
8 *290:36 *290:38 1.17
9 *290:38 *290:40 0.45
10 *290:40 *290:42 3.33
11 *290:42 *290:51 8.82
12 *290:51 *5506:CLK 5.85
13 *290:51 *5504:CLK 4.5
14 *290:42 *290:64 6.57
15 *290:64 *5502:CLK 4.5
16 *290:64 *5250:CLK 19.89
17 *290:40 *5195:CLK 9.81
18 *290:38 *923:I 9.27
19 *290:36 *290:97 6.21
20 *290:97 *290:99 1.53
21 *290:99 *1070:I 7.38
22 *290:99 *5500:CLK 4.5
23 *290:97 *1069:I 4.5
24 *290:23 *5484:CLK 4.5
25 *290:18 *950:I 13.77
26 *290:17 *1059:I 11.07
*END
*D_NET *291 0.0185458
*CONN
*I *5233:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *926:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *941:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5199:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5550:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1093:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1091:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1089:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *944:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *945:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *942:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *943:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5546:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5542:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5241:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5237:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *932:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5211:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5239:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5243:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5638:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5233:CLK 0.00023757
2 *926:I 0
3 *941:I 0.000130871
4 *5199:CLK 0
5 *5550:CLK 0
6 *1093:I 3.44723e-05
7 *1091:I 0.000116491
8 *1089:I 0.000272471
9 *944:I 0.000371633
10 *945:I 0
11 *942:I 0.000614798
12 *943:I 0
13 *5546:CLK 0.000582002
14 *5542:CLK 0.000433309
15 *5241:CLK 9.45783e-05
16 *5237:CLK 0.00032444
17 *932:I 0
18 *5211:CLK 0
19 *5239:CLK 0.000205065
20 *5243:CLK 0
21 *5638:Z 0
22 *291:174 0.000416837
23 *291:158 0.000219152
24 *291:152 0.000218395
25 *291:119 0.000822232
26 *291:118 0.000415045
27 *291:114 0.000478768
28 *291:110 0.000483455
29 *291:104 7.52258e-05
30 *291:86 0.00077942
31 *291:67 0.00130699
32 *291:58 0.000666518
33 *291:34 0.000528418
34 *291:27 0.000517937
35 *291:26 0.000826073
36 *291:22 0.000850806
37 *291:20 0.00112531
38 *291:12 0.000858977
39 *291:5 0.00032188
40 *291:4 0.000293608
41 *942:I *4886:A2 0
42 *942:I *4887:A3 3.34162e-06
43 *942:I *4887:A4 4.7786e-05
44 *944:I *5546:D 1.61223e-05
45 *1089:I *5546:D 0
46 *5237:CLK *5237:D 0
47 *5239:CLK *5239:D 0
48 *5241:CLK *5241:D 9.66509e-06
49 *5546:CLK *4887:A2 2.19732e-05
50 *291:20 *4887:A1 0.001138
51 *291:20 *5243:D 0
52 *291:27 *5239:D 3.65921e-05
53 *291:34 *5239:D 5.97909e-06
54 *291:58 *4887:A3 6.68764e-05
55 *291:58 *5241:D 2.29827e-05
56 *291:86 *4887:A1 1.2987e-05
57 *291:86 *4887:A3 2.82959e-05
58 *291:118 *4925:A2 3.01487e-05
59 *1127:I *291:58 0
60 *4892:A3 *5239:CLK 0.00014291
61 *4893:A2 *942:I 0
62 *5615:I *5546:CLK 4.42556e-05
63 *5615:I *291:20 0
64 *5615:I *291:22 0
65 *5615:I *291:67 2.67801e-05
66 *264:73 *5241:CLK 0
67 *264:73 *5542:CLK 0.000724291
68 *268:5 *1089:I 7.10524e-05
69 *268:5 *291:114 0.000578579
70 *268:5 *291:118 0.000167595
71 *268:10 *1089:I 7.02166e-05
72 *268:47 *1091:I 3.00637e-05
73 *268:47 *291:119 1.02599e-05
74 *268:67 *941:I 9.84971e-05
75 *268:67 *291:114 0
76 *268:67 *291:152 8.06113e-05
77 *268:67 *291:158 0.00041678
78 *268:80 *941:I 2.03747e-05
*RES
1 *5638:Z *291:4 4.5
2 *291:4 *291:5 1.17
3 *291:5 *291:12 10.26
4 *291:12 *5243:CLK 4.5
5 *291:12 *291:20 10.44
6 *291:20 *291:22 1.53
7 *291:22 *291:26 6.39
8 *291:26 *291:27 1.53
9 *291:27 *291:34 11.52
10 *291:34 *5239:CLK 6.3
11 *291:34 *5211:CLK 4.5
12 *291:27 *932:I 4.5
13 *291:26 *5237:CLK 6.57
14 *291:22 *291:58 7.11
15 *291:58 *5241:CLK 5.13
16 *291:58 *291:67 6.21
17 *291:67 *5542:CLK 13.41
18 *291:67 *5546:CLK 12.96
19 *291:20 *291:86 5.67
20 *291:86 *943:I 4.5
21 *291:86 *942:I 8.28
22 *291:5 *291:104 0.45
23 *291:104 *945:I 4.5
24 *291:104 *291:110 0.27
25 *291:110 *291:114 2.88
26 *291:114 *291:118 5.67
27 *291:118 *291:119 1.17
28 *291:119 *944:I 12.06
29 *291:119 *1089:I 11.07
30 *291:118 *1091:I 9.99
31 *291:114 *1093:I 4.77
32 *291:110 *291:152 1.44
33 *291:152 *5550:CLK 4.5
34 *291:152 *291:158 2.61
35 *291:158 *5199:CLK 4.5
36 *291:158 *941:I 5.94
37 *291:4 *291:174 1.44
38 *291:174 *926:I 4.5
39 *291:174 *5233:CLK 6.48
*END
*D_NET *292 0.00870314
*CONN
*I *1086:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1087:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1090:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5544:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5532:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5530:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1085:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5526:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5639:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1086:I 0.000131203
2 *1087:I 0.00026027
3 *1090:I 0
4 *5544:CLK 0.000218241
5 *5532:CLK 0.000217229
6 *5530:CLK 0
7 *1085:I 0
8 *5526:CLK 0.000588166
9 *5639:Z 0
10 *292:42 0.000336703
11 *292:41 0.000440095
12 *292:25 0.000463882
13 *292:9 0.000793185
14 *292:8 0.000883879
15 *292:5 0.00049357
16 *292:4 0.000131203
17 *1086:I *4929:A1 0.000368602
18 *1087:I *4929:A1 6.05085e-05
19 *5526:CLK *4929:A4 0.000328044
20 *5532:CLK *4890:A2 0
21 *5532:CLK *5530:D 0
22 *5544:CLK *4929:A1 0
23 *292:8 *4929:A3 0
24 *292:8 *4929:A4 0
25 *292:8 *5530:D 0
26 *292:25 *4890:A2 2.26873e-05
27 *292:42 *4929:A1 0
28 *264:6 *5526:CLK 6.76671e-05
29 *264:73 *1087:I 0.000125045
30 *264:73 *5526:CLK 7.37907e-05
31 *264:73 *5544:CLK 5.53562e-05
32 *264:73 *292:42 3.66071e-05
33 *268:12 *5544:CLK 0
34 *268:12 *292:8 0.00113813
35 *268:12 *292:41 0.000312634
36 *268:20 *5532:CLK 0.000504873
37 *268:20 *292:8 0.000619638
38 *268:20 *292:25 3.19374e-05
*RES
1 *5639:Z *292:4 4.5
2 *292:4 *292:5 4.5
3 *292:5 *292:8 9.99
4 *292:8 *292:9 1.17
5 *292:9 *5526:CLK 18.81
6 *292:9 *1085:I 4.5
7 *292:8 *292:25 1.8
8 *292:25 *5530:CLK 4.5
9 *292:25 *5532:CLK 6.66
10 *292:5 *292:41 5.31
11 *292:41 *292:42 0.81
12 *292:42 *5544:CLK 15.3
13 *292:42 *1090:I 4.5
14 *292:41 *1087:I 7.2
15 *292:4 *1086:I 6.12
*END
*D_NET *293 0.0048293
*CONN
*I *5538:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5528:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5330:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5536:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5534:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5326:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5640:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5538:CLK 0.000433239
2 *5528:CLK 0.000279339
3 *5330:CLK 0
4 *5536:CLK 0
5 *5534:CLK 0.00020434
6 *5326:CLK 0.000176052
7 *5640:Z 0
8 *293:37 0.000496035
9 *293:34 0.000837918
10 *293:12 0.000663252
11 *293:7 0.000810759
12 *293:4 0.000715883
13 *293:7 *4872:A2 0
14 *293:7 *305:112 0
15 *120:11 *5326:CLK 0.000122709
16 *120:11 *5534:CLK 3.0582e-05
17 *120:11 *293:12 5.9195e-05
*RES
1 *5640:Z *293:4 4.5
2 *293:4 *293:7 3.6
3 *293:7 *293:12 6.48
4 *293:12 *5326:CLK 10.35
5 *293:12 *5534:CLK 10.71
6 *293:7 *5536:CLK 4.5
7 *293:4 *293:34 5.67
8 *293:34 *293:37 5.67
9 *293:37 *5330:CLK 4.5
10 *293:37 *5528:CLK 6.3
11 *293:34 *5538:CLK 12.51
*END
*D_NET *294 0.0236819
*CONN
*I *1076:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1034:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1084:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1094:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1083:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5515:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5558:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5522:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5524:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *984:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5312:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5431:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1074:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1075:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5514:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1088:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5540:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5513:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5641:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1076:I 0
2 *1034:I 0.00018573
3 *1084:I 7.71622e-05
4 *1094:I 0
5 *1083:I 0.000160399
6 *5515:CLK 2.88089e-05
7 *5558:CLK 0.000216018
8 *5522:CLK 0
9 *5524:CLK 0.000254991
10 *984:I 0
11 *5312:CLK 0.000572123
12 *5431:CLK 0
13 *1074:I 0
14 *1075:I 0.000613286
15 *5514:CLK 0.000279952
16 *1088:I 8.04353e-05
17 *5540:CLK 0.000412566
18 *5513:CLK 0.000126626
19 *5641:Z 0
20 *294:143 0.000308964
21 *294:130 0.000701344
22 *294:118 0.000974308
23 *294:115 0.000353748
24 *294:113 0.000385591
25 *294:97 0.000812012
26 *294:94 0.000901995
27 *294:87 0.00120647
28 *294:80 0.00138943
29 *294:77 0.0017688
30 *294:65 0.00158036
31 *294:34 0.000478495
32 *294:14 0.000859432
33 *294:8 0.000583129
34 *294:7 0.000758068
35 *294:5 0.000443238
36 *294:4 0.000977158
37 *1034:I *5427:D 0
38 *1075:I *5050:A1 0
39 *1075:I *543:9 0
40 *1075:I *554:7 0
41 *1083:I *4928:A1 1.0743e-05
42 *5312:CLK *4867:A1 0.000692536
43 *5312:CLK *4867:A2 6.76671e-05
44 *5514:CLK *4930:A1 0
45 *5540:CLK *4930:A3 0.000192368
46 *5558:CLK *5522:D 0
47 *294:5 *5052:A1 2.13109e-05
48 *294:5 *554:11 0
49 *294:65 *5044:A1 4.65623e-05
50 *294:65 *5052:A1 0.000240635
51 *294:65 *563:9 6.06463e-05
52 *294:65 *563:15 0.000176146
53 *294:77 *4853:A1 1.0743e-05
54 *294:77 *4853:A4 0
55 *294:77 *5044:A1 1.19803e-05
56 *294:77 *5433:D 0
57 *294:77 *564:10 0.00020974
58 *294:80 *1033:I 0
59 *294:80 *5427:D 0
60 *294:87 *5429:CLK 0
61 *294:87 *5431:D 6.12182e-05
62 *294:113 *4928:A1 5.32393e-05
63 *4931:A1 *5513:CLK 0.00058663
64 *4931:A1 *5540:CLK 0.000400999
65 *5049:A2 *294:5 1.59607e-05
66 *5050:A2 *1075:I 0
67 *5051:A1 *1075:I 8.94812e-05
68 *5051:A1 *294:8 9.78499e-05
69 *5513:D *1075:I 0.000138855
70 *5513:D *5513:CLK 0
71 *5513:D *294:8 0.000263094
72 *5513:D *294:14 0.000324236
73 *5514:D *5514:CLK 3.66071e-05
74 *5614:I *5312:CLK 0
75 *5640:I *5514:CLK 0
76 *5652:I *294:118 0.000101647
77 *5652:I *294:130 0
78 *250:8 *1075:I 0.000273388
79 *264:56 *5312:CLK 0
80 *267:203 *1084:I 0
81 *267:203 *294:87 0
82 *267:203 *294:143 9.39288e-05
83 *267:205 *294:118 0.00141381
84 *267:205 *294:143 0.000343943
85 *267:220 *1083:I 6.48146e-05
86 *267:220 *5515:CLK 9.04462e-05
87 *267:226 *5513:CLK 0
88 *267:226 *5514:CLK 0
89 *267:226 *5540:CLK 0
90 *267:226 *294:34 0
*RES
1 *5641:Z *294:4 4.5
2 *294:4 *294:5 2.79
3 *294:5 *294:7 4.5
4 *294:7 *294:8 2.16
5 *294:8 *294:14 7.83
6 *294:14 *5513:CLK 6.03
7 *294:14 *5540:CLK 17.46
8 *294:8 *294:34 5.13
9 *294:34 *1088:I 5.13
10 *294:34 *5514:CLK 6.48
11 *294:7 *1075:I 14.22
12 *294:5 *1074:I 4.5
13 *294:4 *294:65 4.68
14 *294:65 *294:77 11.79
15 *294:77 *294:80 8.73
16 *294:80 *5431:CLK 4.5
17 *294:80 *294:87 5.85
18 *294:87 *294:94 11.07
19 *294:94 *294:97 1.62
20 *294:97 *5312:CLK 19.08
21 *294:97 *984:I 4.5
22 *294:94 *294:113 2.61
23 *294:113 *294:115 4.5
24 *294:115 *294:118 8.19
25 *294:118 *5524:CLK 6.03
26 *294:118 *294:130 12.24
27 *294:130 *5522:CLK 4.5
28 *294:130 *5558:CLK 5.85
29 *294:115 *294:143 5.67
30 *294:143 *5515:CLK 4.77
31 *294:143 *1083:I 5.76
32 *294:113 *1094:I 4.5
33 *294:87 *1084:I 5.22
34 *294:77 *1034:I 10.17
35 *294:65 *1076:I 4.5
*END
*D_NET *295 0.00684952
*CONN
*I *1081:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5465:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1050:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5520:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1082:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1092:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5521:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5548:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5642:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1081:I 0.00015069
2 *5465:CLK 9.76714e-05
3 *1050:I 0.000183989
4 *5520:CLK 0.000133112
5 *1082:I 0
6 *1092:I 0
7 *5521:CLK 0.000201867
8 *5548:CLK 0.000622872
9 *5642:Z 4.09662e-05
10 *295:50 0.000479485
11 *295:49 0.000627506
12 *295:14 0.000891497
13 *295:12 0.000336229
14 *295:10 0.000692167
15 *295:8 0.00113959
16 *295:7 0.000903737
17 *1050:I *5046:A1 0
18 *1081:I *568:7 2.03747e-05
19 *5521:CLK *4925:A1 3.9806e-05
20 *295:7 *568:7 1.19732e-05
21 *295:50 *5046:A1 0
22 *295:50 *568:7 2.64621e-05
23 *1154:I *5520:CLK 6.04199e-05
24 *4933:I *1050:I 4.82503e-05
25 *4933:I *295:49 0
26 *5069:A1 *295:8 0
27 *5069:A1 *295:49 0
28 *5069:B *295:8 0
29 *5465:D *1050:I 1.72041e-06
30 *5520:D *295:7 0
31 *165:20 *295:10 0
32 *168:5 *5520:CLK 0.000134204
33 *267:150 *5520:CLK 4.93203e-06
*RES
1 *5642:Z *295:7 9.27
2 *295:7 *295:8 3.87
3 *295:8 *295:10 2.79
4 *295:10 *295:12 2.25
5 *295:12 *295:14 0.45
6 *295:14 *5548:CLK 13.95
7 *295:14 *5521:CLK 10.35
8 *295:12 *1092:I 9
9 *295:10 *1082:I 9
10 *295:8 *5520:CLK 10.35
11 *295:7 *295:49 6.57
12 *295:49 *295:50 1.35
13 *295:50 *1050:I 5.76
14 *295:50 *5465:CLK 5.31
15 *295:49 *1081:I 5.49
*END
*D_NET *296 0.00571749
*CONN
*I *5519:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1080:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1079:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1042:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1043:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5449:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5447:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5518:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5643:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5519:CLK 3.6701e-05
2 *1080:I 0.000131431
3 *1079:I 0
4 *1042:I 0
5 *1043:I 7.46899e-05
6 *5449:CLK 6.32042e-05
7 *5447:CLK 0
8 *5518:CLK 0.000103417
9 *5643:Z 0
10 *296:54 0.000910125
11 *296:30 0.000523504
12 *296:29 0.000517056
13 *296:20 0.00055337
14 *296:9 0.000582795
15 *296:7 0.000531409
16 *296:4 0.00121595
17 *296:20 *5447:D 0.000473841
18 *5519:D *296:54 0
*RES
1 *5643:Z *296:4 4.5
2 *296:4 *296:7 3.24
3 *296:7 *296:9 0.45
4 *296:9 *5518:CLK 5.31
5 *296:9 *296:20 8.1
6 *296:20 *5447:CLK 9
7 *296:20 *296:29 5.49
8 *296:29 *296:30 2.43
9 *296:30 *5449:CLK 5.04
10 *296:30 *1043:I 5.13
11 *296:29 *1042:I 4.5
12 *296:7 *1079:I 4.5
13 *296:4 *296:54 4.77
14 *296:54 *1080:I 14.49
15 *296:54 *5519:CLK 4.77
*END
*D_NET *297 0.0151226
*CONN
*I *1040:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1036:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1038:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1041:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1078:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5445:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5443:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1077:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5517:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5516:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1035:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5433:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1032:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5427:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1027:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5417:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5437:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5439:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1037:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5435:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5644:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1040:I 0
2 *1036:I 8.84108e-05
3 *1038:I 0
4 *1041:I 0
5 *1078:I 0.000454341
6 *5445:CLK 0
7 *5443:CLK 0
8 *1077:I 0
9 *5517:CLK 0.000186601
10 *5516:CLK 0
11 *1035:I 0
12 *5433:CLK 4.04062e-05
13 *1032:I 0
14 *5427:CLK 0
15 *1027:I 0.000212306
16 *5417:CLK 0
17 *5437:CLK 0.000103417
18 *5439:CLK 1.72361e-05
19 *1037:I 0.000469331
20 *5435:CLK 0
21 *5644:Z 0.000135105
22 *297:150 0.000569459
23 *297:143 0.000636991
24 *297:138 0.00025936
25 *297:108 0.000315657
26 *297:104 0.000379511
27 *297:100 0.00064872
28 *297:95 0.000398264
29 *297:67 0.000701385
30 *297:57 0.00104449
31 *297:51 0.00063306
32 *297:49 0.000378707
33 *297:48 0.000330391
34 *297:44 0.000218636
35 *297:19 0.00066434
36 *297:17 0.000544635
37 *297:15 0.0006171
38 *297:14 0.000606307
39 *297:9 0.00117584
40 *297:5 0.000512136
41 *1027:I *4851:A2 3.32482e-05
42 *1027:I *5411:D 0.000109205
43 *1078:I *4846:A4 0.000105795
44 *1078:I *5445:D 0
45 *1078:I *566:9 0.0001367
46 *297:9 *4846:A4 8.06113e-05
47 *297:15 *5445:D 7.7749e-07
48 *297:19 *5435:D 4.83731e-05
49 *297:57 *5435:D 0
50 *297:57 *5437:D 0.000256741
51 *297:67 *4851:A2 7.86228e-05
52 *297:104 *5443:D 0
53 *297:143 *4846:A4 0
54 *297:150 *4846:A3 0
55 *297:150 *4847:A2 0.000433841
56 *4850:A1 *1036:I 4.83668e-05
57 *4850:A1 *297:150 5.97909e-06
58 *5516:D *5517:CLK 2.92808e-05
59 *5644:I *297:17 0.000169529
60 *5644:I *297:44 0.000133259
61 *5644:I *297:48 3.54095e-05
62 *267:11 *5433:CLK 0
63 *267:11 *5517:CLK 0
64 *267:11 *297:49 0
65 *267:11 *297:108 0
66 *267:15 *297:57 0
67 *267:17 *297:57 0
68 *267:17 *297:67 0
69 *267:25 *297:67 0.000976903
70 *267:124 *297:48 9.78599e-05
*RES
1 *5644:Z *297:5 5.31
2 *297:5 *297:9 2.16
3 *297:9 *297:14 11.79
4 *297:14 *297:15 1.71
5 *297:15 *297:17 2.25
6 *297:17 *297:19 1.35
7 *297:19 *5435:CLK 4.5
8 *297:19 *1037:I 7.74
9 *297:17 *5439:CLK 4.77
10 *297:15 *297:44 5.85
11 *297:44 *297:48 5.49
12 *297:48 *297:49 1.17
13 *297:49 *297:51 1.17
14 *297:51 *297:57 3.33
15 *297:57 *5437:CLK 5.31
16 *297:57 *297:67 4.68
17 *297:67 *5417:CLK 4.5
18 *297:67 *1027:I 6.3
19 *297:51 *5427:CLK 4.5
20 *297:49 *1032:I 4.5
21 *297:48 *5433:CLK 4.95
22 *297:44 *297:95 4.5
23 *297:95 *1035:I 4.5
24 *297:95 *297:100 2.97
25 *297:100 *297:104 1.8
26 *297:104 *297:108 1.08
27 *297:108 *5516:CLK 4.5
28 *297:108 *5517:CLK 5.85
29 *297:104 *1077:I 4.5
30 *297:100 *5443:CLK 4.5
31 *297:14 *5445:CLK 4.5
32 *297:9 *1078:I 8.01
33 *297:5 *297:138 0.81
34 *297:138 *297:143 10.17
35 *297:143 *1041:I 4.5
36 *297:143 *297:150 3.6
37 *297:150 *1038:I 4.5
38 *297:150 *1036:I 5.31
39 *297:138 *1040:I 4.5
*END
*D_NET *298 0.00622985
*CONN
*I *1039:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5441:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1025:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5410:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1049:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5461:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1047:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5457:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5645:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1039:I 0.000103417
2 *5441:CLK 0
3 *1025:I 0
4 *5410:CLK 0.00032449
5 *1049:I 0.000103417
6 *5461:CLK 0
7 *1047:I 0
8 *5457:CLK 0.000155561
9 *5645:Z 0
10 *298:61 0.000658589
11 *298:45 0.00060684
12 *298:42 0.0012691
13 *298:16 0.000876657
14 *298:7 0.000755569
15 *298:5 0.000341939
16 *298:4 0.000635622
17 *5457:CLK *5457:D 0.000184375
18 *298:16 *5457:D 0
19 *4850:A3 *5410:CLK 4.49984e-05
20 *4855:A1 *5410:CLK 0.000137967
21 *4855:A2 *5410:CLK 2.17874e-05
22 *5354:D *5457:CLK 9.52821e-06
*RES
1 *5645:Z *298:4 4.5
2 *298:4 *298:5 1.35
3 *298:5 *298:7 0.27
4 *298:7 *298:16 9.36
5 *298:16 *5457:CLK 10.26
6 *298:16 *1047:I 9
7 *298:7 *5461:CLK 4.5
8 *298:5 *1049:I 5.31
9 *298:4 *298:42 2.79
10 *298:42 *298:45 6.75
11 *298:45 *5410:CLK 11.7
12 *298:45 *1025:I 9
13 *298:42 *298:61 3.51
14 *298:61 *5441:CLK 4.5
15 *298:61 *1039:I 5.31
*END
*D_NET *299 0.0134256
*CONN
*I *1026:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1048:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1046:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5354:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *999:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1044:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5459:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1031:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5425:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5411:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5451:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5455:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1045:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5453:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5646:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1026:I 0.000646472
2 *1048:I 0
3 *1046:I 0
4 *5354:CLK 0.000188366
5 *999:I 0.000188548
6 *1044:I 0.00014806
7 *5459:CLK 0.000190438
8 *1031:I 0
9 *5425:CLK 0
10 *5411:CLK 0.00029183
11 *5451:CLK 0
12 *5455:CLK 8.04193e-05
13 *1045:I 0.000180904
14 *5453:CLK 0.000615092
15 *5646:Z 0
16 *299:114 0.000198746
17 *299:101 0.000580573
18 *299:100 0.000519287
19 *299:89 0.00044009
20 *299:63 0.000559994
21 *299:57 0.000299223
22 *299:55 0.000423766
23 *299:33 0.00035581
24 *299:23 0.0010952
25 *299:19 0.000407608
26 *299:17 0.000751042
27 *299:11 0.000905993
28 *299:4 0.000871289
29 *999:I *4848:A2 3.5516e-05
30 *1026:I *4849:A2 0
31 *1044:I *4848:A4 9.84971e-05
32 *1045:I *4848:A3 1.47961e-05
33 *5354:CLK *4848:A3 2.33247e-06
34 *5411:CLK *5459:D 9.9068e-05
35 *5453:CLK *5453:D 5.15117e-06
36 *5455:CLK *4848:A3 0.000135527
37 *5459:CLK *5459:D 0
38 *299:17 *5451:D 0.000473841
39 *299:19 *5451:D 4.55234e-05
40 *299:23 *4848:A3 3.01487e-05
41 *299:23 *5451:D 1.0415e-05
42 *299:33 *4848:A3 0.000239735
43 *299:55 *5451:D 0.000192268
44 *299:63 *5459:D 6.41298e-05
45 *299:100 *4848:A4 0.000531329
46 *299:101 *4848:A1 0
47 *299:101 *4848:A2 6.29811e-05
48 *299:114 *4848:A2 0.000458431
49 *299:114 *4848:A3 0.000458431
50 *1158:I *5411:CLK 0.000169529
51 *1158:I *299:55 0
52 *1158:I *299:63 0.000113823
53 *5354:D *5354:CLK 0
54 *5645:I *1026:I 3.35913e-06
55 *5646:I *1026:I 2.34144e-05
56 *267:25 *5411:CLK 0.000209752
57 *267:63 *1026:I 8.81312e-06
*RES
1 *5646:Z *299:4 4.5
2 *299:4 *299:11 6.03
3 *299:11 *299:17 8.64
4 *299:17 *299:19 0.36
5 *299:19 *299:23 6.93
6 *299:23 *5453:CLK 13.68
7 *299:23 *299:33 0.81
8 *299:33 *1045:I 10.17
9 *299:33 *5455:CLK 9.72
10 *299:19 *5451:CLK 4.5
11 *299:17 *299:55 1.98
12 *299:55 *299:57 0.27
13 *299:57 *299:63 2.25
14 *299:63 *5411:CLK 7.38
15 *299:63 *5425:CLK 4.5
16 *299:57 *1031:I 4.5
17 *299:55 *5459:CLK 5.94
18 *299:11 *299:89 0.81
19 *299:89 *1044:I 10.17
20 *299:89 *299:100 6.03
21 *299:100 *299:101 2.61
22 *299:101 *999:I 5.94
23 *299:101 *299:114 10.17
24 *299:114 *5354:CLK 6.03
25 *299:114 *1046:I 4.5
26 *299:100 *1048:I 4.5
27 *299:4 *1026:I 8.46
*END
*D_NET *300 0.00400174
*CONN
*I *5415:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5413:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5463:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5647:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5415:CLK 2.87269e-05
2 *5413:CLK 0.000665341
3 *5463:CLK 0
4 *5647:Z 0.000189896
5 *300:14 0.00156274
6 *300:5 0.00111602
7 *5413:CLK *977:I 0.000136624
8 *5413:CLK *5463:D 2.64621e-05
9 *5415:CLK *4851:A1 0
10 *300:5 *4851:A3 1.59607e-05
11 *300:5 *5417:D 0
12 *300:14 *4851:A3 9.15055e-05
13 *300:14 *4851:A4 9.73295e-05
14 *300:14 *5415:D 7.11356e-05
*RES
1 *5647:Z *300:5 5.67
2 *300:5 *300:14 16.65
3 *300:14 *5463:CLK 4.5
4 *300:14 *5413:CLK 18.9
5 *300:5 *5415:CLK 4.77
*END
*D_NET *301 0.0166678
*CONN
*I *971:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *969:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5492:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1060:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5486:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5490:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5288:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1062:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1063:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5290:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *951:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5251:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5286:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *970:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5496:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1065:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5648:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *971:I 0.000412003
2 *969:I 0
3 *5492:CLK 3.44723e-05
4 *1060:I 0
5 *5486:CLK 0
6 *5490:CLK 0.000668092
7 *5288:CLK 0
8 *1062:I 8.93275e-05
9 *1063:I 9.07489e-05
10 *5290:CLK 0.000199202
11 *951:I 0
12 *5251:CLK 0.000134801
13 *5286:CLK 0.000336322
14 *970:I 0.000382504
15 *5496:CLK 0
16 *1065:I 0.00019878
17 *5648:Z 0
18 *301:106 0.00145529
19 *301:101 0.0010187
20 *301:86 0.000160678
21 *301:84 0.000390233
22 *301:75 0.000324127
23 *301:73 0.000810943
24 *301:72 0.000630468
25 *301:51 0.000442468
26 *301:39 0.00104607
27 *301:37 0.000688348
28 *301:35 0.000256813
29 *301:32 0.000984444
30 *301:11 0.000478168
31 *301:7 0.000969084
32 *301:4 0.000709887
33 *970:I *4939:A4 1.71343e-05
34 *970:I *5496:D 0
35 *971:I *4876:A2 0.000104119
36 *971:I *4876:A4 3.46319e-05
37 *1062:I *4938:A4 0
38 *5290:CLK *4876:A4 0.000297564
39 *5490:CLK *4938:A4 0.000104002
40 *5490:CLK *5490:D 0
41 *301:7 *4876:A1 0.000233274
42 *301:7 *5288:D 0
43 *301:11 *4876:A1 9.68694e-06
44 *301:11 *5288:D 0
45 *301:32 *4876:A1 0.000332045
46 *301:32 *5288:D 0
47 *301:35 *4876:A3 0.000386184
48 *301:37 *4876:A3 0.000172657
49 *301:39 *4876:A3 4.34878e-05
50 *301:51 *5286:D 0.000119218
51 *301:73 *5288:D 0.000357009
52 *301:75 *4938:A1 0
53 *301:75 *5288:D 0.000172313
54 *301:84 *5288:D 0.000174537
55 *301:84 *5492:D 9.77079e-06
56 *301:86 *5492:D 3.58199e-06
57 *301:101 *4938:A1 4.85653e-05
58 *301:101 *4938:A3 0
59 *301:106 *4938:A4 0
60 *1061:I *301:106 0
61 *1067:I *5490:CLK 9.29039e-05
62 *1160:I *970:I 8.32448e-05
63 *1160:I *301:11 1.64456e-05
64 *4883:A1 *971:I 0.000196487
65 *4883:A1 *301:32 3.9806e-05
66 *4883:A3 *971:I 0.00014228
67 *4940:A2 *301:101 6.77097e-05
68 *4940:A2 *301:106 4.50088e-05
69 *5251:D *5251:CLK 0
70 *5648:I *301:73 6.05306e-05
71 *1:15 *971:I 0
72 *129:9 *971:I 1.28636e-05
73 *264:27 *301:11 0
74 *265:154 *1062:I 0.000212202
75 *265:154 *301:73 5.99413e-06
76 *265:154 *301:75 4.06274e-05
77 *265:154 *301:86 0.00011994
78 *290:17 *5490:CLK 0
*RES
1 *5648:Z *301:4 4.5
2 *301:4 *301:7 6.93
3 *301:7 *301:11 6.84
4 *301:11 *1065:I 6.3
5 *301:11 *5496:CLK 4.5
6 *301:7 *970:I 11.79
7 *301:4 *301:32 3.51
8 *301:32 *301:35 6.75
9 *301:35 *301:37 0.99
10 *301:37 *301:39 2.97
11 *301:39 *5286:CLK 11.16
12 *301:39 *301:51 6.75
13 *301:51 *5251:CLK 5.58
14 *301:51 *951:I 4.5
15 *301:37 *5290:CLK 10.53
16 *301:35 *301:72 4.5
17 *301:72 *301:73 4.95
18 *301:73 *301:75 1.35
19 *301:75 *1063:I 5.67
20 *301:75 *301:84 5.31
21 *301:84 *301:86 0.81
22 *301:86 *1062:I 10.17
23 *301:86 *5288:CLK 9
24 *301:84 *301:101 6.75
25 *301:101 *301:106 10.26
26 *301:106 *5490:CLK 13.68
27 *301:106 *5486:CLK 9
28 *301:101 *1060:I 4.5
29 *301:73 *5492:CLK 4.77
30 *301:72 *969:I 4.5
31 *301:32 *971:I 17.28
*END
*D_NET *302 0.0103485
*CONN
*I *5423:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5421:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1028:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5419:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *978:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1029:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5302:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5303:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1030:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *947:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *979:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5247:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5649:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5423:CLK 0
2 *5421:CLK 0
3 *1028:I 0.000721718
4 *5419:CLK 0.000211148
5 *978:I 0
6 *1029:I 0
7 *5302:CLK 0.000216018
8 *5303:CLK 0.000589892
9 *1030:I 0
10 *947:I 0.000428838
11 *979:I 0.00032274
12 *5247:CLK 4.67646e-05
13 *5649:Z 0.000106734
14 *302:54 0.00143492
15 *302:50 0.000726019
16 *302:48 0.000185341
17 *302:46 0.000468636
18 *302:45 0.000890875
19 *302:43 0.000429528
20 *302:14 0.000558483
21 *302:13 0.00106788
22 *302:9 0.00102323
23 *302:5 0.000250368
24 *979:I *4875:A1 0
25 *1028:I *4852:A3 4.62238e-05
26 *302:50 *386:8 0
27 *302:54 *386:8 0
28 *5004:A2 *5302:CLK 0
29 *5004:A2 *5303:CLK 0
30 *5247:D *979:I 0
31 *5247:D *5247:CLK 0.000247435
32 *5247:D *302:14 0.000247445
33 *5303:D *5303:CLK 2.39675e-05
34 *5649:I *1028:I 0.000104305
35 *121:19 *5303:CLK 0
36 *121:19 *302:54 0
37 *121:23 *5303:CLK 0
*RES
1 *5649:Z *302:5 5.67
2 *302:5 *302:9 1.26
3 *302:9 *302:13 8.19
4 *302:13 *302:14 1.71
5 *302:14 *5247:CLK 9.63
6 *302:14 *979:I 11.25
7 *302:13 *947:I 12.33
8 *302:9 *1030:I 4.5
9 *302:9 *302:43 3.06
10 *302:43 *302:45 4.5
11 *302:45 *302:46 1.35
12 *302:46 *302:48 0.63
13 *302:48 *302:50 0.81
14 *302:50 *302:54 8.73
15 *302:54 *5303:CLK 8.37
16 *302:54 *5302:CLK 5.85
17 *302:50 *1029:I 9
18 *302:48 *978:I 9
19 *302:46 *5419:CLK 10.35
20 *302:45 *1028:I 14.67
21 *302:43 *5421:CLK 4.5
22 *302:5 *5423:CLK 4.5
*END
*D_NET *303 0.0139103
*CONN
*I *5322:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *989:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *986:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *915:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5316:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5179:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5177:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *900:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5146:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *914:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5650:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5322:CLK 0.000160315
2 *989:I 0.000413836
3 *986:I 0.00050921
4 *915:I 0
5 *5316:CLK 0.000667044
6 *5179:CLK 0
7 *5177:CLK 0
8 *900:I 0.000131431
9 *5146:CLK 6.94364e-05
10 *914:I 0.000544241
11 *5650:Z 0
12 *303:75 0.00105449
13 *303:68 0.000443248
14 *303:65 0.000968115
15 *303:49 0.000925762
16 *303:27 0.000790517
17 *303:21 0.00111265
18 *303:20 0.00106989
19 *303:15 0.00085121
20 *303:4 0.000611277
21 *914:I *4899:A3 0.00058663
22 *914:I *4899:A4 7.26099e-05
23 *986:I *4868:A1 0
24 *989:I *5304:CLK 3.62363e-05
25 *5316:CLK *4898:A1 0
26 *5316:CLK *5175:D 0.000853615
27 *5316:CLK *5175:CLK 0
28 *5316:CLK *309:5 0
29 *303:15 *4899:A3 0.000226516
30 *303:27 *5177:D 0
31 *303:49 *5175:D 0.000178802
32 *303:49 *5175:CLK 3.4594e-05
33 *303:65 *4899:A2 9.6383e-05
34 *303:65 *4899:A3 0.000153279
35 *4900:A2 *303:20 0.00134893
*RES
1 *5650:Z *303:4 4.5
2 *303:4 *914:I 18
3 *303:4 *303:15 0.63
4 *303:15 *303:20 12.51
5 *303:20 *303:21 3.33
6 *303:21 *303:27 3.87
7 *303:27 *5146:CLK 4.95
8 *303:27 *900:I 14.49
9 *303:21 *5177:CLK 4.5
10 *303:20 *303:49 2.16
11 *303:49 *5179:CLK 4.5
12 *303:49 *5316:CLK 9.9
13 *303:15 *303:65 3.78
14 *303:65 *303:68 6.93
15 *303:68 *915:I 9
16 *303:68 *303:75 0.99
17 *303:75 *986:I 12.15
18 *303:75 *989:I 11.7
19 *303:65 *5322:CLK 5.49
*END
*D_NET *304 0.0104223
*CONN
*I *5429:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5306:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1033:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *981:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *980:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *977:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5301:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *916:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5181:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5304:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5352:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *998:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5651:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5429:CLK 0.000412571
2 *5306:CLK 0
3 *1033:I 0.000435636
4 *981:I 0
5 *980:I 0
6 *977:I 0.000260508
7 *5301:CLK 5.96968e-05
8 *916:I 0
9 *5181:CLK 0
10 *5304:CLK 0.000381405
11 *5352:CLK 3.44723e-05
12 *998:I 3.44723e-05
13 *5651:Z 0.000318635
14 *304:92 0.000481993
15 *304:78 0.000545509
16 *304:39 0.000797434
17 *304:33 0.000540509
18 *304:29 0.0003137
19 *304:26 0.000656496
20 *304:23 0.000975208
21 *304:13 0.000579571
22 *304:11 0.000847408
23 *304:7 0.000684831
24 *304:5 0.000388057
25 *1033:I *4853:A4 2.87921e-05
26 *1033:I *5427:D 0.00046357
27 *5304:CLK *4868:A1 0
28 *5304:CLK *5352:D 1.86543e-05
29 *304:5 *5463:D 7.24888e-05
30 *304:11 *5306:D 0.000247867
31 *304:33 *5181:D 0
32 *304:33 *5352:D 0
33 *849:I *977:I 0
34 *989:I *5304:CLK 3.62363e-05
35 *5413:CLK *977:I 0.000136624
36 *121:7 *304:11 4.62238e-05
37 *121:7 *304:13 0.000264335
38 *121:7 *304:23 0.000266372
39 *121:60 *977:I 0
40 *121:60 *304:26 0
41 *267:93 *304:13 5.31325e-06
42 *267:98 *304:13 2.39675e-05
43 *267:98 *304:23 6.37161e-05
44 *267:98 *304:26 0
45 *294:80 *1033:I 0
46 *294:87 *5429:CLK 0
*RES
1 *5651:Z *304:5 6.57
2 *304:5 *304:7 4.5
3 *304:7 *304:11 9.72
4 *304:11 *304:13 2.61
5 *304:13 *998:I 4.77
6 *304:13 *304:23 7.11
7 *304:23 *304:26 7.65
8 *304:26 *304:29 1.08
9 *304:29 *304:33 1.26
10 *304:33 *304:39 11.52
11 *304:39 *5352:CLK 4.77
12 *304:39 *5304:CLK 7.11
13 *304:33 *5181:CLK 4.5
14 *304:29 *916:I 4.5
15 *304:26 *5301:CLK 4.95
16 *304:23 *977:I 11.25
17 *304:11 *980:I 4.5
18 *304:7 *304:78 0.81
19 *304:78 *981:I 9
20 *304:78 *1033:I 13.23
21 *304:5 *304:92 0.45
22 *304:92 *5306:CLK 4.5
23 *304:92 *5429:CLK 7.11
*END
*D_NET *305 0.0187552
*CONN
*I *990:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *991:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5328:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5324:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5308:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *985:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *982:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *983:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5314:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5310:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *988:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *997:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5320:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5348:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5652:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *990:I 0
2 *991:I 0
3 *5328:CLK 0
4 *5324:CLK 0.000591143
5 *5308:CLK 0
6 *985:I 0.000103417
7 *982:I 0
8 *983:I 0.000410707
9 *5314:CLK 4.52247e-05
10 *5310:CLK 0.000178969
11 *988:I 0
12 *997:I 0
13 *5320:CLK 0.00027737
14 *5348:CLK 0.000180748
15 *5652:Z 8.28886e-05
16 *305:117 0.00143519
17 *305:112 0.00142457
18 *305:108 0.000801889
19 *305:91 0.000293635
20 *305:79 0.000995577
21 *305:70 0.000745503
22 *305:51 0.00041293
23 *305:47 0.000681106
24 *305:43 0.000316965
25 *305:18 0.0010979
26 *305:13 0.0010195
27 *305:8 0.000802778
28 *305:6 0.000551869
29 *983:I *4867:A3 0.000179925
30 *5310:CLK *4868:A2 4.25933e-05
31 *5314:CLK *5308:D 3.07804e-06
32 *5314:CLK *5314:D 0
33 *5320:CLK *4869:A1 5.97909e-06
34 *5324:CLK *4870:A1 0
35 *5348:CLK *4869:A2 0.000283368
36 *5348:CLK *5348:D 0.000381168
37 *305:6 *5326:D 4.31463e-05
38 *305:8 *4872:A4 0
39 *305:8 *5326:D 5.62005e-05
40 *305:18 *4869:A1 6.77774e-05
41 *305:18 *4869:A2 0.000100177
42 *305:47 *5308:D 1.59977e-05
43 *305:51 *4868:A2 7.52007e-05
44 *305:70 *5308:D 3.07804e-06
45 *305:79 *4867:A4 0.000593958
46 *305:79 *5314:D 0.000350434
47 *305:91 *4867:A4 0.000434831
48 *305:91 *5314:D 2.70408e-05
49 *305:108 *5326:D 1.04678e-05
50 *305:112 *4872:A2 0.000845564
51 *305:112 *4872:A3 0.000569141
52 *305:112 *4872:A4 7.15045e-05
53 *305:112 *5326:D 0
54 *305:117 *994:I 0
55 *4873:A1 *5320:CLK 6.87159e-06
56 *4873:A1 *5324:CLK 0.000984954
57 *4873:A3 *5324:CLK 0.000185808
58 *4874:A2 *983:I 0
59 *4874:A2 *305:91 3.07804e-06
60 *5652:I *305:6 0.000329747
61 *5652:I *305:8 0.000109348
62 *120:11 *983:I 4.04953e-06
63 *264:56 *305:13 9.37397e-05
64 *264:56 *305:18 7.86228e-05
65 *264:56 *305:43 0.000144937
66 *264:56 *305:47 0.000110682
67 *264:56 *305:51 9.29039e-05
68 *293:7 *305:112 0
*RES
1 *5652:Z *305:6 9.99
2 *305:6 *305:8 2.25
3 *305:8 *305:13 7.2
4 *305:13 *305:18 9.54
5 *305:18 *5348:CLK 11.52
6 *305:18 *5320:CLK 10.8
7 *305:13 *997:I 4.5
8 *305:8 *305:43 6.03
9 *305:43 *305:47 1.35
10 *305:47 *305:51 2.25
11 *305:51 *988:I 4.5
12 *305:51 *5310:CLK 5.76
13 *305:47 *305:70 6.48
14 *305:70 *5314:CLK 9.45
15 *305:70 *305:79 4.23
16 *305:79 *983:I 11.97
17 *305:79 *305:91 6.3
18 *305:91 *982:I 4.5
19 *305:91 *985:I 5.31
20 *305:43 *5308:CLK 4.5
21 *305:6 *305:108 5.85
22 *305:108 *305:112 5.58
23 *305:112 *305:117 14.49
24 *305:117 *5324:CLK 10.17
25 *305:117 *5328:CLK 4.5
26 *305:112 *991:I 4.5
27 *305:108 *990:I 4.5
*END
*D_NET *306 0.00394942
*CONN
*I *5342:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5350:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5346:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5344:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5653:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5342:CLK 0
2 *5350:CLK 0.000408591
3 *5346:CLK 0.000216032
4 *5344:CLK 0.000462619
5 *5653:Z 0.000297816
6 *306:28 0.000780451
7 *306:17 0.000771435
8 *306:8 0.000943978
9 *5344:CLK *996:I 6.05306e-05
10 *5344:CLK *5342:D 0
11 *5344:CLK *5346:D 0
12 *5346:CLK *5346:D 0
13 *306:8 *4870:A4 0
14 *306:17 *5346:D 0
15 *5656:I *5344:CLK 7.96988e-06
16 *5656:I *5346:CLK 0
17 *5656:I *5350:CLK 0
18 *5656:I *306:17 0
19 *265:197 *306:28 0
20 *265:209 *5344:CLK 0
21 *265:229 *306:28 0
*RES
1 *5653:Z *306:8 15.57
2 *306:8 *5344:CLK 7.74
3 *306:8 *306:17 1.17
4 *306:17 *5346:CLK 5.85
5 *306:17 *306:28 11.79
6 *306:28 *5350:CLK 7.11
7 *306:28 *5342:CLK 4.5
*END
*D_NET *307 0.0128546
*CONN
*I *907:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *904:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5157:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *910:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5151:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5163:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5171:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *911:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *913:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5318:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *987:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5165:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5654:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *907:I 1.72361e-05
2 *904:I 0
3 *5157:CLK 0.000230184
4 *910:I 0.000352977
5 *5151:CLK 0.00041752
6 *5163:CLK 0.000410226
7 *5171:CLK 2.50636e-05
8 *911:I 0
9 *913:I 0.000458924
10 *5318:CLK 5.61256e-05
11 *987:I 0
12 *5165:CLK 0
13 *5654:Z 1.72361e-05
14 *307:66 0.000966432
15 *307:65 0.000861818
16 *307:62 0.00109366
17 *307:58 0.00069339
18 *307:55 0.000433342
19 *307:27 0.000646496
20 *307:20 0.000517168
21 *307:17 0.000626804
22 *307:9 0.00042735
23 *307:7 0.000384212
24 *307:5 0.000406149
25 *910:I *4901:A1 0.000202727
26 *910:I *4901:A2 6.05085e-05
27 *910:I *4901:A4 0
28 *913:I *4898:A2 0.000141277
29 *913:I *5318:D 0.000223428
30 *5157:CLK *5151:D 1.86543e-05
31 *5163:CLK *908:I 0.001003
32 *5163:CLK *4901:A4 3.01487e-05
33 *5163:CLK *5159:D 7.05008e-05
34 *5163:CLK *308:38 0.000156548
35 *5318:CLK *4898:A2 3.10856e-05
36 *307:7 *5165:D 5.31325e-06
37 *307:9 *5165:D 2.92808e-05
38 *307:9 *5171:D 0
39 *307:17 *5171:D 7.96988e-06
40 *307:58 *4902:A1 0
41 *307:62 *4902:A1 0
42 *307:62 *4902:A2 0
43 *307:66 *908:I 7.28986e-05
44 *307:66 *4901:A3 0.000195068
45 *4903:A1 *910:I 0.00013595
46 *4903:A1 *307:66 1.18032e-05
47 *4903:A2 *910:I 0
48 *4903:A2 *307:65 0
49 *5656:I *307:17 0
50 *5659:I *5163:CLK 9.59492e-06
51 *265:6 *5163:CLK 3.32459e-05
52 *265:18 *5163:CLK 0.00038759
53 *265:20 *5163:CLK 0.000641569
54 *265:178 *910:I 2.33089e-05
55 *265:178 *5163:CLK 0.000129368
56 *265:178 *307:62 0
57 *265:178 *307:65 3.10936e-05
58 *265:178 *307:66 0.000160384
59 *265:194 *5157:CLK 0
60 *265:194 *307:65 0
*RES
1 *5654:Z *307:5 4.77
2 *307:5 *307:7 1.17
3 *307:7 *307:9 1.35
4 *307:9 *5165:CLK 4.5
5 *307:9 *307:17 1.62
6 *307:17 *307:20 7.47
7 *307:20 *987:I 9
8 *307:20 *307:27 0.99
9 *307:27 *5318:CLK 9.45
10 *307:27 *913:I 12.6
11 *307:17 *911:I 4.5
12 *307:7 *5171:CLK 4.95
13 *307:5 *307:55 1.35
14 *307:55 *307:58 6.03
15 *307:58 *307:62 7.83
16 *307:62 *307:65 6.75
17 *307:65 *307:66 2.25
18 *307:66 *5163:CLK 14.76
19 *307:66 *5151:CLK 11.43
20 *307:65 *910:I 12.15
21 *307:62 *5157:CLK 6.03
22 *307:58 *904:I 9
23 *307:55 *907:I 4.77
*END
*D_NET *308 0.0113843
*CONN
*I *5169:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *922:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5193:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *908:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5159:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5161:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *909:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *912:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5655:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5169:CLK 0
2 *922:I 0.000544734
3 *5193:CLK 0.000202555
4 *908:I 0.000166821
5 *5159:CLK 0
6 *5161:CLK 0.000819938
7 *909:I 0
8 *912:I 0.000101521
9 *5655:Z 7.11435e-05
10 *308:71 0.000759601
11 *308:38 0.00111314
12 *308:37 0.000876194
13 *308:25 0.000767103
14 *308:16 0.000566663
15 *308:12 0.000508144
16 *308:11 0.00047739
17 *908:I *4901:A3 0.000400942
18 *912:I *4896:A4 2.65663e-06
19 *922:I *5193:D 3.99668e-05
20 *5161:CLK *1066:I 0.000179695
21 *5161:CLK *4939:A1 1.47961e-05
22 *5161:CLK *5161:D 3.4594e-05
23 *5161:CLK *312:32 0.000302354
24 *5193:CLK *5193:D 1.86543e-05
25 *308:11 *5169:D 0
26 *308:25 *5163:D 0
27 *308:37 *5163:D 4.25712e-05
28 *308:71 *5169:D 0
29 *1124:I *5161:CLK 0
30 *1124:I *308:38 0
31 *4897:A3 *922:I 0
32 *4940:A3 *5161:CLK 0.00012653
33 *5163:CLK *908:I 0.001003
34 *5163:CLK *308:38 0.000156548
35 *5659:I *308:38 1.15035e-05
36 *265:18 *308:37 0.000357441
37 *265:20 *308:25 3.44353e-05
38 *265:20 *308:37 0.000799113
39 *265:33 *912:I 0.000119218
40 *265:33 *5193:CLK 0.000359853
41 *265:33 *308:11 0
42 *265:33 *308:16 6.98506e-05
43 *265:33 *308:25 6.34999e-05
44 *265:81 *5161:CLK 2.7415e-05
45 *265:81 *308:38 0.000171806
46 *307:66 *908:I 7.28986e-05
*RES
1 *5655:Z *308:11 9.63
2 *308:11 *308:12 1.35
3 *308:12 *308:16 5.31
4 *308:16 *912:I 5.31
5 *308:16 *308:25 2.52
6 *308:25 *909:I 4.5
7 *308:25 *308:37 8.73
8 *308:37 *308:38 2.79
9 *308:38 *5161:CLK 15.12
10 *308:38 *5159:CLK 9
11 *308:37 *908:I 11.79
12 *308:12 *5193:CLK 11.25
13 *308:11 *308:71 6.12
14 *308:71 *922:I 18
15 *308:71 *5169:CLK 4.5
*END
*D_NET *309 0.00550436
*CONN
*I *5173:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5147:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5167:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5175:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5656:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5173:CLK 0.000327976
2 *5147:CLK 0.000534208
3 *5167:CLK 0.000293649
4 *5175:CLK 0.000268041
5 *5656:Z 2.43374e-05
6 *309:18 0.00100163
7 *309:14 0.00116599
8 *309:5 0.000956617
9 *5167:CLK *5167:D 1.86543e-05
10 *5173:CLK *5167:D 0.000127779
11 *5175:CLK *4898:A1 0.000215377
12 *309:5 *4898:A1 6.8358e-05
13 *309:14 *4898:A1 0.000121456
14 *309:14 *4898:A4 0
15 *309:18 *4898:A4 0
16 *845:I *5147:CLK 1.19582e-05
17 *1168:I *5147:CLK 0
18 *4900:A1 *5175:CLK 6.64876e-05
19 *5147:D *5147:CLK 0
20 *5316:CLK *5175:CLK 0
21 *5316:CLK *309:5 0
22 *5656:I *5173:CLK 8.3791e-05
23 *147:9 *5167:CLK 0
24 *148:7 *5147:CLK 0
25 *198:13 *5147:CLK 0.000158795
26 *198:71 *5147:CLK 2.4656e-05
27 *303:49 *5175:CLK 3.4594e-05
*RES
1 *5656:Z *309:5 4.77
2 *309:5 *5175:CLK 6.75
3 *309:5 *309:14 9.27
4 *309:14 *309:18 5.67
5 *309:18 *5167:CLK 6.66
6 *309:18 *5147:CLK 8.01
7 *309:14 *5173:CLK 11.97
*END
*D_NET *310 0.0150774
*CONN
*I *921:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *902:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5145:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *899:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5148:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *901:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1121:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5191:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5149:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *920:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5150:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5609:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5189:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *903:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5657:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *921:I 0.000354338
2 *902:I 0
3 *5145:CLK 0
4 *899:I 0.00051947
5 *5148:CLK 0.000213088
6 *901:I 0
7 *1121:I 0
8 *5191:CLK 0.000475084
9 *5149:CLK 0
10 *920:I 0
11 *5150:CLK 0
12 *5609:CLK 0.000181312
13 *5189:CLK 0.000963437
14 *903:I 0
15 *5657:Z 0
16 *310:105 0.000614442
17 *310:78 0.000415674
18 *310:75 0.000844807
19 *310:56 0.000995868
20 *310:48 0.000648197
21 *310:42 0.000302531
22 *310:35 0.000356431
23 *310:24 0.00165084
24 *310:15 0.000867453
25 *310:10 0.000354615
26 *310:9 0.000366875
27 *310:5 0.000385292
28 *310:4 0.000575835
29 *921:I *4897:A1 0
30 *921:I *4897:A2 1.0743e-05
31 *921:I *4964:A1 6.16456e-05
32 *5189:CLK *1051:I 0.000373534
33 *5189:CLK *5471:CLK 0.00014291
34 *5191:CLK *4896:A1 0.000421498
35 *5191:CLK *4896:A2 0
36 *310:5 *4964:A1 0.000575905
37 *310:5 *482:20 0.000365492
38 *310:56 *4896:A1 0.000360224
39 *310:105 *4964:A1 0.000941387
40 *846:I *310:5 4.83651e-05
41 *4965:A1 *921:I 0.000180864
42 *4965:A3 *921:I 2.14859e-05
43 *4975:A2 *921:I 0
44 *4978:A1 *310:5 2.1289e-05
45 *5145:D *5148:CLK 2.86664e-05
46 *5145:D *310:78 4.83668e-05
47 *5149:D *310:56 2.56834e-05
48 *5150:D *310:24 0.000110547
49 *5609:D *5609:CLK 7.86148e-05
50 *5609:D *310:42 9.37477e-05
51 *5609:D *310:48 7.02166e-05
52 *5609:D *310:56 7.56244e-06
53 *148:7 *921:I 0
54 *148:12 *5148:CLK 3.07804e-06
55 *198:18 *899:I 0
56 *198:22 *899:I 0
57 *198:22 *310:10 0
58 *198:22 *310:75 0
59 *198:42 *899:I 0
*RES
1 *5657:Z *310:4 4.5
2 *310:4 *310:5 3.69
3 *310:5 *310:9 5.49
4 *310:9 *310:10 1.35
5 *310:10 *310:15 5.76
6 *310:15 *903:I 4.5
7 *310:15 *310:24 9.72
8 *310:24 *5189:CLK 17.1
9 *310:24 *310:35 4.5
10 *310:35 *5609:CLK 5.85
11 *310:35 *310:42 1.35
12 *310:42 *5150:CLK 4.5
13 *310:42 *310:48 0.99
14 *310:48 *920:I 4.5
15 *310:48 *310:56 4.5
16 *310:56 *5149:CLK 4.5
17 *310:56 *5191:CLK 8.64
18 *310:10 *1121:I 9
19 *310:9 *310:75 0.81
20 *310:75 *310:78 6.57
21 *310:78 *901:I 4.5
22 *310:78 *5148:CLK 6.66
23 *310:75 *899:I 13.23
24 *310:5 *5145:CLK 4.5
25 *310:4 *310:105 2.88
26 *310:105 *902:I 4.5
27 *310:105 *921:I 7.38
*END
*D_NET *311 0.0152386
*CONN
*I *5183:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1058:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *917:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5482:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *918:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *919:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1052:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1051:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5187:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5471:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5185:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1053:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5473:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5472:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5658:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5183:CLK 0
2 *1058:I 0.000159186
3 *917:I 0
4 *5482:CLK 0.000261144
5 *918:I 0
6 *919:I 0.000482847
7 *1052:I 0
8 *1051:I 0.000239589
9 *5187:CLK 0.000117995
10 *5471:CLK 0.000289854
11 *5185:CLK 0.000244642
12 *1053:I 0
13 *5473:CLK 0.000242625
14 *5472:CLK 6.83425e-05
15 *5658:Z 0
16 *311:118 0.000188193
17 *311:104 0.000424195
18 *311:100 0.000693182
19 *311:91 0.000988385
20 *311:66 0.000239589
21 *311:41 0.000472205
22 *311:26 0.00129065
23 *311:16 0.00131489
24 *311:14 0.000812772
25 *311:12 0.000316014
26 *311:10 0.00043689
27 *311:5 0.00104113
28 *311:4 0.000709851
29 *1051:I *5189:D 2.38055e-05
30 *1051:I *343:5 1.28827e-05
31 *5185:CLK *5038:A1 6.34999e-05
32 *5185:CLK *5185:D 0
33 *5187:CLK *5015:A2 3.01487e-05
34 *5187:CLK *5189:D 0
35 *5187:CLK *343:5 0.000499505
36 *5471:CLK *343:5 9.84971e-05
37 *5473:CLK *5038:A1 0
38 *311:5 *5187:D 8.91956e-05
39 *311:5 *5189:D 0.000480082
40 *311:10 *5189:D 0.000578588
41 *311:16 *343:10 0
42 *311:26 *5037:A1 0
43 *311:26 *344:5 1.59607e-05
44 *311:41 *5015:A1 2.87921e-05
45 *311:41 *5038:A1 0.000192081
46 *311:41 *344:5 3.07804e-06
47 *311:91 *5187:D 0
48 *311:100 *4896:A3 6.97466e-05
49 *311:100 *5183:D 2.4649e-05
50 *311:118 *5183:D 0
51 *858:I *311:26 2.4649e-05
52 *1169:I *919:I 3.09285e-06
53 *5015:A3 *311:26 0
54 *5037:A3 *311:26 7.51579e-05
55 *5038:A2 *311:26 1.93235e-05
56 *5038:A2 *311:41 4.65694e-05
57 *5039:B *5473:CLK 1.23714e-05
58 *5189:CLK *1051:I 0.000373534
59 *5189:CLK *5471:CLK 0.00014291
60 *5472:D *5472:CLK 0.000393233
61 *5472:D *311:16 0.000101647
62 *5473:D *5473:CLK 8.17943e-05
63 *227:6 *5473:CLK 0.000676142
64 *227:6 *311:41 0
65 *227:8 *5473:CLK 4.34878e-05
*RES
1 *5658:Z *311:4 4.5
2 *311:4 *311:5 2.25
3 *311:5 *311:10 7.38
4 *311:10 *311:12 0.63
5 *311:12 *311:14 0.45
6 *311:14 *311:16 3.51
7 *311:16 *5472:CLK 9.99
8 *311:16 *311:26 9.63
9 *311:26 *5473:CLK 17.37
10 *311:26 *311:41 11.16
11 *311:41 *1053:I 4.5
12 *311:41 *5185:CLK 6.21
13 *311:14 *5471:CLK 11.61
14 *311:12 *5187:CLK 10.35
15 *311:10 *311:66 4.5
16 *311:66 *1051:I 7.11
17 *311:66 *1052:I 4.5
18 *311:5 *919:I 17.19
19 *311:4 *311:91 3.24
20 *311:91 *918:I 4.5
21 *311:91 *311:100 7.92
22 *311:100 *311:104 5.49
23 *311:104 *5482:CLK 6.66
24 *311:104 *917:I 4.5
25 *311:100 *311:118 4.95
26 *311:118 *1058:I 6.12
27 *311:118 *5183:CLK 4.5
*END
*D_NET *312 0.0200348
*CONN
*I *5153:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *905:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *964:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *906:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *966:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *967:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5280:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5282:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5278:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *965:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5276:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5155:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1064:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *1066:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5498:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5494:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5659:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5153:CLK 0.000178886
2 *905:I 0
3 *964:I 0
4 *906:I 0.000396609
5 *966:I 0.000512655
6 *967:I 0.000456932
7 *5280:CLK 0.000146205
8 *5282:CLK 0.000210314
9 *5278:CLK 0.000350971
10 *965:I 0
11 *5276:CLK 0
12 *5155:CLK 0
13 *1064:I 0
14 *1066:I 0.000191323
15 *5498:CLK 0
16 *5494:CLK 0.00030332
17 *5659:Z 0
18 *312:133 0.000282303
19 *312:127 0.000160871
20 *312:119 0.000830755
21 *312:99 0.00117418
22 *312:76 0.000909446
23 *312:67 0.000520174
24 *312:32 0.000609694
25 *312:25 0.000925177
26 *312:21 0.00064583
27 *312:17 0.000430867
28 *312:15 0.000584992
29 *312:10 0.000658002
30 *312:8 0.000639883
31 *312:7 0.000722402
32 *312:4 0.000514336
33 *966:I *4879:A1 0
34 *967:I *4879:A4 0.00111093
35 *967:I *5264:CLK 3.4594e-05
36 *5153:CLK *5153:D 0
37 *5278:CLK *5278:D 0.000152159
38 *5280:CLK *5276:D 3.01487e-05
39 *5494:CLK *4937:A3 0.000578579
40 *312:7 *4879:A4 1.3179e-05
41 *312:10 *4939:A4 0.000134119
42 *312:15 *4939:A2 9.84971e-05
43 *312:15 *4939:A4 0.000331528
44 *312:17 *4939:A2 0.000995679
45 *312:21 *4939:A2 0.00017758
46 *312:25 *4939:A1 0.000887095
47 *312:25 *4939:A2 0
48 *312:32 *5498:D 0.000466131
49 *312:99 *4879:A1 0
50 *312:119 *4879:A4 0.000224512
51 *1061:I *5494:CLK 5.85275e-05
52 *1124:I *312:32 0
53 *4882:A2 *967:I 0.000782583
54 *4940:A3 *1066:I 0.000147322
55 *4940:A3 *312:25 4.72935e-05
56 *4940:A3 *312:32 0.000926197
57 *5161:CLK *1066:I 0.000179695
58 *5161:CLK *312:32 0.000302354
*RES
1 *5659:Z *312:4 4.5
2 *312:4 *312:7 5.31
3 *312:7 *312:8 2.25
4 *312:8 *312:10 0.99
5 *312:10 *312:15 7.38
6 *312:15 *312:17 2.61
7 *312:17 *312:21 1.98
8 *312:21 *312:25 8.19
9 *312:25 *312:32 9.63
10 *312:32 *5494:CLK 7.38
11 *312:32 *5498:CLK 4.5
12 *312:25 *1066:I 10.44
13 *312:21 *1064:I 4.5
14 *312:17 *5155:CLK 4.5
15 *312:15 *5276:CLK 4.5
16 *312:10 *312:67 6.03
17 *312:67 *965:I 4.5
18 *312:67 *312:76 6.84
19 *312:76 *5278:CLK 12.33
20 *312:76 *5282:CLK 10.35
21 *312:8 *5280:CLK 10.71
22 *312:7 *312:99 1.35
23 *312:99 *967:I 14.31
24 *312:99 *966:I 12.6
25 *312:4 *312:119 3.24
26 *312:119 *906:I 7.11
27 *312:119 *312:127 0.45
28 *312:127 *964:I 4.5
29 *312:127 *312:133 0.81
30 *312:133 *905:I 4.5
31 *312:133 *5153:CLK 6.12
*END
*D_NET *313 0.00562644
*CONN
*I *5470:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5469:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5409:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5466:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5660:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5470:CLK 0.000756327
2 *5469:CLK 0.000188167
3 *5409:CLK 0.000495798
4 *5466:CLK 0.000452394
5 *5660:Z 0
6 *313:26 0.00113735
7 *313:15 0.000947166
8 *313:5 0.000710901
9 *840:I *5466:CLK 0
10 *852:I *5466:CLK 0
11 *852:I *313:15 0
12 *5469:D *5470:CLK 0
13 *5660:I *5470:CLK 1.59607e-05
14 *229:20 *5470:CLK 7.24666e-05
15 *229:20 *313:15 0
16 *229:20 *313:26 0
17 *229:30 *5409:CLK 0
18 *229:30 *5466:CLK 0.000737185
19 *229:37 *5466:CLK 0.000112718
*RES
1 *5660:Z *313:5 9
2 *313:5 *5466:CLK 13.14
3 *313:5 *313:15 1.53
4 *313:15 *5409:CLK 12.06
5 *313:15 *313:26 1.53
6 *313:26 *5469:CLK 10.17
7 *313:26 *5470:CLK 15.12
*END
*D_NET *314 0.0165658
*CONN
*I *992:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *994:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5336:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *954:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5332:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5256:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *959:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *996:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *956:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5266:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *958:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5260:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5264:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5340:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5661:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *992:I 0.000468388
2 *994:I 0.000301638
3 *5336:CLK 3.85069e-05
4 *954:I 0.000448678
5 *5332:CLK 0
6 *5256:CLK 0.000994551
7 *959:I 0
8 *996:I 0.000577139
9 *956:I 0.000179474
10 *5266:CLK 0.000160117
11 *958:I 0
12 *5260:CLK 0
13 *5264:CLK 0.000340449
14 *5340:CLK 0.000408591
15 *5661:Z 0
16 *314:82 0.00113045
17 *314:80 0.00131052
18 *314:71 0.000858694
19 *314:62 0.000709882
20 *314:44 0.000160117
21 *314:28 0.000682631
22 *314:19 0.00117165
23 *314:14 0.000524009
24 *314:12 0.000859481
25 *314:10 0.000699624
26 *314:8 0.000581418
27 *314:7 0.00112335
28 *314:4 0.000869946
29 *954:I *4881:A2 3.02497e-05
30 *954:I *4881:A4 9.99385e-05
31 *954:I *5332:D 0.00029445
32 *956:I *4880:A4 0.000228241
33 *992:I *4871:A4 0
34 *992:I *5338:D 0.000127779
35 *994:I *4871:A2 0
36 *996:I *5344:D 0.000127779
37 *5256:CLK *4880:A3 0.000124744
38 *5256:CLK *5256:D 6.65315e-05
39 *5264:CLK *4879:A1 0
40 *5266:CLK *4880:A4 0
41 *5336:CLK *5336:D 5.15117e-06
42 *314:7 *4871:A2 0
43 *314:7 *4871:A4 0
44 *314:12 *4880:A2 9.57086e-05
45 *314:14 *4880:A2 0.000133259
46 *314:19 *4880:A2 0.000106229
47 *314:19 *5260:D 0
48 *314:71 *5336:D 0
49 *314:80 *4880:A1 0.000108865
50 *314:80 *5332:D 9.18464e-05
51 *314:80 *5336:D 0
52 *314:82 *5332:D 1.50781e-05
53 *967:I *5264:CLK 3.4594e-05
54 *4882:A4 *954:I 0
55 *5338:CLK *992:I 5.45294e-05
56 *5344:CLK *996:I 6.05306e-05
57 *264:11 *5256:CLK 7.69456e-05
58 *264:11 *314:80 4.06962e-05
59 *264:11 *314:82 4.32999e-05
60 *305:117 *994:I 0
*RES
1 *5661:Z *314:4 4.5
2 *314:4 *314:7 6.93
3 *314:7 *314:8 3.15
4 *314:8 *314:10 0.81
5 *314:10 *314:12 4.23
6 *314:12 *314:14 1.17
7 *314:14 *314:19 7.74
8 *314:19 *5340:CLK 7.11
9 *314:19 *314:28 7.29
10 *314:28 *5264:CLK 11.52
11 *314:28 *5260:CLK 9
12 *314:14 *314:44 4.5
13 *314:44 *958:I 4.5
14 *314:44 *5266:CLK 5.49
15 *314:12 *956:I 10.53
16 *314:10 *314:62 4.5
17 *314:62 *996:I 8.82
18 *314:62 *314:71 0.81
19 *314:71 *959:I 4.5
20 *314:71 *314:80 9.9
21 *314:80 *314:82 1.35
22 *314:82 *5256:CLK 16.92
23 *314:82 *5332:CLK 9
24 *314:80 *954:I 12.51
25 *314:8 *5336:CLK 9.45
26 *314:7 *994:I 11.25
27 *314:4 *992:I 7.83
*END
*D_NET *315 0.014607
*CONN
*I *1112:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5252:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1110:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5591:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *960:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5587:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5270:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *961:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *952:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5262:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *957:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *962:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *963:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5272:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5274:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5268:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5662:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *1112:I 0.000480053
2 *5252:CLK 0
3 *1110:I 0
4 *5591:CLK 0.000584146
5 *960:I 0
6 *5587:CLK 0.000206881
7 *5270:CLK 0
8 *961:I 0
9 *952:I 0
10 *5262:CLK 0
11 *957:I 0.000233244
12 *962:I 0
13 *963:I 0.000397751
14 *5272:CLK 0.000129959
15 *5274:CLK 0.000409763
16 *5268:CLK 0.00011878
17 *5662:Z 0
18 *315:126 0.00058347
19 *315:98 0.000770499
20 *315:89 0.000600815
21 *315:87 0.000930442
22 *315:80 0.000495467
23 *315:76 0.000312766
24 *315:74 0.000412249
25 *315:68 0.000311794
26 *315:54 0.000471632
27 *315:12 0.000929041
28 *315:10 0.000835958
29 *315:8 0.00100773
30 *315:5 0.000748427
31 *315:4 0.000334924
32 *957:I *4878:A1 3.22289e-05
33 *963:I *4878:A2 0.000470056
34 *963:I *4878:A4 2.08301e-05
35 *963:I *5272:D 0
36 *1112:I *4920:A2 5.16383e-05
37 *1112:I *4920:A3 7.43581e-05
38 *1112:I *5252:D 0.000302501
39 *1112:I *5591:D 0
40 *5272:CLK *5268:D 0
41 *5274:CLK *5268:D 0
42 *5274:CLK *5274:D 3.4594e-05
43 *5587:CLK *972:I 7.8078e-06
44 *5587:CLK *316:80 2.65663e-06
45 *5587:CLK *316:82 2.11522e-05
46 *5591:CLK *4878:A4 0
47 *5591:CLK *4920:A3 0
48 *315:5 *4878:A1 1.0415e-05
49 *315:5 *4878:A4 0
50 *315:8 *4878:A1 0.000237266
51 *315:8 *4878:A4 0.000125238
52 *315:10 *4878:A3 0.000628226
53 *315:10 *4878:A4 0.000165858
54 *315:54 *4878:A1 7.64577e-05
55 *315:54 *5262:D 0
56 *315:68 *4878:A4 0
57 *315:74 *4878:A4 0
58 *315:80 *4878:A4 9.12325e-05
59 *315:87 *5272:D 9.06075e-05
60 *315:89 *5272:D 4.72127e-05
61 *315:98 *972:I 3.26033e-05
62 *315:98 *5270:D 3.14342e-05
63 *315:98 *5272:D 2.70408e-05
64 *4882:A1 *957:I 0
65 *4883:A2 *5268:CLK 0
66 *4883:A2 *5274:CLK 0
67 *5254:CLK *1112:I 0
68 *5662:I *315:10 2.17634e-05
69 *5662:I *315:12 3.58199e-06
70 *5662:I *315:98 0
71 *264:11 *5272:CLK 0.000578579
72 *264:11 *5587:CLK 0
73 *264:11 *5591:CLK 0
74 *264:11 *315:98 0
75 *266:30 *5268:CLK 1.64456e-05
76 *266:30 *315:12 9.94635e-05
77 *266:30 *315:98 0
*RES
1 *5662:Z *315:4 4.5
2 *315:4 *315:5 1.35
3 *315:5 *315:8 6.75
4 *315:8 *315:10 2.79
5 *315:10 *315:12 3.15
6 *315:12 *5268:CLK 9.9
7 *315:12 *5274:CLK 11.79
8 *315:10 *5272:CLK 10.53
9 *315:8 *963:I 12.15
10 *315:5 *962:I 4.5
11 *315:5 *315:54 2.16
12 *315:54 *957:I 6.12
13 *315:54 *5262:CLK 4.5
14 *315:4 *315:68 0.81
15 *315:68 *952:I 4.5
16 *315:68 *315:74 1.71
17 *315:74 *315:76 0.99
18 *315:76 *315:80 1.62
19 *315:80 *961:I 4.5
20 *315:80 *315:87 6.57
21 *315:87 *315:89 0.45
22 *315:89 *315:98 8.46
23 *315:98 *5270:CLK 4.5
24 *315:98 *5587:CLK 5.85
25 *315:89 *960:I 9
26 *315:87 *5591:CLK 12.69
27 *315:76 *1110:I 4.5
28 *315:74 *315:126 0.81
29 *315:126 *5252:CLK 4.5
30 *315:126 *1112:I 8.55
*END
*D_NET *316 0.0104223
*CONN
*I *5298:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *974:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5292:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5296:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *972:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *883:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *975:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5585:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *1109:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *882:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5114:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5116:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *888:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5126:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5663:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5298:CLK 0
2 *974:I 0
3 *5292:CLK 0.000192381
4 *5296:CLK 0.000306285
5 *972:I 0.000254732
6 *883:I 0
7 *975:I 0.000296913
8 *5585:CLK 0
9 *1109:I 0.00023352
10 *882:I 0
11 *5114:CLK 0.00019643
12 *5116:CLK 0
13 *888:I 0
14 *5126:CLK 0.000103417
15 *5663:Z 0
16 *316:99 0.0010421
17 *316:97 0.000761113
18 *316:82 0.000632442
19 *316:80 0.000651148
20 *316:51 0.00043088
21 *316:48 0.000582604
22 *316:41 0.000337967
23 *316:17 0.000493981
24 *316:12 0.000692161
25 *316:10 0.000969215
26 *316:9 0.000720824
27 *316:7 0.000235808
28 *316:4 0.000726923
29 *972:I *4877:A3 0
30 *975:I *5587:D 0.00022339
31 *5114:CLK *5116:D 4.25712e-05
32 *5292:CLK *4877:A1 8.44399e-05
33 *5292:CLK *4877:A4 0
34 *5292:CLK *5296:D 9.84971e-05
35 *5296:CLK *968:I 1.32831e-05
36 *5296:CLK *5296:D 0
37 *5296:CLK *317:61 2.65663e-06
38 *316:7 *4908:A2 0
39 *316:10 *4908:A1 0
40 *316:41 *4908:A1 0
41 *316:51 *5587:D 4.37704e-06
42 *316:80 *5298:D 2.80171e-05
43 *316:99 *4877:A1 0
44 *5587:CLK *972:I 7.8078e-06
45 *5587:CLK *316:80 2.65663e-06
46 *5587:CLK *316:82 2.11522e-05
47 *266:8 *316:12 0
48 *266:18 *316:10 0
49 *266:18 *316:12 0
50 *266:54 *5114:CLK 0
51 *266:54 *316:17 0
52 *315:98 *972:I 3.26033e-05
*RES
1 *5663:Z *316:4 4.5
2 *316:4 *316:7 1.62
3 *316:7 *316:9 4.5
4 *316:9 *316:10 3.51
5 *316:10 *316:12 2.07
6 *316:12 *316:17 7.2
7 *316:17 *5126:CLK 5.31
8 *316:17 *888:I 4.5
9 *316:12 *5116:CLK 9
10 *316:10 *5114:CLK 10.35
11 *316:9 *316:41 1.71
12 *316:41 *882:I 9
13 *316:41 *316:48 0.63
14 *316:48 *316:51 5.85
15 *316:51 *1109:I 6.12
16 *316:51 *5585:CLK 4.5
17 *316:48 *975:I 11.88
18 *316:7 *883:I 4.5
19 *316:4 *316:80 3.42
20 *316:80 *316:82 0.99
21 *316:82 *972:I 6.66
22 *316:82 *316:97 6.21
23 *316:97 *316:99 3.87
24 *316:99 *5296:CLK 10.98
25 *316:99 *5292:CLK 10.71
26 *316:97 *974:I 9
27 *316:80 *5298:CLK 4.5
*END
*D_NET *317 0.0109817
*CONN
*I *5294:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *949:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5128:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *973:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *968:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *889:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5249:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5284:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *948:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5248:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5664:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *5294:CLK 0.000193036
2 *949:I 0
3 *5128:CLK 4.64406e-05
4 *973:I 0
5 *968:I 0.00097214
6 *889:I 0
7 *5249:CLK 0.000119001
8 *5284:CLK 0.000320038
9 *948:I 0
10 *5248:CLK 0.000192687
11 *5664:Z 0
12 *317:61 0.00180275
13 *317:51 0.00161795
14 *317:48 0.00158641
15 *317:36 0.000938382
16 *317:34 0.00080182
17 *317:11 0.000377456
18 *317:7 0.000772547
19 *317:4 0.00119584
20 *968:I *4877:A4 2.92808e-05
21 *968:I *5294:D 0
22 *5284:CLK *5284:D 0
23 *317:48 *5128:D 0
24 *317:51 *4911:A4 0
25 *317:61 *5294:D 0
26 *5296:CLK *968:I 1.32831e-05
27 *5296:CLK *317:61 2.65663e-06
28 *1:15 *968:I 0
29 *1:15 *5248:CLK 0
*RES
1 *5664:Z *317:4 4.5
2 *317:4 *317:7 1.8
3 *317:7 *317:11 1.62
4 *317:11 *5248:CLK 15.12
5 *317:11 *948:I 4.5
6 *317:7 *5284:CLK 7.11
7 *317:4 *317:34 10.53
8 *317:34 *317:36 0.45
9 *317:36 *5249:CLK 9.72
10 *317:36 *317:48 9.27
11 *317:48 *317:51 10.35
12 *317:51 *889:I 9
13 *317:51 *317:61 10.62
14 *317:61 *968:I 20.16
15 *317:61 *973:I 4.5
16 *317:48 *5128:CLK 4.77
17 *317:34 *949:I 9
18 *317:4 *5294:CLK 5.67
*END
*D_NET *318 0.010082
*CONN
*I *887:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5120:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *885:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *891:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *886:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5124:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5134:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5122:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *892:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5132:CLK I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5665:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
*CAP
1 *887:I 0
2 *5120:CLK 0.000164985
3 *885:I 0
4 *891:I 0.000442024
5 *886:I 0
6 *5124:CLK 0
7 *5134:CLK 0.000496937
8 *5122:CLK 0.000266407
9 *892:I 0.000278739
10 *5132:CLK 0
11 *5665:Z 0.000169166
12 *318:71 0.000234313
13 *318:62 0.000942996
14 *318:44 0.00086235
15 *318:34 0.00117883
16 *318:31 0.000941724
17 *318:15 0.000854086
18 *318:12 0.00117269
19 *318:9 0.000634271
20 *318:7 0.000169166
21 *891:I *4912:A1 5.50917e-05
22 *891:I *4912:A2 0.000264326
23 *5120:CLK *5120:D 0
24 *5120:CLK *5126:D 0
25 *318:12 *4911:A2 0
26 *318:12 *4911:A3 0
27 *318:15 *4912:A3 0.000106548
28 *318:15 *5132:D 0
29 *318:31 *4911:A1 6.05306e-05
30 *318:31 *4911:A2 4.83731e-05
31 *318:31 *4911:A4 0.00014291
32 *318:34 *4911:A2 0
33 *318:44 *5122:D 9.12325e-05
34 *318:44 *5124:D 0
35 *318:62 *4911:A3 0
36 *4912:A4 *891:I 0
37 *4912:A4 *318:7 8.30298e-05
38 *4912:A4 *318:15 0
39 *4912:A4 *318:31 0
40 *5665:I *5120:CLK 6.9908e-05
41 *5665:I *318:12 5.43793e-05
42 *5665:I *318:62 0.000254508
43 *5665:I *318:71 4.24996e-05
44 *1:13 *5134:CLK 0
*RES
1 *5665:Z *318:7 5.76
2 *318:7 *318:9 4.5
3 *318:9 *318:12 6.03
4 *318:12 *318:15 3.96
5 *318:15 *5132:CLK 4.5
6 *318:15 *892:I 6.48
7 *318:12 *318:31 3.24
8 *318:31 *318:34 8.37
9 *318:34 *5122:CLK 10.53
10 *318:34 *318:44 7.11
11 *318:44 *5134:CLK 7.92
12 *318:44 *5124:CLK 4.5
13 *318:31 *886:I 4.5
14 *318:9 *318:62 3.51
15 *318:62 *891:I 12.15
16 *318:62 *318:71 0.63
17 *318:71 *885:I 9
18 *318:71 *5120:CLK 10.44
19 *318:7 *887:I 4.5
*END
*D_NET *319 0.00234804
*CONN
*I *5013:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5018:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5466:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5013:A2 0.000130501
2 *5018:I 0
3 *5466:Q 0.000321674
4 *319:8 0.000452176
5 *319:8 *5020:A1 1.0415e-05
6 *5023:A2 *5013:A2 7.62241e-05
7 *5469:D *5013:A2 5.25785e-05
8 *230:9 *319:8 0.000684836
9 *230:28 *5013:A2 0.000473841
10 *230:28 *319:8 0.000145797
*RES
1 *5466:Q *319:8 12.24
2 *319:8 *5018:I 9
3 *319:8 *5013:A2 11.07
*END
*D_NET *320 0.00109813
*CONN
*I *4937:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5476:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4937:A4 0.000480294
2 *5476:Q 0.000480294
3 *4937:A4 *4937:A1 0
4 *280:20 *4937:A4 0.000137544
*RES
1 *5476:Q *4937:A4 21.69
*END
*D_NET *321 0.00277401
*CONN
*I *4937:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5478:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4937:A3 0.000899669
2 *5478:Q 0.000899669
3 *4937:A3 *4937:A2 7.84526e-05
4 *4937:A3 *5482:D 0
5 *1061:I *4937:A3 3.07804e-06
6 *4940:A1 *4937:A3 0.000238103
7 *4940:A3 *4937:A3 0
8 *5494:CLK *4937:A3 0.000578579
9 *280:120 *4937:A3 7.64577e-05
*RES
1 *5478:Q *4937:A3 25.2
*END
*D_NET *322 0.000353709
*CONN
*I *4937:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5480:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4937:A2 0.000137628
2 *5480:Q 0.000137628
3 *4937:A2 *5482:D 0
4 *4937:A3 *4937:A2 7.84526e-05
*RES
1 *5480:Q *4937:A2 10.08
*END
*D_NET *323 0.00100146
*CONN
*I *4937:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5482:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4937:A1 0.000486092
2 *5482:Q 0.000486092
3 *4937:A1 *5482:D 2.92808e-05
4 *4937:A4 *4937:A1 0
5 *4940:A1 *4937:A1 0
*RES
1 *5482:Q *4937:A1 21.6
*END
*D_NET *324 0.00188006
*CONN
*I *4938:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5484:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4938:A4 0.000772726
2 *5484:Q 0.000772726
3 *1062:I *4938:A4 0
4 *1067:I *4938:A4 9.84971e-05
5 *5490:CLK *4938:A4 0.000104002
6 *5637:I *4938:A4 0.000114552
7 *265:134 *4938:A4 0
8 *265:154 *4938:A4 0
9 *290:17 *4938:A4 1.7556e-05
10 *301:106 *4938:A4 0
*RES
1 *5484:Q *4938:A4 24.21
*END
*D_NET *325 0.0011342
*CONN
*I *4938:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5486:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4938:A3 0.000336803
2 *5486:Q 0.000336803
3 *4938:A3 *4938:A2 0.000123068
4 *4940:A2 *4938:A3 0.000337521
5 *301:101 *4938:A3 0
*RES
1 *5486:Q *4938:A3 11.7
*END
*D_NET *326 0.00179653
*CONN
*I *4938:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5488:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4938:A2 0.000312771
2 *5488:Q 0.000312771
3 *4938:A2 *4938:A1 2.65663e-06
4 *4938:A3 *4938:A2 0.000123068
5 *4940:A2 *4938:A2 0
6 *265:131 *4938:A2 0.00104526
*RES
1 *5488:Q *4938:A2 12.6
*END
*D_NET *327 0.000969083
*CONN
*I *4938:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5490:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4938:A1 0.000419619
2 *5490:Q 0.000419619
3 *4938:A2 *4938:A1 2.65663e-06
4 *265:131 *4938:A1 0
5 *265:154 *4938:A1 7.86228e-05
6 *301:75 *4938:A1 0
7 *301:101 *4938:A1 4.85653e-05
*RES
1 *5490:Q *4938:A1 12.06
*END
*D_NET *328 0.0034398
*CONN
*I *4939:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5492:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4939:A4 0.00113216
2 *5492:Q 0.00113216
3 *4939:A4 *4939:A2 0.000294672
4 *4939:A4 *5155:D 0.000127779
5 *4939:A4 *5276:D 0.000129806
6 *970:I *4939:A4 1.71343e-05
7 *1160:I *4939:A4 5.79727e-05
8 *5659:I *4939:A4 8.24712e-05
9 *312:10 *4939:A4 0.000134119
10 *312:15 *4939:A4 0.000331528
*RES
1 *5492:Q *4939:A4 27.81
*END
*D_NET *329 0.00103851
*CONN
*I *4939:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5494:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4939:A3 0.000190204
2 *5494:Q 0.000190204
3 *4939:A3 *4939:A1 0.000591388
4 *1124:I *4939:A3 6.67097e-05
*RES
1 *5494:Q *4939:A3 20.43
*END
*D_NET *330 0.00448801
*CONN
*I *5023:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5013:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5024:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5020:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5467:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5023:A1 2.85495e-05
2 *5013:A1 0.000184575
3 *5024:A1 0
4 *5020:A1 0.000357189
5 *5467:Q 8.03573e-05
6 *330:25 0.000271095
7 *330:18 0.000371314
8 *330:5 0.000750891
9 *5023:A1 *5024:A3 0.000726924
10 *330:25 *5024:A3 0.000174537
11 *5023:A2 *5013:A1 1.69952e-06
12 *5023:A2 *5023:A1 4.433e-05
13 *5023:A2 *330:25 9.7012e-05
14 *5024:A2 *5013:A1 3.25973e-05
15 *5025:C *5013:A1 3.9806e-05
16 *5026:A2 *5023:A1 0.000591397
17 *225:10 *5013:A1 0
18 *230:9 *5020:A1 0.00042411
19 *230:9 *330:18 0.000148413
20 *230:28 *5013:A1 4.49659e-05
21 *230:28 *330:18 0.000102806
22 *230:28 *330:25 5.02602e-06
23 *319:8 *5020:A1 1.0415e-05
*RES
1 *5467:Q *330:5 4.95
2 *330:5 *5020:A1 15.84
3 *330:5 *330:18 7.83
4 *330:18 *5024:A1 9
5 *330:18 *330:25 0.81
6 *330:25 *5013:A1 10.17
7 *330:25 *5023:A1 10.89
*END
*D_NET *331 0.00245878
*CONN
*I *4939:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5496:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4939:A2 0.000446176
2 *5496:Q 0.000446176
3 *4939:A4 *4939:A2 0.000294672
4 *312:15 *4939:A2 9.84971e-05
5 *312:17 *4939:A2 0.000995679
6 *312:21 *4939:A2 0.00017758
7 *312:25 *4939:A2 0
*RES
1 *5496:Q *4939:A2 23.22
*END
*D_NET *332 0.00223548
*CONN
*I *4939:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5498:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4939:A1 0.000310604
2 *5498:Q 0.000310604
3 *1124:I *4939:A1 0.000120998
4 *4939:A3 *4939:A1 0.000591388
5 *5161:CLK *4939:A1 1.47961e-05
6 *312:25 *4939:A1 0.000887095
*RES
1 *5498:Q *4939:A1 21.96
*END
*D_NET *333 0.00104847
*CONN
*I *4935:A4 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5500:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4935:A4 0.000524233
2 *5500:Q 0.000524233
3 *4935:A4 *4936:A2 0
4 *5488:CLK *4935:A4 0
*RES
1 *5500:Q *4935:A4 21.78
*END
*D_NET *334 0.00322508
*CONN
*I *4935:A3 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5502:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4935:A3 0.000925066
2 *5502:Q 0.000925066
3 *4935:A3 *5500:D 3.44412e-05
4 *1068:I *4935:A3 5.97909e-06
5 *1070:I *4935:A3 3.76415e-05
6 *5195:CLK *4935:A3 0
7 *290:36 *4935:A3 0.000154737
8 *290:97 *4935:A3 0.000644133
9 *290:99 *4935:A3 0.000498019
*RES
1 *5502:Q *4935:A3 17.01
*END
*D_NET *335 0.00132096
*CONN
*I *4935:A2 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5504:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4935:A2 0.000301039
2 *5504:Q 0.000301039
3 *4935:A2 *4935:A1 0.000682266
4 *4935:A2 *4936:A2 0
5 *1070:I *4935:A2 3.66142e-05
*RES
1 *5504:Q *4935:A2 20.88
*END
*D_NET *336 0.000937202
*CONN
*I *4935:A1 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5506:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4935:A1 0.000127468
2 *5506:Q 0.000127468
3 *4935:A1 *4936:A2 0
4 *4935:A2 *4935:A1 0.000682266
*RES
1 *5506:Q *4935:A1 19.89
*END
*D_NET *337 0.00348789
*CONN
*I *4936:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*I *5508:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4936:A2 0.00174395
2 *5508:Q 0.00174395
3 *4935:A1 *4936:A2 0
4 *4935:A2 *4936:A2 0
5 *4935:A4 *4936:A2 0
*RES
1 *5508:Q *4936:A2 30.06
*END
*D_NET *338 0.00161382
*CONN
*I *4936:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*I *5510:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4936:A1 0.000339426
2 *5510:Q 0.000339426
3 *176:7 *4936:A1 0.000895146
4 *280:31 *4936:A1 2.94011e-05
5 *280:33 *4936:A1 1.0415e-05
6 *280:92 *4936:A1 0
*RES
1 *5510:Q *4936:A1 12.42
*END
*D_NET *339 0.0040272
*CONN
*I *5023:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5013:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5024:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5468:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5023:B 0
2 *5013:A4 0.000202163
3 *5024:A3 0.00010849
4 *5468:Q 0.000384399
5 *339:7 0.000695051
6 *5013:A4 *5013:A3 0.000393233
7 *5013:A4 *340:9 9.86406e-06
8 *5023:A1 *5024:A3 0.000726924
9 *5025:B *5024:A3 0.000599097
10 *5026:A2 *5013:A4 0.000286409
11 *5026:A2 *5024:A3 6.06221e-05
12 *5469:D *5013:A4 3.40214e-05
13 *181:29 *5024:A3 1.86706e-05
14 *225:10 *5013:A4 6.79785e-05
15 *225:10 *339:7 7.49743e-05
16 *232:13 *339:7 1.59687e-05
17 *265:100 *339:7 6.35892e-05
18 *265:102 *5013:A4 6.0941e-06
19 *265:102 *339:7 0.00010512
20 *330:25 *5024:A3 0.000174537
*RES
1 *5468:Q *339:7 7.56
2 *339:7 *5024:A3 16.11
3 *339:7 *5013:A4 15.84
4 *339:7 *5023:B 4.5
*END
*D_NET *340 0.00148519
*CONN
*I *5026:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5013:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5469:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5026:A1 0
2 *5013:A3 0.000157471
3 *5469:Q 0.000235659
4 *340:9 0.00039313
5 *5013:A4 *5013:A3 0.000393233
6 *5013:A4 *340:9 9.86406e-06
7 *5023:A2 *5013:A3 5.90159e-05
8 *5026:A2 *5013:A3 0.000103842
9 *5026:A2 *340:9 1.0415e-05
10 *5469:D *5013:A3 0
11 *265:102 *340:9 0.000122559
*RES
1 *5469:Q *340:9 6.39
2 *340:9 *5013:A3 15.57
3 *340:9 *5026:A1 4.5
*END
*D_NET *341 0.00181621
*CONN
*I *5030:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5014:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5032:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5470:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5030:A1 0.000220392
2 *5014:A2 6.64201e-05
3 *5032:A1 0.000128241
4 *5470:Q 0
5 *341:6 0.000334958
6 *341:4 0.000360689
7 *5032:A1 *5032:B 6.64876e-05
8 *5031:B *5030:A1 0
9 *5032:A2 *5030:A1 0.000357441
10 *5032:A2 *5032:A1 1.51249e-05
11 *5033:A1 *5014:A2 2.05612e-05
12 *5033:A1 *341:6 4.57044e-05
13 *5033:B1 *5030:A1 0
14 *5033:C *5030:A1 1.85908e-05
15 *5033:C *5032:A1 0.000160023
16 *5471:D *5014:A2 0
17 *236:17 *5030:A1 1.19803e-05
18 *236:20 *341:6 9.59492e-06
19 *237:33 *5030:A1 0
*RES
1 *5470:Q *341:4 4.5
2 *341:4 *341:6 5.49
3 *341:6 *5032:A1 10.44
4 *341:6 *5014:A2 9.63
5 *341:4 *5030:A1 6.48
*END
*D_NET *342 0.00097883
*CONN
*I *5014:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5032:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5471:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5014:A1 0
2 *5032:B 0.000231381
3 *5471:Q 0.000205949
4 *342:7 0.00043733
5 *5032:A1 *5032:B 6.64876e-05
6 *5033:A1 *342:7 3.07804e-06
7 *5033:C *342:7 0
8 *226:5 *342:7 3.46041e-05
*RES
1 *5471:Q *342:7 5.94
2 *342:7 *5032:B 6.3
3 *342:7 *5014:A1 4.5
*END
*D_NET *343 0.00447697
*CONN
*I *5015:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5037:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *5035:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5472:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5015:A2 0.000672507
2 *5037:A1 0.000290568
3 *5035:A1 0
4 *5472:Q 0.000129401
5 *343:10 0.000915455
6 *343:5 0.00142679
7 *5015:A2 *5187:D 0
8 *5015:A2 *5189:D 0
9 *858:I *5037:A1 8.69735e-05
10 *1051:I *343:5 1.28827e-05
11 *5015:A3 *5015:A2 0
12 *5015:A4 *5015:A2 6.27996e-05
13 *5034:A1 *5037:A1 4.82503e-05
14 *5035:A2 *5037:A1 7.84526e-05
15 *5187:CLK *5015:A2 3.01487e-05
16 *5187:CLK *343:5 0.000499505
17 *5471:CLK *343:5 9.84971e-05
18 *5472:D *343:10 4.911e-05
19 *5658:I *5015:A2 0
20 *226:17 *5037:A1 6.04995e-05
21 *226:26 *5037:A1 1.51249e-05
22 *311:16 *343:10 0
23 *311:26 *5037:A1 0
*RES
1 *5472:Q *343:5 6.03
2 *343:5 *343:10 13.95
3 *343:10 *5035:A1 4.5
4 *343:10 *5037:A1 7.02
5 *343:5 *5015:A2 9.09
*END
*D_NET *344 0.00161959
*CONN
*I *5038:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5015:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5473:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5038:A1 0.000418462
2 *5015:A1 9.33522e-05
3 *5473:Q 4.19035e-05
4 *344:5 0.000553718
5 *5015:A3 *5038:A1 1.19803e-05
6 *5015:A3 *344:5 1.19732e-05
7 *5015:A4 *5015:A1 3.0582e-05
8 *5038:A2 *5038:A1 0
9 *5185:CLK *5038:A1 6.34999e-05
10 *5473:CLK *5038:A1 0
11 *180:10 *5038:A1 0
12 *227:6 *5015:A1 0.000154209
13 *311:26 *344:5 1.59607e-05
14 *311:41 *5015:A1 2.87921e-05
15 *311:41 *5038:A1 0.000192081
16 *311:41 *344:5 3.07804e-06
*RES
1 *5473:Q *344:5 4.77
2 *344:5 *5015:A1 14.67
3 *344:5 *5038:A1 16.92
*END
*D_NET *345 0.000649113
*CONN
*I *5012:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *5474:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5012:I 0.000306253
2 *5474:Q 0.000306253
3 *1054:I *5012:I 3.66071e-05
4 *224:7 *5012:I 0
*RES
1 *5474:Q *5012:I 11.16
*END
*D_NET *346 0.000246292
*CONN
*I *4934:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *5475:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4934:I 8.54506e-05
2 *5475:Q 8.54506e-05
3 *5475:D *4934:I 7.53912e-05
*RES
1 *5475:Q *4934:I 18.99
*END
*D_NET *347 0.00351429
*CONN
*I *5009:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5008:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4859:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5355:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5009:A2 0
2 *5008:A1 0.000454786
3 *4859:A2 0.000410951
4 *5355:Q 0.000483503
5 *347:23 0.00063737
6 *347:8 0.00107704
7 *4859:A2 *4859:A1 3.12451e-05
8 *4859:A2 *4859:B 1.19582e-05
9 *4859:A2 *4859:C 6.96461e-05
10 *4859:A2 *5009:A1 9.87164e-05
11 *347:8 *4861:A1 3.16142e-05
12 *4863:A1 *4859:A2 0
13 *4863:A1 *347:8 0.000173481
14 *4863:A4 *347:8 2.35125e-05
15 *4864:A4 *5008:A1 0
16 *5355:D *5008:A1 1.04678e-05
*RES
1 *5355:Q *347:8 12.78
2 *347:8 *4859:A2 12.33
3 *347:8 *347:23 5.85
4 *347:23 *5008:A1 16.74
5 *347:23 *5009:A2 4.5
*END
*D_NET *348 0.000842856
*CONN
*I *4858:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5373:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4858:A4 0.000350346
2 *5373:Q 0.000350346
3 *4858:A4 *4858:A1 0
4 *4858:A4 *4858:A3 7.96988e-06
5 *1010:I *4858:A4 0.000134194
6 *286:23 *4858:A4 0
*RES
1 *5373:Q *4858:A4 20.7
*END
*D_NET *349 0.000932009
*CONN
*I *4858:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5375:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4858:A3 0.00042728
2 *5375:Q 0.00042728
3 *4858:A3 *4858:A1 3.22289e-05
4 *4858:A3 *4858:A2 3.72507e-05
5 *1010:I *4858:A3 0
6 *4858:A4 *4858:A3 7.96988e-06
*RES
1 *5375:Q *4858:A3 12.24
*END
*D_NET *350 0.000855508
*CONN
*I *4858:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5377:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4858:A2 0.000362973
2 *5377:Q 0.000362973
3 *4858:A2 *4858:A1 5.15453e-06
4 *4858:A3 *4858:A2 3.72507e-05
5 *5367:CLK *4858:A2 8.71575e-05
*RES
1 *5377:Q *4858:A2 11.79
*END
*D_NET *351 0.00183319
*CONN
*I *4858:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5379:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4858:A1 0.000360739
2 *5379:Q 0.000360739
3 *4858:A1 *5377:D 8.67004e-05
4 *4858:A2 *4858:A1 5.15453e-06
5 *4858:A3 *4858:A1 3.22289e-05
6 *4858:A4 *4858:A1 0
7 *4864:A3 *4858:A1 0.000987628
*RES
1 *5379:Q *4858:A1 21.96
*END
*D_NET *352 0.00240971
*CONN
*I *4862:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5381:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4862:A4 0.0007783
2 *5381:Q 0.0007783
3 *4862:A4 *4862:A2 8.01551e-05
4 *4862:A4 *4862:A3 0.00046357
5 *5231:CLK *4862:A4 3.9806e-05
6 *5387:CLK *4862:A4 0
7 *268:181 *4862:A4 0
8 *268:185 *4862:A4 9.62723e-05
9 *282:5 *4862:A4 0.000173302
*RES
1 *5381:Q *4862:A4 24.66
*END
*D_NET *353 0.000686345
*CONN
*I *4862:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5383:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4862:A3 8.99249e-05
2 *5383:Q 8.99249e-05
3 *4862:A3 *4862:A2 0
4 *4862:A4 *4862:A3 0.00046357
5 *268:176 *4862:A3 1.15066e-05
6 *268:181 *4862:A3 3.14177e-05
*RES
1 *5383:Q *4862:A3 19.35
*END
*D_NET *354 0.00248446
*CONN
*I *4862:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5385:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4862:A2 0.00087789
2 *5385:Q 0.00087789
3 *4862:A3 *4862:A2 0
4 *4862:A4 *4862:A2 8.01551e-05
5 *4863:A4 *4862:A2 0.00017758
6 *5383:CLK *4862:A2 1.19803e-05
7 *268:167 *4862:A2 0
8 *268:176 *4862:A2 0.000436524
9 *268:185 *4862:A2 1.04644e-05
10 *282:24 *4862:A2 1.19732e-05
*RES
1 *5385:Q *4862:A2 24.93
*END
*D_NET *355 0.00162687
*CONN
*I *4862:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5387:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4862:A1 0.000502915
2 *5387:Q 0.000502915
3 *1014:I *4862:A1 4.83651e-05
4 *4863:A4 *4862:A1 0.000106548
5 *285:17 *4862:A1 0.000466131
*RES
1 *5387:Q *4862:A1 22.41
*END
*D_NET *356 0.00162246
*CONN
*I *4861:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5389:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4861:A4 0.000298652
2 *5389:Q 0.000298652
3 *4861:A4 *4861:A3 0.000755165
4 *4861:A4 *5393:D 0.000246471
5 *1015:I *4861:A4 2.35229e-05
6 *5387:CLK *4861:A4 0
7 *5389:CLK *4861:A4 0
*RES
1 *5389:Q *4861:A4 21.42
*END
*D_NET *357 0.00204341
*CONN
*I *4861:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5391:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4861:A3 0.000620515
2 *5391:Q 0.000620515
3 *4861:A3 *5393:D 4.72127e-05
4 *4861:A4 *4861:A3 0.000755165
5 *5389:CLK *4861:A3 0
*RES
1 *5391:Q *4861:A3 24.03
*END
*D_NET *358 0.00485927
*CONN
*I *4865:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4859:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5009:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5356:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4865:A1 0.000531171
2 *4859:A1 0.000161048
3 *5009:A1 0.000257372
4 *5356:Q 0
5 *358:4 0.000949592
6 *4859:A1 *4859:B 2.35125e-05
7 *4859:A1 *4859:C 0.000448161
8 *4865:A1 *4859:B 0
9 *4865:A1 *4859:C 6.02974e-05
10 *5009:A1 *4859:C 0.000365483
11 *4859:A2 *4859:A1 3.12451e-05
12 *4859:A2 *5009:A1 9.87164e-05
13 *5010:A2 *4865:A1 0
14 *5010:A2 *5009:A1 2.39675e-05
15 *5300:D *4865:A1 0.000692536
16 *5300:CLK *4865:A1 0.000677126
17 *286:69 *4865:A1 0.000539039
*RES
1 *5356:Q *358:4 4.5
2 *358:4 *5009:A1 6.93
3 *358:4 *4859:A1 15.12
4 *358:4 *4865:A1 19.98
*END
*D_NET *359 0.00202682
*CONN
*I *4861:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5393:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4861:A2 0.000588917
2 *5393:Q 0.000588917
3 *4861:A2 *5393:D 3.33177e-05
4 *1017:I *4861:A2 2.17883e-05
5 *4863:A3 *4861:A2 9.00136e-05
6 *5387:CLK *4861:A2 1.59714e-05
7 *268:218 *4861:A2 0.000235083
8 *285:34 *4861:A2 0.000452809
*RES
1 *5393:Q *4861:A2 22.77
*END
*D_NET *360 0.00309927
*CONN
*I *4861:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5395:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4861:A1 0.00082503
2 *5395:Q 0.00082503
3 *4863:A1 *4861:A1 0.000266722
4 *4863:A2 *4861:A1 0
5 *4863:A3 *4861:A1 0.000285003
6 *4863:A4 *4861:A1 0.00043555
7 *268:218 *4861:A1 0.000430318
8 *347:8 *4861:A1 3.16142e-05
*RES
1 *5395:Q *4861:A1 26.37
*END
*D_NET *361 0.00283374
*CONN
*I *4860:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5397:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4860:A4 0.000783387
2 *5397:Q 0.000783387
3 *4860:A4 *4860:A1 0.000145841
4 *1019:I *4860:A4 0.000507556
5 *1021:I *4860:A4 0.000266183
6 *5395:CLK *4860:A4 4.25712e-05
7 *284:9 *4860:A4 0.000194132
8 *284:40 *4860:A4 0
9 *284:54 *4860:A4 0.000110682
*RES
1 *5397:Q *4860:A4 16.47
*END
*D_NET *362 0.00133332
*CONN
*I *4860:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5399:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4860:A3 0.000312356
2 *5399:Q 0.000312356
3 *4860:A3 *4860:A2 0.000671996
4 *1021:I *4860:A3 3.66142e-05
*RES
1 *5399:Q *4860:A3 20.88
*END
*D_NET *363 0.00107208
*CONN
*I *4860:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5401:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4860:A2 0.000200041
2 *5401:Q 0.000200041
3 *4860:A3 *4860:A2 0.000671996
*RES
1 *5401:Q *4860:A2 20.07
*END
*D_NET *364 0.00147491
*CONN
*I *4860:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5403:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4860:A1 0.000356273
2 *5403:Q 0.000356273
3 *4860:A1 *5395:D 0
4 *4860:A4 *4860:A1 0.000145841
5 *5395:CLK *4860:A1 0.00058663
6 *284:9 *4860:A1 2.98955e-05
*RES
1 *5403:Q *4860:A1 12.78
*END
*D_NET *365 0.0015342
*CONN
*I *4859:C I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5405:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4859:C 0.000295308
2 *5405:Q 0.000295308
3 *4859:A1 *4859:C 0.000448161
4 *4859:A2 *4859:C 6.96461e-05
5 *4865:A1 *4859:C 6.02974e-05
6 *5009:A1 *4859:C 0.000365483
*RES
1 *5405:Q *4859:C 21.06
*END
*D_NET *366 0.000622856
*CONN
*I *4859:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi211_1
*I *5407:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4859:B 0.000221143
2 *5407:Q 0.000221143
3 *4859:A1 *4859:B 2.35125e-05
4 *4859:A2 *4859:B 1.19582e-05
5 *4863:A1 *4859:B 0.0001451
6 *4865:A1 *4859:B 0
*RES
1 *5407:Q *4859:B 10.89
*END
*D_NET *367 0.00227606
*CONN
*I *4856:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5357:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4856:A4 0.000743846
2 *5357:Q 0.000743846
3 *4856:A4 *4856:A3 0.000677126
4 *4864:A1 *4856:A4 0
5 *19:13 *4856:A4 0
6 *268:118 *4856:A4 2.93059e-05
7 *286:163 *4856:A4 8.19379e-05
*RES
1 *5357:Q *4856:A4 23.94
*END
*D_NET *368 0.00215899
*CONN
*I *4856:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5359:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4856:A3 0.000540164
2 *5359:Q 0.000540164
3 *4856:A3 *5363:D 0.000199534
4 *1008:I *4856:A3 0.000167704
5 *4856:A4 *4856:A3 0.000677126
6 *5144:CLK *4856:A3 0
7 *286:163 *4856:A3 3.42926e-05
*RES
1 *5359:Q *4856:A3 23.4
*END
*D_NET *369 0.00315193
*CONN
*I *4856:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5361:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4856:A2 0.00141849
2 *5361:Q 0.00141849
3 *4856:A2 *4856:A1 0.000154926
4 cout5 *4856:A2 0.000160023
5 *1005:I *4856:A2 0
*RES
1 *5361:Q *4856:A2 28.62
*END
*D_NET *370 0.00183496
*CONN
*I *4856:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5363:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4856:A1 0.00059141
2 *5363:Q 0.00059141
3 *4856:A2 *4856:A1 0.000154926
4 *5144:CLK *4856:A1 7.02166e-05
5 *19:13 *4856:A1 0.000426998
*RES
1 *5363:Q *4856:A1 14.76
*END
*D_NET *371 0.00186075
*CONN
*I *4857:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5365:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4857:A4 0.000628523
2 *5365:Q 0.000628523
3 *287:13 *4857:A4 3.20137e-05
4 *287:17 *4857:A4 0
5 *287:59 *4857:A4 0.000151473
6 *287:74 *4857:A4 0.000420213
*RES
1 *5365:Q *4857:A4 14.04
*END
*D_NET *372 0.00118709
*CONN
*I *4857:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5367:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4857:A3 0.000469533
2 *5367:Q 0.000469533
3 *1007:I *4857:A3 0.000160023
4 *287:6 *4857:A3 3.76065e-05
5 *287:8 *4857:A3 1.2974e-05
6 *287:13 *4857:A3 3.14177e-05
7 *287:59 *4857:A3 6.00123e-06
*RES
1 *5367:Q *4857:A3 21.78
*END
*D_NET *373 0.00137896
*CONN
*I *4857:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5369:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4857:A2 0.000518041
2 *5369:Q 0.000518041
3 *4857:A2 *5371:D 7.84526e-05
4 *19:13 *4857:A2 0.000264423
5 *287:59 *4857:A2 0
*RES
1 *5369:Q *4857:A2 13.32
*END
*D_NET *374 0.000780891
*CONN
*I *4857:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5371:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4857:A1 0.000343379
2 *5371:Q 0.000343379
3 *19:13 *4857:A1 2.39164e-05
4 *105:8 *4857:A1 7.02166e-05
*RES
1 *5371:Q *4857:A1 20.7
*END
*D_NET *375 0.0031431
*CONN
*I *5005:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *5003:A2 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5002:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5301:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5005:A2 2.94703e-05
2 *5003:A2 0.00018439
3 *5002:A1 0.00011517
4 *5301:Q 0.000262639
5 *375:18 0.000354565
6 *375:8 0.000518514
7 *5003:A2 *5005:A1 4.29718e-05
8 *375:18 *5005:A1 3.22289e-05
9 *849:I *375:8 0.000155614
10 *849:I *375:18 0
11 *5004:A2 *5003:A2 1.56672e-05
12 *5006:A2 *5003:A2 0.000913922
13 *5006:A2 *5005:A2 1.61223e-05
14 *121:11 *5003:A2 0
15 *121:11 *375:18 0
16 *121:19 *5002:A1 0
17 *121:19 *5003:A2 0.000142452
18 *267:98 *5002:A1 0.000145063
19 *267:98 *375:8 0.000214312
*RES
1 *5301:Q *375:8 11.52
2 *375:8 *5002:A1 10.17
3 *375:8 *375:18 5.49
4 *375:18 *5003:A2 7.29
5 *375:18 *5005:A2 4.77
*END
*D_NET *376 0.00168115
*CONN
*I *4868:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5318:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4868:A3 0.000558259
2 *5318:Q 0.000558259
3 *4868:A3 *4868:A4 0.00046614
4 *4868:A3 *5316:D 9.84971e-05
*RES
1 *5318:Q *4868:A3 22.5
*END
*D_NET *377 0.00273206
*CONN
*I *4868:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5320:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4868:A2 0.000571771
2 *5320:Q 0.000571771
3 *4868:A2 *4868:A1 4.83668e-05
4 *4868:A2 *5310:D 9.37397e-05
5 *5310:CLK *4868:A2 4.25933e-05
6 *264:56 *4868:A2 0.00132861
7 *305:51 *4868:A2 7.52007e-05
*RES
1 *5320:Q *4868:A2 24.03
*END
*D_NET *378 0.00136693
*CONN
*I *4868:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5322:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4868:A1 0.000659283
2 *5322:Q 0.000659283
3 *986:I *4868:A1 0
4 *4868:A2 *4868:A1 4.83668e-05
5 *5304:CLK *4868:A1 0
*RES
1 *5322:Q *4868:A1 13.5
*END
*D_NET *379 0.00178867
*CONN
*I *4872:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5324:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4872:A4 0.000459545
2 *5324:Q 0.000459545
3 *4872:A4 *4872:A3 0.00071332
4 *4872:A4 *5326:D 5.90755e-05
5 *120:11 *4872:A4 0
6 *264:56 *4872:A4 2.56783e-05
7 *305:8 *4872:A4 0
8 *305:112 *4872:A4 7.15045e-05
*RES
1 *5324:Q *4872:A4 22.23
*END
*D_NET *380 0.00183604
*CONN
*I *4872:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5326:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4872:A3 0.000204369
2 *5326:Q 0.000204369
3 *4872:A4 *4872:A3 0.00071332
4 *120:11 *4872:A3 0.000144842
5 *305:112 *4872:A3 0.000569141
*RES
1 *5326:Q *4872:A3 21.6
*END
*D_NET *381 0.00158406
*CONN
*I *4872:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5328:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4872:A2 0.000166335
2 *5328:Q 0.000166335
3 *4872:A2 *4872:A1 0.000405825
4 *293:7 *4872:A2 0
5 *305:112 *4872:A2 0.000845564
*RES
1 *5328:Q *4872:A2 12.06
*END
*D_NET *382 0.00287778
*CONN
*I *4872:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5330:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4872:A1 0.00118244
2 *5330:Q 0.00118244
3 *4872:A1 *5330:D 2.64581e-05
4 *4872:A2 *4872:A1 0.000405825
5 *4873:A3 *4872:A1 8.06113e-05
6 *264:56 *4872:A1 0
*RES
1 *5330:Q *4872:A1 26.82
*END
*D_NET *383 0.00197892
*CONN
*I *4871:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5332:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4871:A4 0.000780945
2 *5332:Q 0.000780945
3 *4871:A4 *4871:A2 4.34972e-05
4 *4871:A4 *5336:D 0.000373534
5 *992:I *4871:A4 0
6 *5611:I *4871:A4 0
7 *264:11 *4871:A4 0
8 *314:7 *4871:A4 0
*RES
1 *5332:Q *4871:A4 23.94
*END
*D_NET *384 0.00228844
*CONN
*I *4871:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5334:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4871:A3 0.000807341
2 *5334:Q 0.000807341
3 *4871:A3 *4871:A1 0.00062004
4 *4873:A3 *4871:A3 5.37148e-05
5 *279:71 *4871:A3 0
*RES
1 *5334:Q *4871:A3 15.57
*END
*D_NET *385 0.00106974
*CONN
*I *4871:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5336:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4871:A2 0.000485799
2 *5336:Q 0.000485799
3 *994:I *4871:A2 0
4 *4871:A4 *4871:A2 4.34972e-05
5 *4873:A3 *4871:A2 5.46446e-05
6 *264:11 *4871:A2 0
7 *314:7 *4871:A2 0
*RES
1 *5336:Q *4871:A2 21.42
*END
*D_NET *386 0.00158234
*CONN
*I *5005:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand2_1
*I *5003:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5302:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5005:A1 0.00017167
2 *5003:A1 0.000195101
3 *5302:Q 0.000201493
4 *386:8 0.000568265
5 *5003:A2 *5005:A1 4.29718e-05
6 *5006:A2 *5003:A1 0.000248206
7 *5006:A2 *5005:A1 0.0001224
8 *302:50 *386:8 0
9 *302:54 *386:8 0
10 *375:18 *5005:A1 3.22289e-05
*RES
1 *5302:Q *386:8 15.03
2 *386:8 *5003:A1 6.3
3 *386:8 *5005:A1 6.12
*END
*D_NET *387 0.00152746
*CONN
*I *4871:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5338:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4871:A1 0.000364919
2 *5338:Q 0.000364919
3 *4871:A3 *4871:A1 0.00062004
4 *4873:A3 *4871:A1 0.00017758
*RES
1 *5338:Q *4871:A1 12.6
*END
*D_NET *388 0.00127343
*CONN
*I *4870:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5340:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4870:A4 0.000571798
2 *5340:Q 0.000571798
3 *4870:A4 *5342:D 0.000129835
4 *306:8 *4870:A4 0
*RES
1 *5340:Q *4870:A4 22.77
*END
*D_NET *389 0.00126457
*CONN
*I *4870:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5342:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4870:A3 0.000523963
2 *5342:Q 0.000523963
3 *4870:A3 *4870:A1 8.53414e-05
4 *4870:A3 *5346:D 0.000131299
*RES
1 *5342:Q *4870:A3 13.23
*END
*D_NET *390 0.000385118
*CONN
*I *4870:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5344:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4870:A2 0.000192559
2 *5344:Q 0.000192559
*RES
1 *5344:Q *4870:A2 10.26
*END
*D_NET *391 0.00143943
*CONN
*I *4870:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5346:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4870:A1 0.000677045
2 *5346:Q 0.000677045
3 *4870:A3 *4870:A1 8.53414e-05
4 *4873:A1 *4870:A1 0
5 *5324:CLK *4870:A1 0
*RES
1 *5346:Q *4870:A1 13.59
*END
*D_NET *392 0.00117744
*CONN
*I *4869:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5348:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4869:A1 0.000430091
2 *5348:Q 0.000430091
3 *4873:A1 *4869:A1 0.000243505
4 *5320:CLK *4869:A1 5.97909e-06
5 *305:18 *4869:A1 6.77774e-05
*RES
1 *5348:Q *4869:A1 21.6
*END
*D_NET *393 0.00152128
*CONN
*I *4869:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5350:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4869:A2 0.000568867
2 *5350:Q 0.000568867
3 *5348:CLK *4869:A2 0.000283368
4 *305:18 *4869:A2 0.000100177
*RES
1 *5350:Q *4869:A2 23.04
*END
*D_NET *394 0.0014345
*CONN
*I *4866:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5352:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4866:A3 0.000456706
2 *5352:Q 0.000456706
3 *4866:A3 *4866:A2 0.000256757
4 *121:7 *4866:A3 0.000264335
*RES
1 *5352:Q *4866:A3 13.32
*END
*D_NET *395 0.00118362
*CONN
*I *4875:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5006:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5303:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4875:A1 0.000155278
2 *5006:A1 0.000245178
3 *5303:Q 0.000152336
4 *395:8 0.000552792
5 *979:I *4875:A1 0
6 *5006:A2 *5006:A1 7.56244e-06
7 *121:34 *4875:A1 0
8 *121:34 *5006:A1 7.04786e-05
*RES
1 *5303:Q *395:8 10.08
2 *395:8 *5006:A1 10.8
3 *395:8 *4875:A1 9.99
*END
*D_NET *396 0.00132327
*CONN
*I *4866:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5304:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4866:A2 0.000174273
2 *5304:Q 0.000174273
3 *4866:A3 *4866:A2 0.000256757
4 *4874:A1 *4866:A2 0
5 *121:7 *4866:A2 0.000717969
*RES
1 *5304:Q *4866:A2 11.7
*END
*D_NET *397 0.000590693
*CONN
*I *4866:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5306:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4866:A1 0.000275188
2 *5306:Q 0.000275188
3 *4874:A4 *4866:A1 4.03174e-05
*RES
1 *5306:Q *4866:A1 19.71
*END
*D_NET *398 0.00270189
*CONN
*I *4867:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5308:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4867:A4 0.000276214
2 *5308:Q 0.000276214
3 *4867:A4 *4867:A2 3.42686e-05
4 *4867:A4 *4867:A3 0.000893261
5 *4867:A4 *5314:D 0
6 *120:11 *4867:A4 0.000193143
7 *305:79 *4867:A4 0.000593958
8 *305:91 *4867:A4 0.000434831
*RES
1 *5308:Q *4867:A4 22.5
*END
*D_NET *399 0.00253823
*CONN
*I *4867:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5310:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4867:A3 0.000305179
2 *5310:Q 0.000305179
3 *4867:A3 *4867:A2 0.000400942
4 *983:I *4867:A3 0.000179925
5 *4867:A4 *4867:A3 0.000893261
6 *120:11 *4867:A3 0.000453743
*RES
1 *5310:Q *4867:A3 23.04
*END
*D_NET *400 0.00135539
*CONN
*I *4867:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5312:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4867:A1 0.000325437
2 *5312:Q 0.000325437
3 *4867:A1 *4867:A2 1.19803e-05
4 *4867:A1 *5524:D 0
5 *5312:CLK *4867:A1 0.000692536
*RES
1 *5312:Q *4867:A1 21.06
*END
*D_NET *401 0.00106721
*CONN
*I *4867:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5314:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4867:A2 0.000276177
2 *5314:Q 0.000276177
3 *4867:A1 *4867:A2 1.19803e-05
4 *4867:A3 *4867:A2 0.000400942
5 *4867:A4 *4867:A2 3.42686e-05
6 *4874:A2 *4867:A2 0
7 *5312:CLK *4867:A2 6.76671e-05
*RES
1 *5314:Q *4867:A2 20.88
*END
*D_NET *402 0.00113152
*CONN
*I *4868:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5316:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4868:A4 0.000332687
2 *5316:Q 0.000332687
3 *4868:A3 *4868:A4 0.00046614
*RES
1 *5316:Q *4868:A4 20.7
*END
*D_NET *403 0.00267172
*CONN
*I *4993:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4992:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4994:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5248:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4993:A1 0
2 *4992:A1 0.000175947
3 *4994:A1 0.000195256
4 *5248:Q 8.99943e-05
5 *403:11 0.000390955
6 *403:7 0.000109746
7 *4992:A1 *4993:A2 0.000164267
8 *4994:A1 *4993:A2 0.000622324
9 *4995:A2 *403:7 8.59105e-05
10 *4995:A3 *4994:A1 0.00017758
11 *4995:A3 *403:7 7.56244e-06
12 *4997:A2 *403:7 0.000229587
13 *4997:A2 *403:11 9.04462e-05
14 *5249:D *403:7 0
15 *1:13 *4992:A1 0.000332142
*RES
1 *5248:Q *403:7 5.94
2 *403:7 *403:11 4.77
3 *403:11 *4994:A1 11.7
4 *403:11 *4992:A1 11.25
5 *403:7 *4993:A1 4.5
*END
*D_NET *404 0.00267211
*CONN
*I *4880:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5264:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4880:A2 0.000526275
2 *5264:Q 0.000526275
3 *4880:A2 *4880:A4 0.000419263
4 *4882:A4 *4880:A2 0.000428473
5 *265:209 *4880:A2 0.000436631
6 *314:12 *4880:A2 9.57086e-05
7 *314:14 *4880:A2 0.000133259
8 *314:19 *4880:A2 0.000106229
*RES
1 *5264:Q *4880:A2 24.84
*END
*D_NET *405 0.00167148
*CONN
*I *4880:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5266:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4880:A1 0.000512444
2 *5266:Q 0.000512444
3 *4882:A4 *4880:A1 0.000365483
4 *1:15 *4880:A1 0.000160384
5 *265:209 *4880:A1 1.18575e-05
6 *314:80 *4880:A1 0.000108865
*RES
1 *5266:Q *4880:A1 22.77
*END
*D_NET *406 0.00112681
*CONN
*I *4878:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5268:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4878:A3 0.000196084
2 *5268:Q 0.000196084
3 *4878:A3 *5272:D 9.04462e-05
4 *264:11 *4878:A3 1.59687e-05
5 *315:10 *4878:A3 0.000628226
*RES
1 *5268:Q *4878:A3 19.98
*END
*D_NET *407 0.0017966
*CONN
*I *4878:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5270:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4878:A4 0.000681647
2 *5270:Q 0.000681647
3 *4878:A4 *4878:A2 3.01487e-05
4 *963:I *4878:A4 2.08301e-05
5 *5591:CLK *4878:A4 0
6 *315:5 *4878:A4 0
7 *315:8 *4878:A4 0.000125238
8 *315:10 *4878:A4 0.000165858
9 *315:68 *4878:A4 0
10 *315:74 *4878:A4 0
11 *315:80 *4878:A4 9.12325e-05
*RES
1 *5270:Q *4878:A4 22.77
*END
*D_NET *408 0.00114082
*CONN
*I *4878:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5272:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4878:A1 0.000374931
2 *5272:Q 0.000374931
3 *4878:A1 *4878:A2 0
4 *957:I *4878:A1 3.22289e-05
5 *4882:A1 *4878:A1 3.4594e-05
6 *315:5 *4878:A1 1.0415e-05
7 *315:8 *4878:A1 0.000237266
8 *315:54 *4878:A1 7.64577e-05
*RES
1 *5272:Q *4878:A1 12.06
*END
*D_NET *409 0.0022579
*CONN
*I *4878:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5274:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4878:A2 0.000426227
2 *5274:Q 0.000426227
3 *963:I *4878:A2 0.000470056
4 *4878:A1 *4878:A2 0
5 *4878:A4 *4878:A2 3.01487e-05
6 *4882:A1 *4878:A2 0.000243128
7 *4883:A3 *4878:A2 0.000104212
8 *1:15 *4878:A2 0.000557897
*RES
1 *5274:Q *4878:A2 22.77
*END
*D_NET *410 0.00312351
*CONN
*I *4879:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5276:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4879:A4 0.000547503
2 *5276:Q 0.000547503
3 *4879:A4 *4879:A1 0.000570537
4 *4879:A4 *4879:A3 0.000109348
5 *967:I *4879:A4 0.00111093
6 *312:7 *4879:A4 1.3179e-05
7 *312:119 *4879:A4 0.000224512
*RES
1 *5276:Q *4879:A4 24.12
*END
*D_NET *411 0.000880884
*CONN
*I *4879:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5278:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4879:A3 0.000385768
2 *5278:Q 0.000385768
3 *4879:A4 *4879:A3 0.000109348
*RES
1 *5278:Q *4879:A3 20.79
*END
*D_NET *412 0.00135958
*CONN
*I *4879:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5280:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4879:A1 0.00039452
2 *5280:Q 0.00039452
3 *966:I *4879:A1 0
4 *4879:A4 *4879:A1 0.000570537
5 *5264:CLK *4879:A1 0
6 *312:99 *4879:A1 0
*RES
1 *5280:Q *4879:A1 21.24
*END
*D_NET *413 0.000376562
*CONN
*I *4879:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5282:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4879:A2 0.000124392
2 *5282:Q 0.000124392
3 *4882:A2 *4879:A2 0.000127779
*RES
1 *5282:Q *4879:A2 10.08
*END
*D_NET *414 0.00383546
*CONN
*I *4994:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4993:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5249:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4994:A2 0
2 *4993:A2 0.000220216
3 *5249:Q 0.000791095
4 *414:11 0.00101131
5 *4992:A1 *4993:A2 0.000164267
6 *4994:A1 *4993:A2 0.000622324
7 *4995:A3 *414:11 7.7779e-05
8 *5249:D *414:11 0.00029445
9 *1:13 *4993:A2 0.000654016
10 *1:13 *414:11 0
*RES
1 *5249:Q *414:11 19.35
2 *414:11 *4993:A2 16.74
3 *414:11 *4994:A2 4.5
*END
*D_NET *415 0.00213787
*CONN
*I *4876:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5284:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4876:A4 0.000625391
2 *5284:Q 0.000625391
3 *4876:A4 *4876:A3 0.000554888
4 *4876:A4 *5290:D 0
5 *971:I *4876:A4 3.46319e-05
6 *5290:CLK *4876:A4 0.000297564
7 *129:9 *4876:A4 0
*RES
1 *5284:Q *4876:A4 23.49
*END
*D_NET *416 0.00126904
*CONN
*I *4876:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5286:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4876:A3 5.59108e-05
2 *5286:Q 5.59108e-05
3 *4876:A4 *4876:A3 0.000554888
4 *301:35 *4876:A3 0.000386184
5 *301:37 *4876:A3 0.000172657
6 *301:39 *4876:A3 4.34878e-05
*RES
1 *5286:Q *4876:A3 21.15
*END
*D_NET *417 0.00401445
*CONN
*I *4876:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5288:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4876:A1 0.000347292
2 *5288:Q 0.000347292
3 *4883:A1 *4876:A1 0.00017758
4 *264:27 *4876:A1 0.00256728
5 *301:7 *4876:A1 0.000233274
6 *301:11 *4876:A1 9.68694e-06
7 *301:32 *4876:A1 0.000332045
*RES
1 *5288:Q *4876:A1 16.11
*END
*D_NET *418 0.000751287
*CONN
*I *4876:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5290:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4876:A2 0.000302761
2 *5290:Q 0.000302761
3 *971:I *4876:A2 0.000104119
4 *264:27 *4876:A2 4.16465e-05
*RES
1 *5290:Q *4876:A2 20.16
*END
*D_NET *419 0.000880531
*CONN
*I *4877:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5292:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4877:A3 0.000241121
2 *5292:Q 0.000241121
3 *4877:A3 *4877:A2 0.000236802
4 *4877:A3 *4877:A4 0.000161488
5 *972:I *4877:A3 0
*RES
1 *5292:Q *4877:A3 11.34
*END
*D_NET *420 0.00233655
*CONN
*I *4877:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5294:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4877:A4 0.000870307
2 *5294:Q 0.000870307
3 *4877:A4 *4877:A1 6.77805e-05
4 *4877:A4 *5292:D 0
5 *968:I *4877:A4 2.92808e-05
6 *4877:A3 *4877:A4 0.000161488
7 *5292:CLK *4877:A4 0
8 *266:21 *4877:A4 0.000104119
9 *266:30 *4877:A4 0.000233267
*RES
1 *5294:Q *4877:A4 25.11
*END
*D_NET *421 0.00108962
*CONN
*I *4877:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5296:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4877:A2 0.000269138
2 *5296:Q 0.000269138
3 *4877:A2 *4877:A1 0.000228241
4 *4877:A3 *4877:A2 0.000236802
5 *264:27 *4877:A2 8.63005e-05
*RES
1 *5296:Q *4877:A2 12.06
*END
*D_NET *422 0.00218915
*CONN
*I *4877:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5298:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4877:A1 0.000755367
2 *5298:Q 0.000755367
3 *4877:A1 *5296:D 9.7482e-06
4 *4877:A2 *4877:A1 0.000228241
5 *4877:A4 *4877:A1 6.77805e-05
6 *5292:CLK *4877:A1 8.44399e-05
7 *264:27 *4877:A1 0.000228241
8 *266:18 *4877:A1 5.99612e-05
9 *316:99 *4877:A1 0
*RES
1 *5298:Q *4877:A1 24.39
*END
*D_NET *423 0.00129735
*CONN
*I *4997:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4996:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5250:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4997:A1 0.000108562
2 *4996:A1 0
3 *5250:Q 0.000151835
4 *423:5 0.000260397
5 *4996:A2 *4997:A1 9.67336e-05
6 *4997:A2 *4997:A1 1.61223e-05
7 *4998:A2 *423:5 0.000192268
8 *4998:A3 *4997:A1 0.000302501
9 *4998:A3 *423:5 0.000138777
10 *5250:D *423:5 3.01487e-05
*RES
1 *5250:Q *423:5 6.21
2 *423:5 *4996:A1 4.5
3 *423:5 *4997:A1 5.85
*END
*D_NET *424 0.00299905
*CONN
*I *5000:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4999:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4885:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5251:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5000:A1 0.000329289
2 *4999:A1 0
3 *4885:A1 0.000270563
4 *5251:Q 0.00016473
5 *424:13 0.000404583
6 *424:9 0.000628039
7 *950:I *4885:A1 6.04199e-05
8 *4885:A2 *4885:A1 0.00015568
9 *4998:A3 *4885:A1 5.31325e-06
10 *4998:A3 *424:13 5.31325e-06
11 *5000:A2 *4885:A1 4.83668e-05
12 *5000:A2 *5000:A1 0.000524581
13 *5000:A2 *424:9 1.59687e-05
14 *5000:A2 *424:13 0.00015451
15 *130:8 *424:9 0.000152338
16 *130:8 *424:13 9.77079e-06
17 *216:18 *424:9 1.59607e-05
18 *216:18 *424:13 5.36227e-05
*RES
1 *5251:Q *424:9 10.62
2 *424:9 *424:13 5.94
3 *424:13 *4885:A1 7.11
4 *424:13 *4999:A1 4.5
5 *424:9 *5000:A1 12.33
*END
*D_NET *425 0.00210965
*CONN
*I *4881:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5252:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4881:A4 0.000679299
2 *5252:Q 0.000679299
3 *4881:A4 *4881:A2 0.00058663
4 *4881:A4 *4881:A3 6.44874e-05
5 *954:I *4881:A4 9.99385e-05
*RES
1 *5252:Q *4881:A4 23.94
*END
*D_NET *426 0.00177911
*CONN
*I *4881:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5254:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4881:A3 0.000478553
2 *5254:Q 0.000478553
3 *4881:A3 *4881:A1 0.000170883
4 *4881:A3 *4881:A2 0.00058663
5 *4881:A4 *4881:A3 6.44874e-05
*RES
1 *5254:Q *4881:A3 13.59
*END
*D_NET *427 0.00146029
*CONN
*I *4881:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5256:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4881:A2 0.000127063
2 *5256:Q 0.000127063
3 *954:I *4881:A2 3.02497e-05
4 *4881:A3 *4881:A2 0.00058663
5 *4881:A4 *4881:A2 0.00058663
6 *4882:A4 *4881:A2 2.65663e-06
*RES
1 *5256:Q *4881:A2 11.52
*END
*D_NET *428 0.000863067
*CONN
*I *4881:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5258:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4881:A1 0.000346092
2 *5258:Q 0.000346092
3 *4881:A3 *4881:A1 0.000170883
*RES
1 *5258:Q *4881:A1 11.7
*END
*D_NET *429 0.00244823
*CONN
*I *4880:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5260:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4880:A4 0.000659445
2 *5260:Q 0.000659445
3 *4880:A4 *5266:D 0.000441234
4 *956:I *4880:A4 0.000228241
5 *4880:A2 *4880:A4 0.000419263
6 *5266:CLK *4880:A4 0
7 *265:209 *4880:A4 4.06053e-05
*RES
1 *5260:Q *4880:A4 24.48
*END
*D_NET *430 0.00121905
*CONN
*I *4880:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5262:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4880:A3 0.000200885
2 *5262:Q 0.000200885
3 *5256:CLK *4880:A3 0.000124744
4 *264:11 *4880:A3 0.000692536
*RES
1 *5262:Q *4880:A3 20.52
*END
*D_NET *431 0.00482584
*CONN
*I *4984:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4983:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4979:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4982:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5196:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4984:A2 0
2 *4983:A2 0
3 *4979:A2 0.000244503
4 *4982:A1 5.62575e-05
5 *5196:Q 0.000426833
6 *431:26 0.000504728
7 *431:12 0.00044593
8 *431:7 0.00055628
9 *4979:A2 *4979:A1 0.000215503
10 *4979:A2 *451:7 3.01487e-05
11 *4982:A1 *4895:A1 3.71142e-05
12 *431:12 *4895:A1 1.15066e-05
13 *431:12 *4984:A1 4.93203e-06
14 *431:26 *4979:A1 1.59607e-05
15 *4895:A2 *431:7 0
16 *4985:A2 *431:26 0
17 *4985:A3 *431:12 0.00046357
18 *4986:A2 *431:26 0
19 *5197:D *431:12 7.51274e-05
20 *5197:CLK *4982:A1 0.000101638
21 *5197:CLK *431:12 0.000672865
22 *5197:CLK *431:26 0
23 *19:13 *431:7 4.85724e-05
24 *19:13 *431:12 0
25 *139:26 *4982:A1 0.00052875
26 *139:26 *431:12 0.000354223
27 *139:26 *431:26 6.00916e-06
28 *209:7 *431:26 2.53881e-05
*RES
1 *5196:Q *431:7 7.38
2 *431:7 *431:12 7.38
3 *431:12 *4982:A1 10.35
4 *431:12 *431:26 6.21
5 *431:26 *4979:A2 6.39
6 *431:26 *4983:A2 4.5
7 *431:7 *4984:A2 4.5
*END
*D_NET *432 0.00175931
*CONN
*I *4890:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5211:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4890:A1 0.000526418
2 *5211:Q 0.000526418
3 *4890:A1 *4890:A4 0.000103095
4 *4892:A3 *4890:A1 0.000433841
5 *5626:I *4890:A1 0.000169539
*RES
1 *5211:Q *4890:A1 22.32
*END
*D_NET *433 0.00209661
*CONN
*I *4888:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5213:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4888:A4 0.000618946
2 *5213:Q 0.000618946
3 *4888:A4 *4888:A3 0.00052778
4 *4888:A4 *5215:D 0.000119228
5 *5215:CLK *4888:A4 0.000136112
6 *283:18 *4888:A4 1.80711e-05
7 *283:20 *4888:A4 5.75237e-05
*RES
1 *5213:Q *4888:A4 23.94
*END
*D_NET *434 0.000809302
*CONN
*I *4888:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5215:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4888:A3 0.000140761
2 *5215:Q 0.000140761
3 *4888:A4 *4888:A3 0.00052778
*RES
1 *5215:Q *4888:A3 19.53
*END
*D_NET *435 0.00155931
*CONN
*I *4888:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5217:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4888:A2 0.000497703
2 *5217:Q 0.000497703
3 *4888:A2 *4888:A1 7.96988e-06
4 *935:I *4888:A2 0
5 *5630:I *4888:A2 4.83731e-05
6 *283:17 *4888:A2 0.000507556
*RES
1 *5217:Q *4888:A2 13.32
*END
*D_NET *436 0.000832233
*CONN
*I *4888:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5219:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4888:A1 0.000377023
2 *5219:Q 0.000377023
3 *935:I *4888:A1 7.02166e-05
4 *4888:A2 *4888:A1 7.96988e-06
5 *4892:A1 *4888:A1 0
*RES
1 *5219:Q *4888:A1 11.88
*END
*D_NET *437 0.00104964
*CONN
*I *4889:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5221:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4889:A4 0.000439479
2 *5221:Q 0.000439479
3 *4889:A4 *4889:A3 0.000170683
4 *4889:A4 *5223:D 0
*RES
1 *5221:Q *4889:A4 12.6
*END
*D_NET *438 0.00180017
*CONN
*I *4889:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5223:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4889:A3 0.000498377
2 *5223:Q 0.000498377
3 *4889:A4 *4889:A3 0.000170683
4 *281:25 *4889:A3 0.000224512
5 *281:33 *4889:A3 0.00040822
*RES
1 *5223:Q *4889:A3 14.49
*END
*D_NET *439 0.00116313
*CONN
*I *4889:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5225:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4889:A2 0.000572999
2 *5225:Q 0.000572999
3 *4889:A2 *4889:A1 0
4 *281:25 *4889:A2 1.71343e-05
*RES
1 *5225:Q *4889:A2 21.6
*END
*D_NET *440 0.00171852
*CONN
*I *4889:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5227:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4889:A1 0.000777061
2 *5227:Q 0.000777061
3 *929:I *4889:A1 0
4 *4889:A2 *4889:A1 0
5 *279:103 *4889:A1 7.52007e-05
6 *279:111 *4889:A1 8.91956e-05
*RES
1 *5227:Q *4889:A1 14.49
*END
*D_NET *441 0.00040759
*CONN
*I *4886:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5229:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4886:A4 0.000198587
2 *5229:Q 0.000198587
3 *4886:A4 *4886:A3 1.0415e-05
4 *4893:A2 *4886:A4 0
*RES
1 *5229:Q *4886:A4 10.17
*END
*D_NET *442 0.0031463
*CONN
*I *4984:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4979:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4983:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5197:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4984:A1 0.000146934
2 *4979:A1 0.000130534
3 *4983:A1 0.000151597
4 *5197:Q 0.000411681
5 *442:8 0.000573095
6 *442:6 0.000849579
7 *442:8 *4986:A1 0
8 *4979:A2 *4979:A1 0.000215503
9 *4986:A2 *4979:A1 8.70211e-05
10 *4987:A3 *4983:A1 0
11 *5197:D *4984:A1 0.000286409
12 *5197:D *442:8 0.000188512
13 *139:26 *4983:A1 7.63112e-05
14 *139:26 *442:8 8.23086e-06
15 *431:12 *4984:A1 4.93203e-06
16 *431:26 *4979:A1 1.59607e-05
*RES
1 *5197:Q *442:6 12.33
2 *442:6 *442:8 2.61
3 *442:8 *4983:A1 10.17
4 *442:8 *4979:A1 10.35
5 *442:6 *4984:A1 10.17
*END
*D_NET *443 0.000660107
*CONN
*I *4886:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5231:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4886:A3 0.000249483
2 *5231:Q 0.000249483
3 *4886:A3 *4886:A1 0.000150726
4 *4886:A4 *4886:A3 1.0415e-05
*RES
1 *5231:Q *4886:A3 11.16
*END
*D_NET *444 0.00254037
*CONN
*I *4886:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5233:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4886:A2 0.000523514
2 *5233:Q 0.000523514
3 *4886:A2 *5229:D 0
4 *942:I *4886:A2 0
5 *4893:A1 *4886:A2 2.36064e-05
6 *4893:A2 *4886:A2 9.388e-05
7 *4893:A3 *4886:A2 0.000766491
8 *138:13 *4886:A2 0.000609368
*RES
1 *5233:Q *4886:A2 23.22
*END
*D_NET *445 0.00118158
*CONN
*I *4886:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5235:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4886:A1 0.000515428
2 *5235:Q 0.000515428
3 *4886:A1 *5235:D 0
4 *4886:A3 *4886:A1 0.000150726
*RES
1 *5235:Q *4886:A1 12.96
*END
*D_NET *446 0.000506582
*CONN
*I *4887:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5237:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4887:A4 0.00017799
2 *5237:Q 0.00017799
3 *4887:A4 *4887:A3 0.000102815
4 *942:I *4887:A4 4.7786e-05
5 *4893:A2 *4887:A4 0
*RES
1 *5237:Q *4887:A4 10.44
*END
*D_NET *447 0.00211923
*CONN
*I *4887:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5239:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4887:A3 0.000931404
2 *5239:Q 0.000931404
3 *4887:A3 *4887:A1 0
4 *4887:A3 *5237:D 5.50917e-05
5 *942:I *4887:A3 3.34162e-06
6 *4887:A4 *4887:A3 0.000102815
7 *4893:A3 *4887:A3 0
8 *291:58 *4887:A3 6.68764e-05
9 *291:86 *4887:A3 2.82959e-05
*RES
1 *5239:Q *4887:A3 24.3
*END
*D_NET *448 0.00193932
*CONN
*I *4887:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5241:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4887:A2 0.000625532
2 *5241:Q 0.000625532
3 *4887:A2 *4887:A1 8.3015e-05
4 *4887:A2 *5243:D 2.26708e-05
5 *4893:A2 *4887:A2 4.49984e-05
6 *5546:CLK *4887:A2 2.19732e-05
7 *5638:I *4887:A2 0.000515598
*RES
1 *5241:Q *4887:A2 13.95
*END
*D_NET *449 0.00285177
*CONN
*I *4887:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5243:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4887:A1 0.000475088
2 *5243:Q 0.000475088
3 *4887:A1 *5243:D 0.000151995
4 *4887:A2 *4887:A1 8.3015e-05
5 *4887:A3 *4887:A1 0
6 *5638:I *4887:A1 0.000515598
7 *291:20 *4887:A1 0.001138
8 *291:86 *4887:A1 1.2987e-05
*RES
1 *5243:Q *4887:A1 23.49
*END
*D_NET *450 0.000657681
*CONN
*I *4891:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5245:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4891:A3 0.000299677
2 *5245:Q 0.000299677
3 *4891:A3 *5201:D 0
4 *268:192 *4891:A3 5.83265e-05
5 *281:18 *4891:A3 0
*RES
1 *5245:Q *4891:A3 20.25
*END
*D_NET *451 0.00163908
*CONN
*I *4979:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *4986:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5198:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4979:A3 0
2 *4986:A1 0.000203079
3 *5198:Q 0.000258969
4 *451:7 0.000462048
5 *4979:A2 *451:7 3.01487e-05
6 *4987:A3 *4986:A1 0.000684836
7 *442:8 *4986:A1 0
*RES
1 *5198:Q *451:7 6.12
2 *451:7 *4986:A1 15.39
3 *451:7 *4979:A3 4.5
*END
*D_NET *452 0.00266933
*CONN
*I *4980:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4988:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5199:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4980:A1 6.92725e-05
2 *4988:A1 0.000219932
3 *5199:Q 0.000211332
4 *452:7 0.000500537
5 *4989:A1 *4988:A1 0.000331099
6 *4989:A3 *4988:A1 0.000907158
7 *4989:A3 *452:7 3.07804e-06
8 *4990:A2 *4988:A1 3.64493e-05
9 *4990:A2 *452:7 0
10 *5198:CLK *452:7 0
11 *5200:D *4988:A1 0
12 *138:13 *4988:A1 5.83319e-05
13 *207:8 *4980:A1 0.000168714
14 *207:8 *4988:A1 0.000163428
*RES
1 *5199:Q *452:7 10.17
2 *452:7 *4988:A1 12.6
3 *452:7 *4980:A1 9.99
*END
*D_NET *453 0.00649924
*CONN
*I *4895:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4981:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4990:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5200:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4895:A1 0.000568447
2 *4981:A1 0.000231794
3 *4990:A1 0
4 *5200:Q 0.000273498
5 *453:16 0.00110848
6 *453:7 0.000581733
7 *4981:A2 *4981:A1 6.9344e-05
8 *4982:A1 *4895:A1 3.71142e-05
9 *4982:A3 *4895:A1 0.000123397
10 *4988:A2 *4895:A1 0
11 *4990:A2 *453:7 1.86543e-05
12 *4991:A2 *4895:A1 4.35218e-05
13 *4991:A3 *453:16 9.51271e-05
14 *5197:CLK *4895:A1 0.000125664
15 *19:13 *4895:A1 1.71343e-05
16 *138:13 *453:7 0
17 *138:13 *453:16 0
18 *139:16 *4895:A1 0
19 *139:26 *4895:A1 0
20 *268:80 *453:7 0.000152785
21 *268:80 *453:16 0
22 *268:86 *453:16 0
23 *268:89 *4895:A1 0.00104507
24 *268:89 *453:16 0.00126031
25 *268:141 *4895:A1 0.000711006
26 *288:18 *4981:A1 2.46602e-05
27 *431:12 *4895:A1 1.15066e-05
*RES
1 *5200:Q *453:7 6.84
2 *453:7 *4990:A1 4.5
3 *453:7 *453:16 8.46
4 *453:16 *4981:A1 10.53
5 *453:16 *4895:A1 15.75
*END
*D_NET *454 0.000805869
*CONN
*I *4891:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5201:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4891:A2 0.000317418
2 *5201:Q 0.000317418
3 *4891:A2 *5201:D 0
4 *927:I *4891:A2 3.12451e-05
5 *281:5 *4891:A2 0.000139787
*RES
1 *5201:Q *4891:A2 11.34
*END
*D_NET *455 0.000526661
*CONN
*I *4891:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5203:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4891:A1 0.000105218
2 *5203:Q 0.000105218
3 *4892:A4 *4891:A1 0.000235926
4 *268:192 *4891:A1 1.76892e-05
5 *281:18 *4891:A1 0
6 *281:68 *4891:A1 6.26102e-05
*RES
1 *5203:Q *4891:A1 19.53
*END
*D_NET *456 0.00127267
*CONN
*I *4890:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5205:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4890:A4 0.000556557
2 *5205:Q 0.000556557
3 *4890:A4 *5211:D 1.86543e-05
4 *4890:A1 *4890:A4 0.000103095
5 *5626:I *4890:A4 3.78122e-05
*RES
1 *5205:Q *4890:A4 13.05
*END
*D_NET *457 0.000904524
*CONN
*I *4890:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5207:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4890:A3 0.000452262
2 *5207:Q 0.000452262
3 *930:I *4890:A3 0
*RES
1 *5207:Q *4890:A3 20.88
*END
*D_NET *458 0.000953018
*CONN
*I *4890:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5209:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4890:A2 0.000401276
2 *5209:Q 0.000401276
3 *4890:A2 *5530:D 0.000127779
4 *5532:CLK *4890:A2 0
5 *268:20 *4890:A2 0
6 *292:25 *4890:A2 2.26873e-05
*RES
1 *5209:Q *4890:A2 12.15
*END
*D_NET *459 0.0044604
*CONN
*I *4968:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4963:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4967:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4966:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5145:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4968:A2 0
2 *4963:A2 3.71546e-05
3 *4967:A2 0
4 *4966:A1 0.000270783
5 *5145:Q 0.00025757
6 *459:20 0.0002912
7 *459:9 0.000524828
8 *459:7 0.00025757
9 *4963:A2 *4963:A1 0.000302501
10 *459:7 *470:7 0
11 *459:20 *4963:A1 7.10321e-05
12 *459:20 *4968:A1 0.000393233
13 *459:20 *470:18 0.000963426
14 *4969:A1 *459:20 6.97466e-05
15 *4969:A3 *459:7 3.66071e-05
16 *198:13 *459:7 3.10856e-05
17 *198:17 *459:7 1.59687e-05
18 *198:18 *4966:A1 0.000192275
19 *198:42 *4966:A1 0.000133911
20 *198:42 *459:20 5.6223e-05
21 *199:19 *4963:A2 0.00040905
22 *199:19 *459:20 0.000146233
*RES
1 *5145:Q *459:7 6.3
2 *459:7 *459:9 4.5
3 *459:9 *4966:A1 11.61
4 *459:9 *459:20 8.64
5 *459:20 *4967:A2 4.5
6 *459:20 *4963:A2 5.67
7 *459:7 *4968:A2 4.5
*END
*D_NET *460 0.0008823
*CONN
*I *4901:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5159:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4901:A3 0.000143145
2 *5159:Q 0.000143145
3 *908:I *4901:A3 0.000400942
4 *307:66 *4901:A3 0.000195068
*RES
1 *5159:Q *4901:A3 20.07
*END
*D_NET *461 0.00267058
*CONN
*I *4901:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5161:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4901:A4 0.00115537
2 *5161:Q 0.00115537
3 *4901:A4 *4901:A2 0.000156402
4 *910:I *4901:A4 0
5 *5163:CLK *4901:A4 3.01487e-05
6 *265:20 *4901:A4 0.000173292
*RES
1 *5161:Q *4901:A4 26.1
*END
*D_NET *462 0.000804075
*CONN
*I *4901:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5163:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4901:A2 0.00024654
2 *5163:Q 0.00024654
3 *4901:A2 *4901:A1 9.40837e-05
4 *910:I *4901:A2 6.05085e-05
5 *4901:A4 *4901:A2 0.000156402
6 *4903:A1 *4901:A2 0
*RES
1 *5163:Q *4901:A2 11.43
*END
*D_NET *463 0.000597706
*CONN
*I *4901:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5165:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4901:A1 0.000150448
2 *5165:Q 0.000150448
3 *910:I *4901:A1 0.000202727
4 *4901:A2 *4901:A1 9.40837e-05
*RES
1 *5165:Q *4901:A1 10.35
*END
*D_NET *464 0.00108372
*CONN
*I *4898:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5167:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4898:A3 0.000198813
2 *5167:Q 0.000198813
3 *4898:A3 *4898:A2 0.0003054
4 *4898:A3 *4898:A4 0.000380693
*RES
1 *5167:Q *4898:A3 11.7
*END
*D_NET *465 0.00229834
*CONN
*I *4898:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5169:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4898:A4 0.000958823
2 *5169:Q 0.000958823
3 *4898:A3 *4898:A4 0.000380693
4 *5656:I *4898:A4 0
5 *309:14 *4898:A4 0
6 *309:18 *4898:A4 0
*RES
1 *5169:Q *4898:A4 25.74
*END
*D_NET *466 0.00309092
*CONN
*I *4898:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5171:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4898:A2 0.00119072
2 *5171:Q 0.00119072
3 *4898:A2 *4898:A1 0.000209799
4 *4898:A2 *5318:D 2.19283e-05
5 *913:I *4898:A2 0.000141277
6 *4898:A3 *4898:A2 0.0003054
7 *5318:CLK *4898:A2 3.10856e-05
*RES
1 *5171:Q *4898:A2 18.09
*END
*D_NET *467 0.00155344
*CONN
*I *4898:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5173:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4898:A1 0.000469225
2 *5173:Q 0.000469225
3 *4898:A2 *4898:A1 0.000209799
4 *5175:CLK *4898:A1 0.000215377
5 *5316:CLK *4898:A1 0
6 *309:5 *4898:A1 6.8358e-05
7 *309:14 *4898:A1 0.000121456
*RES
1 *5173:Q *4898:A1 22.32
*END
*D_NET *468 0.00197781
*CONN
*I *4899:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5175:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4899:A3 0.000125897
2 *5175:Q 0.000125897
3 *4899:A3 *4899:A2 4.31404e-05
4 *4899:A3 *4899:A4 1.29553e-05
5 *914:I *4899:A3 0.00058663
6 *4900:A2 *4899:A3 0.0007035
7 *303:15 *4899:A3 0.000226516
8 *303:65 *4899:A3 0.000153279
*RES
1 *5175:Q *4899:A3 11.88
*END
*D_NET *469 0.000673447
*CONN
*I *4899:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5177:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4899:A4 0.000293941
2 *5177:Q 0.000293941
3 *914:I *4899:A4 7.26099e-05
4 *4899:A3 *4899:A4 1.29553e-05
*RES
1 *5177:Q *4899:A4 10.71
*END
*D_NET *470 0.0029068
*CONN
*I *4967:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4963:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4968:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5146:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4967:A1 3.44723e-05
2 *4963:A1 8.61324e-05
3 *4968:A1 2.43885e-05
4 *5146:Q 3.09158e-05
5 *470:18 0.000346088
6 *470:7 0.000280787
7 *4963:A2 *4963:A1 0.000302501
8 *4969:A1 *470:7 2.35125e-05
9 *4969:A1 *470:18 6.15609e-06
10 *4969:A2 *4968:A1 0.000112034
11 *4969:A2 *470:18 6.06221e-05
12 *5146:D *470:18 0.000101647
13 *198:42 *4968:A1 6.98506e-05
14 *459:7 *470:7 0
15 *459:20 *4963:A1 7.10321e-05
16 *459:20 *4968:A1 0.000393233
17 *459:20 *470:18 0.000963426
*RES
1 *5146:Q *470:7 9.27
2 *470:7 *4968:A1 9.99
3 *470:7 *470:18 7.38
4 *470:18 *4963:A1 5.49
5 *470:18 *4967:A1 4.77
*END
*D_NET *471 0.00149519
*CONN
*I *4899:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5179:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4899:A2 0.000436927
2 *5179:Q 0.000436927
3 *4899:A2 *4899:A1 0.000301399
4 *4899:A3 *4899:A2 4.31404e-05
5 *4900:A2 *4899:A2 0.000180418
6 *303:65 *4899:A2 9.6383e-05
*RES
1 *5179:Q *4899:A2 13.68
*END
*D_NET *472 0.00158928
*CONN
*I *4899:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5181:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4899:A1 0.000643939
2 *5181:Q 0.000643939
3 *4899:A2 *4899:A1 0.000301399
*RES
1 *5181:Q *4899:A1 14.31
*END
*D_NET *473 0.000871749
*CONN
*I *4896:A4 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5183:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4896:A4 0.000434546
2 *5183:Q 0.000434546
3 *912:I *4896:A4 2.65663e-06
4 *265:33 *4896:A4 0
*RES
1 *5183:Q *4896:A4 11.88
*END
*D_NET *474 0.00139591
*CONN
*I *4896:A3 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5185:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4896:A3 0.000663082
2 *5185:Q 0.000663082
3 *311:100 *4896:A3 6.97466e-05
*RES
1 *5185:Q *4896:A3 22.68
*END
*D_NET *475 0.00206375
*CONN
*I *4896:A2 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5187:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4896:A2 0.000649256
2 *5187:Q 0.000649256
3 *4896:A2 *4896:A1 0.000765239
4 *5191:CLK *4896:A2 0
*RES
1 *5187:Q *4896:A2 14.94
*END
*D_NET *476 0.0028167
*CONN
*I *4896:A1 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5189:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4896:A1 0.000634871
2 *5189:Q 0.000634871
3 *4896:A2 *4896:A1 0.000765239
4 *5191:CLK *4896:A1 0.000421498
5 *310:56 *4896:A1 0.000360224
*RES
1 *5189:Q *4896:A1 16.65
*END
*D_NET *477 0.000634662
*CONN
*I *4897:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*I *5191:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4897:A2 0.000290241
2 *5191:Q 0.000290241
3 *4897:A2 *4897:A1 7.56244e-06
4 *921:I *4897:A2 1.0743e-05
5 *4965:A1 *4897:A2 3.58746e-05
6 *141:5 *4897:A2 0
*RES
1 *5191:Q *4897:A2 11.25
*END
*D_NET *478 0.000433179
*CONN
*I *4897:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_2
*I *5193:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4897:A1 0.000209819
2 *5193:Q 0.000209819
3 *921:I *4897:A1 0
4 *4897:A2 *4897:A1 7.56244e-06
5 *4965:A1 *4897:A1 5.97909e-06
6 *147:9 *4897:A1 0
*RES
1 *5193:Q *4897:A1 10.44
*END
*D_NET *479 0.00189136
*CONN
*I *4970:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *4972:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4963:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5147:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4970:A1 0
2 *4972:A1 0
3 *4963:A3 8.85854e-05
4 *5147:Q 0.000307466
5 *479:19 0.000389774
6 *479:7 0.000608655
7 *4963:A3 *4972:B 9.99385e-05
8 *4970:A2 *479:19 0.000196839
9 *4971:A2 *479:19 5.97909e-06
10 *199:19 *4963:A3 0.000183707
11 *199:19 *479:19 1.0415e-05
*RES
1 *5147:Q *479:7 6.84
2 *479:7 *479:19 2.88
3 *479:19 *4963:A3 5.67
4 *479:19 *4972:A1 4.5
5 *479:7 *4970:A1 4.5
*END
*D_NET *480 0.000869916
*CONN
*I *4972:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4963:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5148:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4972:B 0.000135689
2 *4963:A4 0
3 *5148:Q 0.000207695
4 *480:9 0.000343384
5 *4963:A3 *4972:B 9.99385e-05
6 *199:19 *4972:B 1.19582e-05
7 *199:19 *480:9 7.12514e-05
*RES
1 *5148:Q *480:9 6.21
2 *480:9 *4963:A4 4.5
3 *480:9 *4972:B 5.67
*END
*D_NET *481 0.00155762
*CONN
*I *4975:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4964:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4974:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5149:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4975:A1 0.000155491
2 *4964:A2 0.000205227
3 *4974:A1 6.02371e-05
4 *5149:Q 3.44723e-05
5 *481:13 0.000393007
6 *481:5 0.000126999
7 *1168:I *4964:A2 0
8 *4974:A2 *4964:A2 0
9 *4975:A2 *4975:A1 1.51249e-05
10 *4976:A3 *4974:A1 0.000149265
11 *4976:A3 *4975:A1 0.000151473
12 *4976:A3 *481:13 3.9806e-05
13 *5149:D *4974:A1 0.000226516
14 *196:12 *4964:A2 0
*RES
1 *5149:Q *481:5 4.77
2 *481:5 *4974:A1 5.49
3 *481:5 *481:13 0.27
4 *481:13 *4964:A2 14.67
5 *481:13 *4975:A1 5.85
*END
*D_NET *482 0.00585193
*CONN
*I *4964:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *4977:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4905:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5150:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4964:A1 0.000340579
2 *4977:A1 0
3 *4905:A1 0.000330194
4 *5150:Q 5.72495e-05
5 *482:20 0.000510017
6 *482:7 0.000556882
7 *921:I *4964:A1 6.16456e-05
8 *4905:A2 *4905:A1 0
9 *4975:A2 *4964:A1 5.15453e-06
10 *4976:A3 *4964:A1 0
11 *4978:A1 *482:7 2.33247e-06
12 *4978:A1 *482:20 1.27799e-05
13 *4978:A2 *482:20 0.000302501
14 *5150:D *4905:A1 0.000976421
15 *5150:D *482:20 0.000361932
16 *5609:D *4905:A1 1.50846e-05
17 *148:12 *4905:A1 0
18 *148:12 *482:20 0
19 *196:22 *4964:A1 2.896e-05
20 *198:22 *482:20 0.000112034
21 *203:7 *4964:A1 0.000295374
22 *310:5 *4964:A1 0.000575905
23 *310:5 *482:20 0.000365492
24 *310:105 *4964:A1 0.000941387
*RES
1 *5150:Q *482:7 9.27
2 *482:7 *4905:A1 12.42
3 *482:7 *482:20 7.2
4 *482:20 *4977:A1 4.5
5 *482:20 *4964:A1 9.36
*END
*D_NET *483 0.000927879
*CONN
*I *4902:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5151:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4902:A4 0.000312429
2 *5151:Q 0.000312429
3 *4902:A4 *4902:A2 6.97466e-05
4 *4903:A2 *4902:A4 0.000233274
*RES
1 *5151:Q *4902:A4 11.7
*END
*D_NET *484 0.000698132
*CONN
*I *4902:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5153:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4902:A3 0.000321328
2 *5153:Q 0.000321328
3 *4903:A2 *4902:A3 2.88514e-05
4 *265:194 *4902:A3 2.66242e-05
*RES
1 *5153:Q *4902:A3 11.34
*END
*D_NET *485 0.00183989
*CONN
*I *4902:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5155:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4902:A2 0.000825458
2 *5155:Q 0.000825458
3 *4902:A2 *4902:A1 0.000119228
4 *4902:A2 *5157:D 0
5 *4902:A4 *4902:A2 6.97466e-05
6 *307:62 *4902:A2 0
*RES
1 *5155:Q *4902:A2 24.48
*END
*D_NET *486 0.00067169
*CONN
*I *4902:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5157:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4902:A1 0.000276231
2 *5157:Q 0.000276231
3 *4902:A1 *5157:D 0
4 *4902:A2 *4902:A1 0.000119228
5 *307:58 *4902:A1 0
6 *307:62 *4902:A1 0
*RES
1 *5157:Q *4902:A1 20.16
*END
*D_NET *487 0.00313449
*CONN
*I *4949:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5095:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4945:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *4944:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5610:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4949:A1 0
2 *5095:A1 0.000105393
3 *4945:A1 0.000231338
4 *4944:A1 6.75933e-05
5 *5610:Q 0.000251345
6 *487:11 0.000480154
7 *487:9 0.000308937
8 *487:7 0.000273666
9 *4944:A1 *4944:A2 1.51249e-05
10 *4944:A1 *4945:A4 0.000200267
11 *4945:A1 *4945:A4 5.50917e-05
12 *4945:A1 *4953:B 0.000368439
13 *4945:A1 *507:7 7.82137e-05
14 *4945:A1 *508:5 3.5516e-05
15 *5095:A1 *498:11 6.98506e-05
16 *487:7 *498:11 8.39828e-06
17 *487:9 *498:11 1.61223e-05
18 *487:9 *498:13 2.94011e-05
19 *487:11 *4945:A4 2.26873e-05
20 *487:11 *498:13 0.000140058
21 *1122:I *487:7 2.35229e-05
22 *4950:A3 *487:7 4.83668e-05
23 *4950:A3 *487:9 7.7749e-07
24 *4950:A3 *487:11 5.15117e-06
25 *4952:A1 *487:11 0
26 *4953:A2 *4945:A1 6.3498e-05
27 *4953:A2 *487:11 7.56244e-06
28 *5096:D *487:7 0
29 *5610:CLK *5095:A1 0
30 *187:17 *5095:A1 0.000228011
31 *273:38 *487:7 0
32 *273:46 *5095:A1 0
*RES
1 *5610:Q *487:7 6.3
2 *487:7 *487:9 0.27
3 *487:9 *487:11 1.71
4 *487:11 *4944:A1 5.58
5 *487:11 *4945:A1 7.11
6 *487:9 *5095:A1 14.85
7 *487:7 *4949:A1 4.5
*END
*D_NET *488 0.000890514
*CONN
*I *4909:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5108:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4909:A2 0.000399325
2 *5108:Q 0.000399325
3 *4909:A2 *4909:A1 7.79157e-05
4 *879:I *4909:A2 5.97909e-06
5 *266:174 *4909:A2 7.96988e-06
*RES
1 *5108:Q *4909:A2 12.15
*END
*D_NET *489 0.00292353
*CONN
*I *4909:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5110:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4909:A3 0.00030286
2 *5110:Q 0.00030286
3 *4909:A3 *4909:A1 0.000319241
4 *880:I *4909:A3 0
5 *4910:A1 *4909:A3 0.000453291
6 *4910:A2 *4909:A3 0.000599776
7 *153:9 *4909:A3 0.000911232
8 *266:156 *4909:A3 3.42687e-05
*RES
1 *5110:Q *4909:A3 23.04
*END
*D_NET *490 0.00321
*CONN
*I *4909:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5112:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4909:A4 0.000353998
2 *5112:Q 0.000353998
3 *4909:A4 *4909:A1 0.000172303
4 *4910:A1 *4909:A4 0.000276751
5 *266:74 *4909:A4 9.84971e-05
6 *266:139 *4909:A4 0.000979587
7 *266:153 *4909:A4 0.000876361
8 *266:174 *4909:A4 9.85067e-05
*RES
1 *5112:Q *4909:A4 14.58
*END
*D_NET *491 0.00187528
*CONN
*I *4908:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5114:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4908:A1 0.000475314
2 *5114:Q 0.000475314
3 *4908:A1 *4908:A2 0.000924647
4 *316:10 *4908:A1 0
5 *316:41 *4908:A1 0
*RES
1 *5114:Q *4908:A1 22.5
*END
*D_NET *492 0.00198068
*CONN
*I *4908:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5116:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4908:A2 0.000507784
2 *5116:Q 0.000507784
3 *4908:A2 *5114:D 2.65663e-06
4 *4908:A1 *4908:A2 0.000924647
5 *4910:A1 *4908:A2 3.78122e-05
6 *316:7 *4908:A2 0
*RES
1 *5116:Q *4908:A2 13.59
*END
*D_NET *493 0.000451764
*CONN
*I *4908:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5118:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4908:A3 7.46315e-05
2 *5118:Q 7.46315e-05
3 *4910:A1 *4908:A3 0.000302501
*RES
1 *5118:Q *4908:A3 9.81
*END
*D_NET *494 0.000995002
*CONN
*I *4908:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5120:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4908:A4 0.000358831
2 *5120:Q 0.000358831
3 *4908:A4 *5114:D 2.98955e-05
4 *4910:A1 *4908:A4 0
5 *266:74 *4908:A4 0.000247445
*RES
1 *5120:Q *4908:A4 20.88
*END
*D_NET *495 0.000560036
*CONN
*I *4911:A1 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5122:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4911:A1 0.000249753
2 *5122:Q 0.000249753
3 *4911:A1 *4911:A4 0
4 *4911:A1 *5124:D 0
5 *318:31 *4911:A1 6.05306e-05
*RES
1 *5122:Q *4911:A1 10.8
*END
*D_NET *496 0.000773106
*CONN
*I *4911:A2 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5124:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4911:A2 0.000199921
2 *5124:Q 0.000199921
3 *4911:A2 *4911:A3 0.000255145
4 *4912:A4 *4911:A2 6.97466e-05
5 *318:12 *4911:A2 0
6 *318:31 *4911:A2 4.83731e-05
7 *318:34 *4911:A2 0
*RES
1 *5124:Q *4911:A2 19.8
*END
*D_NET *497 0.000632475
*CONN
*I *4911:A3 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5126:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4911:A3 0.000188665
2 *5126:Q 0.000188665
3 *4911:A2 *4911:A3 0.000255145
4 *318:12 *4911:A3 0
5 *318:62 *4911:A3 0
*RES
1 *5126:Q *4911:A3 19.89
*END
*D_NET *498 0.00311858
*CONN
*I *4949:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4944:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4945:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5096:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4949:A2 0
2 *4944:A2 2.25504e-05
3 *4945:A4 0.000200897
4 *5096:Q 0.000342735
5 *498:13 0.000339654
6 *498:11 0.000458942
7 *4945:A4 *4945:A3 0.000213108
8 *1122:I *498:11 1.59687e-05
9 *4944:A1 *4944:A2 1.51249e-05
10 *4944:A1 *4945:A4 0.000200267
11 *4945:A1 *4945:A4 5.50917e-05
12 *5095:A1 *498:11 6.98506e-05
13 *5096:D *498:11 0.000119228
14 *5597:CLK *498:11 4.93468e-05
15 *187:17 *498:11 0.00012686
16 *266:197 *498:11 2.1289e-05
17 *273:38 *4945:A4 0.000485987
18 *273:38 *498:11 1.51249e-05
19 *273:38 *498:13 5.98574e-05
20 *273:46 *498:11 9.00252e-05
21 *487:7 *498:11 8.39828e-06
22 *487:9 *498:11 1.61223e-05
23 *487:9 *498:13 2.94011e-05
24 *487:11 *4945:A4 2.26873e-05
25 *487:11 *498:13 0.000140058
*RES
1 *5096:Q *498:11 17.01
2 *498:11 *498:13 1.17
3 *498:13 *4945:A4 7.92
4 *498:13 *4944:A2 4.77
5 *498:11 *4949:A2 4.5
*END
*D_NET *499 0.00169565
*CONN
*I *4911:A4 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5128:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4911:A4 0.000776372
2 *5128:Q 0.000776372
3 *4911:A1 *4911:A4 0
4 *317:51 *4911:A4 0
5 *318:31 *4911:A4 0.00014291
*RES
1 *5128:Q *4911:A4 23.49
*END
*D_NET *500 0.00130418
*CONN
*I *4912:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5130:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4912:A1 0.000557666
2 *5130:Q 0.000557666
3 *4912:A1 *4912:A2 0
4 *4912:A1 *5110:D 2.39385e-05
5 *881:I *4912:A1 8.83271e-05
6 *891:I *4912:A1 5.50917e-05
7 *155:13 *4912:A1 0
8 *270:47 *4912:A1 2.14859e-05
9 *270:59 *4912:A1 0
10 *270:63 *4912:A1 0
*RES
1 *5130:Q *4912:A1 13.14
*END
*D_NET *501 0.00113225
*CONN
*I *4912:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5132:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4912:A2 0.000239372
2 *5132:Q 0.000239372
3 *891:I *4912:A2 0.000264326
4 *4912:A1 *4912:A2 0
5 *4912:A4 *4912:A2 0
6 *155:13 *4912:A2 0.00038918
*RES
1 *5132:Q *4912:A2 11.25
*END
*D_NET *502 0.000993552
*CONN
*I *4912:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_2
*I *5134:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4912:A3 0.000443502
2 *5134:Q 0.000443502
3 *5665:I *4912:A3 0
4 *318:15 *4912:A3 0.000106548
*RES
1 *5134:Q *4912:A3 21.6
*END
*D_NET *503 0.00118597
*CONN
*I *4907:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5136:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4907:A2 0.000477249
2 *5136:Q 0.000477249
3 *153:9 *4907:A2 0.000231469
*RES
1 *5136:Q *4907:A2 21.2048
*END
*D_NET *504 0.00130588
*CONN
*I *4907:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5138:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4907:A1 0.000315015
2 *5138:Q 0.000315015
3 *4947:A1 *4907:A1 2.39164e-05
4 *4947:A3 *4907:A1 0
5 *5618:I *4907:A1 0.000609368
6 *150:5 *4907:A1 4.25712e-05
7 *153:9 *4907:A1 0
*RES
1 *5138:Q *4907:A1 21.06
*END
*D_NET *505 0.00183019
*CONN
*I *4906:A2 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5140:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4906:A2 0.000514454
2 *5140:Q 0.000514454
3 *4906:A2 *4906:A3 0.000488392
4 *4906:A2 *4906:A4 0.000306897
5 *1:13 *4906:A2 5.99413e-06
*RES
1 *5140:Q *4906:A2 13.95
*END
*D_NET *506 0.000460355
*CONN
*I *4906:A1 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5142:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4906:A1 0.000202071
2 *5142:Q 0.000202071
3 *4906:A1 *4906:A4 3.22445e-05
4 *896:I *4906:A1 0
5 *1:13 *4906:A1 2.39675e-05
*RES
1 *5142:Q *4906:A1 10.53
*END
*D_NET *507 0.00285671
*CONN
*I *4951:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *4953:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4945:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5097:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4951:A1 0.00016632
2 *4953:A1 0
3 *4945:A3 0.000129854
4 *5097:Q 0.000295151
5 *507:8 0.000224556
6 *507:7 0.000556173
7 *4945:A3 *4953:B 0.000441902
8 *4945:A3 *508:5 4.83668e-05
9 *507:7 *508:5 6.45066e-05
10 *4945:A1 *507:7 7.82137e-05
11 *4945:A4 *4945:A3 0.000213108
12 *4951:A2 *4951:A1 1.18863e-05
13 *4952:A1 *507:7 0.000150927
14 *4953:A2 *507:7 3.01487e-05
15 *4954:A3 *4945:A3 0.000226886
16 *4954:A3 *507:8 7.28986e-05
17 *5097:D *507:7 7.56244e-06
18 *183:6 *507:8 2.87856e-05
19 *183:15 *4951:A1 6.44314e-05
20 *183:15 *507:8 6.39661e-06
21 *273:26 *507:7 2.35125e-05
22 *273:38 *4945:A3 1.51249e-05
*RES
1 *5097:Q *507:7 11.79
2 *507:7 *507:8 0.81
3 *507:8 *4945:A3 11.16
4 *507:8 *4953:A1 9
5 *507:7 *4951:A1 10.17
*END
*D_NET *508 0.00150879
*CONN
*I *4953:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4945:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5098:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4953:B 7.57055e-05
2 *4945:A2 0
3 *5098:Q 0.000167518
4 *508:5 0.000243223
5 *4945:A1 *4953:B 0.000368439
6 *4945:A1 *508:5 3.5516e-05
7 *4945:A3 *4953:B 0.000441902
8 *4945:A3 *508:5 4.83668e-05
9 *273:26 *508:5 3.9806e-05
10 *273:38 *508:5 2.38088e-05
11 *507:7 *508:5 6.45066e-05
*RES
1 *5098:Q *508:5 6.03
2 *508:5 *4945:A2 4.5
3 *508:5 *4953:B 6.21
*END
*D_NET *509 0.00228797
*CONN
*I *4955:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4956:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4946:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *5099:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4955:A1 0
2 *4956:A1 6.91169e-05
3 *4946:A3 6.69601e-06
4 *5099:Q 0.000248808
5 *509:10 0.00023544
6 *509:5 0.000408435
7 *4956:A1 *4946:A2 0.00015309
8 *4955:A2 *509:5 7.20607e-05
9 *4955:A2 *509:10 0.000101916
10 *4956:A2 *4946:A3 9.84971e-05
11 *4956:A2 *4956:A1 0.00029446
12 *4957:A3 *4946:A3 9.84971e-05
13 *4957:A3 *4956:A1 0.000335343
14 *4957:A3 *509:5 1.36656e-05
15 *4960:A1 *509:10 3.42483e-05
16 *156:19 *509:5 0
17 *184:10 *509:10 3.94756e-05
18 *191:8 *509:5 4.04536e-06
19 *191:11 *4956:A1 3.13604e-05
20 *191:11 *509:5 4.28194e-05
*RES
1 *5099:Q *509:5 6.21
2 *509:5 *509:10 10.35
3 *509:10 *4946:A3 4.77
4 *509:10 *4956:A1 6.12
5 *509:5 *4955:A1 4.5
*END
*D_NET *510 0.00366104
*CONN
*I *4958:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *4961:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *4959:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4946:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *5100:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4958:A1 0
2 *4961:A1 0.000112088
3 *4959:A1 0
4 *4946:A2 0.000192963
5 *5100:Q 0.000235434
6 *510:13 0.000226107
7 *510:12 0.000384841
8 *510:7 0.000475043
9 *4956:A1 *4946:A2 0.00015309
10 *4956:A2 *4946:A2 0.000373534
11 *4958:A2 *510:7 7.56244e-06
12 *4958:A2 *510:12 6.11492e-05
13 *4959:A2 *4946:A2 2.60586e-05
14 *4959:A2 *510:13 3.98162e-05
15 *4960:A1 *510:12 0
16 *4960:A3 *510:12 0.000162112
17 *4961:A2 *4946:A2 2.60586e-05
18 *4961:A2 *4961:A1 0.000205547
19 *4961:A2 *510:13 5.30848e-05
20 *4962:A2 *4961:A1 1.61223e-05
21 *5100:D *510:12 3.09285e-06
22 *5101:D *510:12 0
23 *156:29 *4946:A2 0.000507547
24 *156:52 *4946:A2 0.000199491
25 *156:52 *4961:A1 0.000134204
26 *156:52 *510:13 1.27695e-05
27 *191:11 *4946:A2 5.33259e-05
*RES
1 *5100:Q *510:7 6.12
2 *510:7 *510:12 11.07
3 *510:12 *510:13 0.45
4 *510:13 *4946:A2 7.65
5 *510:13 *4959:A1 4.5
6 *510:12 *4961:A1 6.12
7 *510:7 *4958:A1 4.5
*END
*D_NET *511 0.00376021
*CONN
*I *4914:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *4946:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_1
*I *4961:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5101:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4914:A1 0.000469266
2 *4946:A1 0.000355834
3 *4961:B 3.44723e-05
4 *5101:Q 2.87269e-05
5 *511:9 0.000660549
6 *511:5 0.000768235
7 *838:I *4914:A1 3.48503e-05
8 *4914:A2 *4914:A1 5.49318e-05
9 *4962:A1 *4946:A1 0
10 *4962:A1 *511:9 0
11 *5619:I *4914:A1 5.29429e-05
12 *156:29 *4946:A1 0.000507547
13 *156:52 *4914:A1 0.000142071
14 *156:52 *4946:A1 0.000560685
15 *156:52 *511:9 9.00992e-05
16 *187:5 *4946:A1 0
*RES
1 *5101:Q *511:5 4.77
2 *511:5 *511:9 1.98
3 *511:9 *4961:B 4.77
4 *511:9 *4946:A1 8.37
5 *511:5 *4914:A1 17.01
*END
*D_NET *512 0.00262151
*CONN
*I *4906:A3 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5102:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4906:A3 0.000766414
2 *5102:Q 0.000766414
3 *895:I *4906:A3 4.82503e-05
4 *896:I *4906:A3 3.07804e-06
5 *4906:A2 *4906:A3 0.000488392
6 *5099:CLK *4906:A3 5.77783e-05
7 *271:5 *4906:A3 0.000198698
8 *271:56 *4906:A3 7.7779e-05
9 *271:66 *4906:A3 1.51249e-05
10 *271:74 *4906:A3 0.000133707
11 *271:79 *4906:A3 6.58749e-05
*RES
1 *5102:Q *4906:A3 25.38
*END
*D_NET *513 0.00128178
*CONN
*I *4906:A4 I *D gf180mcu_fd_sc_mcu7t5v0__or4_1
*I *5104:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4906:A4 0.0002747
2 *5104:Q 0.0002747
3 *4906:A1 *4906:A4 3.22445e-05
4 *4906:A2 *4906:A4 0.000306897
5 *1:13 *4906:A4 0
6 *271:16 *4906:A4 0.000393242
*RES
1 *5104:Q *4906:A4 21.06
*END
*D_NET *514 0.000955793
*CONN
*I *4909:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5106:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4909:A1 0.000189385
2 *5106:Q 0.000189385
3 *4909:A2 *4909:A1 7.79157e-05
4 *4909:A3 *4909:A1 0.000319241
5 *4909:A4 *4909:A1 0.000172303
6 *4910:A2 *4909:A1 7.56244e-06
*RES
1 *5106:Q *4909:A1 11.7
*END
*D_NET *515 0.00427088
*CONN
*I *5073:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5071:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5561:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5073:A4 0.000272733
2 *5071:I 0.000731744
3 *5561:Q 0
4 *515:4 0.00100448
5 *5071:I *535:8 3.19831e-06
6 *5073:A4 *5073:A1 0.000287292
7 *5073:A4 *5081:B 0
8 *5073:A4 *535:19 0.0002552
9 *5082:A2 *5073:A4 1.43029e-05
10 *5082:A3 *5071:I 3.327e-05
11 *5084:A2 *5073:A4 0.000288077
12 *5401:CLK *5071:I 0
13 *5563:D *5071:I 0
14 *5563:D *5073:A4 0.000490975
15 *79:8 *5071:I 0.000215962
16 *79:10 *5071:I 0
17 *275:15 *5071:I 0
18 *275:20 *5073:A4 0.000315018
19 *275:22 *5073:A4 0.000358635
*RES
1 *5561:Q *515:4 4.5
2 *515:4 *5071:I 18.72
3 *515:4 *5073:A4 17.64
*END
*D_NET *516 0.0021001
*CONN
*I *4918:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5573:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4918:A1 0.000741906
2 *5573:Q 0.000741906
3 *4918:A1 *4918:A4 2.64654e-05
4 *1105:I *4918:A1 0.000447475
5 *4921:A3 *4918:A1 0.000142348
*RES
1 *5573:Q *4918:A1 14.67
*END
*D_NET *517 0.000386141
*CONN
*I *4918:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5575:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4918:A2 0.000183743
2 *5575:Q 0.000183743
3 *1105:I *4918:A2 1.86543e-05
*RES
1 *5575:Q *4918:A2 10.26
*END
*D_NET *518 0.000489172
*CONN
*I *4919:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5577:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4919:A1 2.94459e-05
2 *5577:Q 2.94459e-05
3 *4919:A1 *4919:A2 0.000302501
4 *278:5 *4919:A1 0.000127779
*RES
1 *5577:Q *4919:A1 9.81
*END
*D_NET *519 0.00143282
*CONN
*I *4919:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5579:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4919:A2 0.000436376
2 *5579:Q 0.000436376
3 *4919:A1 *4919:A2 0.000302501
4 *5625:I *4919:A2 1.04644e-05
5 *266:94 *4919:A2 4.24631e-05
6 *278:5 *4919:A2 0.000132167
7 *278:68 *4919:A2 6.64876e-05
8 *278:70 *4919:A2 5.97909e-06
*RES
1 *5579:Q *4919:A2 12.87
*END
*D_NET *520 0.00200095
*CONN
*I *4919:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5581:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4919:A3 0.000279188
2 *5581:Q 0.000279188
3 *4921:A2 *4919:A3 0.00042578
4 *266:91 *4919:A3 5.61605e-05
5 *266:94 *4919:A3 3.42658e-05
6 *266:116 *4919:A3 1.1617e-05
7 *278:5 *4919:A3 2.65663e-06
8 *278:25 *4919:A3 0.000912092
*RES
1 *5581:Q *4919:A3 21.78
*END
*D_NET *521 0.00136554
*CONN
*I *4919:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5583:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4919:A4 0.000618638
2 *5583:Q 0.000618638
3 *4919:A4 *5577:D 3.98162e-05
4 *1108:I *4919:A4 1.59687e-05
5 *278:25 *4919:A4 0
6 *278:27 *4919:A4 0
7 *278:37 *4919:A4 7.24817e-05
*RES
1 *5583:Q *4919:A4 22.32
*END
*D_NET *522 0.00144124
*CONN
*I *4920:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5585:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4920:A4 0.000641801
2 *5585:Q 0.000641801
3 *4920:A4 *5589:D 0
4 *5589:CLK *4920:A4 0.000157636
*RES
1 *5585:Q *4920:A4 23.04
*END
*D_NET *523 0.00232524
*CONN
*I *4920:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5587:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4920:A3 0.000824063
2 *5587:Q 0.000824063
3 *4920:A3 *4920:A2 0.000602752
4 *4920:A3 *5591:D 0
5 *1112:I *4920:A3 7.43581e-05
6 *5591:CLK *4920:A3 0
*RES
1 *5587:Q *4920:A3 23.67
*END
*D_NET *524 0.00124065
*CONN
*I *4920:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5589:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4920:A1 0.00025331
2 *5589:Q 0.00025331
3 *1111:I *4920:A1 0.000281324
4 *4921:A3 *4920:A1 6.97362e-05
5 *5589:CLK *4920:A1 0.000382972
*RES
1 *5589:Q *4920:A1 20.52
*END
*D_NET *525 0.000988138
*CONN
*I *4920:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5591:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4920:A2 0.000166874
2 *5591:Q 0.000166874
3 *1112:I *4920:A2 5.16383e-05
4 *4920:A3 *4920:A2 0.000602752
5 *5254:CLK *4920:A2 0
*RES
1 *5591:Q *4920:A2 11.16
*END
*D_NET *526 0.00456218
*CONN
*I *5078:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5081:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5080:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5073:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5562:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5078:A1 0
2 *5081:A1 2.68637e-05
3 *5080:A2 3.44723e-05
4 *5073:A3 0.000351957
5 *5562:Q 0.000247685
6 *526:9 0.000706755
7 *526:7 0.000541147
8 *5073:A3 *5073:A1 6.64042e-05
9 *5073:A3 *535:19 0.000313169
10 *526:9 *535:19 3.12451e-05
11 *1096:I *526:7 6.31651e-05
12 *1096:I *526:9 0.00058663
13 *1098:I *5073:A3 6.68764e-05
14 *5078:A2 *526:7 1.61223e-05
15 *5078:A2 *526:9 6.10595e-05
16 *5082:A3 *5081:A1 0.000591397
17 *5084:A2 *5073:A3 0.000226035
18 *79:10 *5081:A1 0.000591397
19 *86:5 *5073:A3 3.98031e-05
20 *275:15 *526:9 0
*RES
1 *5562:Q *526:7 6.48
2 *526:7 *526:9 2.79
3 *526:9 *5073:A3 8.01
4 *526:9 *5080:A2 4.77
5 *526:9 *5081:A1 15.03
6 *526:7 *5078:A1 4.5
*END
*D_NET *527 0.000560414
*CONN
*I *4915:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5593:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4915:A1 0.000216318
2 *5593:Q 0.000216318
3 *4915:A1 *4915:A2 0.000127779
*RES
1 *5593:Q *4915:A1 10.8
*END
*D_NET *528 0.00154562
*CONN
*I *4915:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5595:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4915:A2 0.000528988
2 *5595:Q 0.000528988
3 *4915:A2 *5593:D 0
4 *1116:I *4915:A2 0
5 *4915:A1 *4915:A2 0.000127779
6 *274:133 *4915:A2 0.000359863
*RES
1 *5595:Q *4915:A2 13.68
*END
*D_NET *529 0.00252392
*CONN
*I *4915:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5597:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4915:A4 0.000954697
2 *5597:Q 0.000954697
3 *4915:A4 *4915:A3 0.000609368
4 *274:81 *4915:A4 5.15453e-06
5 *274:121 *4915:A4 0
*RES
1 *5597:Q *4915:A4 25.38
*END
*D_NET *530 0.000902998
*CONN
*I *4915:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5599:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4915:A3 0.000146815
2 *5599:Q 0.000146815
3 *4915:A4 *4915:A3 0.000609368
4 *274:121 *4915:A3 0
5 *274:133 *4915:A3 0
*RES
1 *5599:Q *4915:A3 19.89
*END
*D_NET *531 0.000944785
*CONN
*I *4916:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5601:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4916:A3 0.000112555
2 *5601:Q 0.000112555
3 *4916:A3 *4916:A4 0.000619638
4 *274:22 *4916:A3 2.34225e-05
5 *274:27 *4916:A3 7.66145e-05
*RES
1 *5601:Q *4916:A3 19.71
*END
*D_NET *532 0.00255947
*CONN
*I *4916:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5603:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4916:A4 0.000905262
2 *5603:Q 0.000905262
3 *4916:A3 *4916:A4 0.000619638
4 *5621:I *4916:A4 5.26951e-05
5 *273:9 *4916:A4 1.79373e-05
6 *274:27 *4916:A4 2.46166e-05
7 *274:50 *4916:A4 2.89056e-05
8 *274:73 *4916:A4 5.15117e-06
*RES
1 *5603:Q *4916:A4 25.38
*END
*D_NET *533 0.00182301
*CONN
*I *4916:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5605:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4916:A2 0.000707944
2 *5605:Q 0.000707944
3 *4916:A2 *4916:A1 2.44333e-05
4 *1119:I *4916:A2 0.000205106
5 *4917:A2 *4916:A2 0.00017758
6 *5566:CLK *4916:A2 0
*RES
1 *5605:Q *4916:A2 23.58
*END
*D_NET *534 0.000692264
*CONN
*I *4916:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5607:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4916:A1 0.000286979
2 *5607:Q 0.000286979
3 *1120:I *4916:A1 1.31343e-05
4 *4916:A2 *4916:A1 2.44333e-05
5 *4917:A2 *4916:A1 2.98955e-05
6 *163:11 *4916:A1 0
7 *274:13 *4916:A1 5.08443e-05
*RES
1 *5607:Q *4916:A1 11.34
*END
*D_NET *535 0.00262231
*CONN
*I *5081:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5080:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5073:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5563:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5081:B 9.2427e-05
2 *5080:A1 3.0809e-05
3 *5073:A1 0.000139471
4 *5563:Q 9.56675e-05
5 *535:19 0.000388467
6 *535:8 0.000500369
7 *1096:I *5080:A1 7.96988e-06
8 *1096:I *5081:B 2.39096e-05
9 *1098:I *5073:A1 0.000235083
10 *5071:I *535:8 3.19831e-06
11 *5073:A3 *5073:A1 6.64042e-05
12 *5073:A3 *535:19 0.000313169
13 *5073:A4 *5073:A1 0.000287292
14 *5073:A4 *5081:B 0
15 *5073:A4 *535:19 0.0002552
16 *5563:D *535:8 8.66883e-05
17 *275:15 *535:19 6.25566e-05
18 *275:20 *5073:A1 2.38751e-06
19 *526:9 *535:19 3.12451e-05
*RES
1 *5563:Q *535:8 14.31
2 *535:8 *5073:A1 6.03
3 *535:8 *535:19 2.79
4 *535:19 *5080:A1 4.77
5 *535:19 *5081:B 5.31
*END
*D_NET *536 0.00148347
*CONN
*I *5073:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5084:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5564:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5073:A2 6.92608e-05
2 *5084:A1 0
3 *5564:Q 0.000308512
4 *536:11 0.000377773
5 *1098:I *5073:A2 0.000515598
6 *1098:I *536:11 0.000112383
7 *5082:A2 *5073:A2 0
8 *5084:A2 *5073:A2 9.99385e-05
*RES
1 *5564:Q *536:11 6.84
2 *536:11 *5084:A1 4.5
3 *536:11 *5073:A2 5.85
*END
*D_NET *537 0.00349771
*CONN
*I *5074:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5089:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5088:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5086:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5565:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5074:A2 0.000139572
2 *5089:A1 3.97806e-05
3 *5088:A1 0
4 *5086:A1 0
5 *5565:Q 0.000663797
6 *537:29 0.000489002
7 *537:19 0.000497765
8 *537:9 0.000851912
9 *5074:A2 *5074:A3 0.000108813
10 *5089:A1 *5074:A3 4.45138e-05
11 *537:19 *5088:A2 1.61223e-05
12 *537:29 *5088:A2 3.22289e-05
13 *5074:A4 *5074:A2 8.39828e-06
14 *5086:A2 *537:9 1.61223e-05
15 *5086:A2 *537:19 0.000125951
16 *5087:A1 *537:9 1.81814e-05
17 *5087:A2 *537:9 0.00029446
18 *5090:A1 *537:29 4.04738e-06
19 *5090:A2 *537:29 0
20 *5090:A3 *537:29 0.000109567
21 *83:17 *5074:A2 0
22 *83:17 *537:29 2.00305e-06
23 *88:17 *5089:A1 3.01487e-05
24 *88:17 *537:29 5.32024e-06
25 *266:123 *537:19 0
26 *266:123 *537:29 0
*RES
1 *5565:Q *537:9 18.9
2 *537:9 *5086:A1 4.5
3 *537:9 *537:19 1.71
4 *537:19 *5088:A1 4.5
5 *537:19 *537:29 2.61
6 *537:29 *5089:A1 4.95
7 *537:29 *5074:A2 5.76
*END
*D_NET *538 0.0025588
*CONN
*I *5088:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5074:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5089:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5566:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5088:A2 0.000125297
2 *5074:A3 8.83429e-05
3 *5089:B 0
4 *5566:Q 0.000215885
5 *538:11 0.000126408
6 *538:8 0.000379248
7 *5074:A2 *5074:A3 0.000108813
8 *5074:A4 *5074:A3 0.000140058
9 *5074:A4 *5088:A2 1.24348e-05
10 *5074:A4 *538:8 5.06372e-05
11 *5086:A2 *5088:A2 3.5516e-05
12 *5089:A1 *5074:A3 4.45138e-05
13 *5090:A1 *5088:A2 4.91829e-05
14 *5090:A1 *538:8 0.000107497
15 *5090:A3 *5088:A2 0.000153126
16 *5092:A2 *5074:A3 0
17 *5092:A2 *538:8 0.000196637
18 *5092:A2 *538:11 0
19 *5566:D *538:8 1.23714e-05
20 *83:8 *538:8 0.000120735
21 *88:17 *5074:A3 3.5516e-05
22 *88:17 *5088:A2 0.000338687
23 *88:17 *538:11 0.000169539
24 *163:11 *538:8 0
25 *537:19 *5088:A2 1.61223e-05
26 *537:29 *5088:A2 3.22289e-05
*RES
1 *5566:Q *538:8 11.7
2 *538:8 *538:11 4.95
3 *538:11 *5089:B 4.5
4 *538:11 *5074:A3 5.67
5 *538:8 *5088:A2 10.53
*END
*D_NET *539 0.00380011
*CONN
*I *5074:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5092:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5567:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5074:A1 0
2 *5092:A1 0.000513075
3 *5567:Q 0.000465337
4 *539:8 0.000978412
5 *5076:I *539:8 0
6 *5079:A1 *539:8 2.39385e-05
7 *5092:A2 *5092:A1 0.000151473
8 *5093:A1 *5092:A1 0
9 *5093:A3 *5092:A1 6.69157e-05
10 *5564:CLK *539:8 4.35099e-06
11 *83:8 *5092:A1 0.000307471
12 *83:43 *5092:A1 2.05612e-05
13 *83:43 *539:8 9.91695e-05
14 *84:8 *539:8 0.000567863
15 *84:22 *539:8 0.000365483
16 *84:45 *539:8 0.000169539
17 *275:43 *539:8 6.65247e-05
18 *275:49 *539:8 0
*RES
1 *5567:Q *539:8 13.68
2 *539:8 *5092:A1 14.04
3 *539:8 *5074:A1 9
*END
*D_NET *540 0.00188519
*CONN
*I *4923:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5072:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *5568:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4923:A1 0.000128238
2 *5072:I 0
3 *5568:Q 0.000533922
4 *540:9 0.00066216
5 *4922:A1 *540:9 0
6 *5075:A1 *540:9 9.97279e-05
7 *5094:A1 *4923:A1 6.24504e-05
8 *5094:A1 *540:9 1.07462e-05
9 *5512:D *4923:A1 0.000252622
10 *5512:D *540:9 1.61223e-05
11 *5512:CLK *4923:A1 0.000103733
12 *164:6 *540:9 1.54643e-05
13 *275:78 *540:9 0
*RES
1 *5568:Q *540:9 17.64
2 *540:9 *5072:I 4.5
3 *540:9 *4923:A1 15.48
*END
*D_NET *541 0.00247478
*CONN
*I *4918:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5569:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4918:A4 0.000614216
2 *5569:Q 0.000614216
3 *4918:A4 *4918:A3 0
4 *4918:A1 *4918:A4 2.64654e-05
5 *266:84 *4918:A4 0.000728623
6 *266:91 *4918:A4 0.000400942
7 *277:46 *4918:A4 9.03145e-05
*RES
1 *5569:Q *4918:A4 23.31
*END
*D_NET *542 0.00050996
*CONN
*I *4918:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5571:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4918:A3 0.000247248
2 *5571:Q 0.000247248
3 *4918:A4 *4918:A3 0
4 *266:91 *4918:A3 0
5 *277:76 *4918:A3 1.54643e-05
*RES
1 *5571:Q *4918:A3 20.07
*END
*D_NET *543 0.00224362
*CONN
*I *5043:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *5044:A4 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5513:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5043:I 0
2 *5044:A4 0.00029244
3 *5513:Q 0.000521489
4 *543:9 0.000813929
5 *1075:I *543:9 0
6 *1153:I *5044:A4 0.000110682
7 *5055:A1 *5044:A4 0
8 *5055:A1 *543:9 4.36429e-05
9 *5057:A2 *5044:A4 0.000185882
10 *5058:A2 *5044:A4 3.5516e-05
11 *5513:D *543:9 0.000109209
12 *245:11 *5044:A4 0.000108464
13 *245:15 *5044:A4 0
14 *245:21 *5044:A4 0
15 *252:12 *543:9 2.23646e-05
16 *253:11 *5044:A4 0
*RES
1 *5513:Q *543:9 17.64
2 *543:9 *5044:A4 7.38
3 *543:9 *5043:I 4.5
*END
*D_NET *544 0.0013074
*CONN
*I *4928:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5524:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4928:A1 0.000340145
2 *5524:Q 0.000340145
3 *4928:A1 *4928:A3 1.79373e-05
4 *1083:I *4928:A1 1.0743e-05
5 *4931:A1 *4928:A1 4.83668e-05
6 *267:220 *4928:A1 0.000496822
7 *294:113 *4928:A1 5.32393e-05
*RES
1 *5524:Q *4928:A1 12.24
*END
*D_NET *545 0.00170716
*CONN
*I *4929:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5526:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4929:A4 0.000281697
2 *5526:Q 0.000281697
3 *4929:A4 *4929:A3 0.000611937
4 *5526:CLK *4929:A4 0.000328044
5 *264:73 *4929:A4 0.000203785
6 *292:8 *4929:A4 0
*RES
1 *5526:Q *4929:A4 22.23
*END
*D_NET *546 0.00169929
*CONN
*I *4929:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5528:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4929:A3 0.000423893
2 *5528:Q 0.000423893
3 *4929:A3 *5538:D 8.53414e-05
4 *4929:A4 *4929:A3 0.000611937
5 *264:73 *4929:A3 0.000154222
6 *292:8 *4929:A3 0
*RES
1 *5528:Q *4929:A3 22.14
*END
*D_NET *547 0.00113194
*CONN
*I *4929:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5530:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4929:A2 0.000449003
2 *5530:Q 0.000449003
3 *4929:A2 *4929:A1 0.000233938
*RES
1 *5530:Q *4929:A2 12.42
*END
*D_NET *548 0.00226903
*CONN
*I *4929:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5532:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4929:A1 0.000606372
2 *5532:Q 0.000606372
3 *1086:I *4929:A1 0.000368602
4 *1087:I *4929:A1 6.05085e-05
5 *4929:A2 *4929:A1 0.000233938
6 *5544:CLK *4929:A1 0
7 *264:73 *4929:A1 0
8 *268:12 *4929:A1 0.000393242
9 *292:42 *4929:A1 0
*RES
1 *5532:Q *4929:A1 23.58
*END
*D_NET *549 0.00199621
*CONN
*I *4930:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5534:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4930:A4 0.00075905
2 *5534:Q 0.00075905
3 *4930:A4 *5540:D 0.000415045
4 *4931:A1 *4930:A4 6.30678e-05
*RES
1 *5534:Q *4930:A4 14.76
*END
*D_NET *550 0.00257268
*CONN
*I *4930:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5536:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4930:A3 0.000684953
2 *5536:Q 0.000684953
3 *4930:A3 *4930:A2 0.000661717
4 *4930:A3 *5522:D 0.000177345
5 *4930:A3 *5540:D 0
6 *4931:A2 *4930:A3 0
7 *5540:CLK *4930:A3 0.000192368
8 *5640:I *4930:A3 0.000171343
*RES
1 *5536:Q *4930:A3 24.93
*END
*D_NET *551 0.00251195
*CONN
*I *4930:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5538:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4930:A2 0.000211134
2 *5538:Q 0.000211134
3 *4930:A2 *5540:D 0.000628161
4 *4930:A3 *4930:A2 0.000661717
5 *4931:A2 *4930:A2 0
6 *5640:I *4930:A2 0.000799804
*RES
1 *5538:Q *4930:A2 21.78
*END
*D_NET *552 0.00113141
*CONN
*I *4930:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5540:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4930:A1 0.000384896
2 *5540:Q 0.000384896
3 *5514:CLK *4930:A1 0
4 *5640:I *4930:A1 2.36837e-05
5 *267:226 *4930:A1 0.000337938
*RES
1 *5540:Q *4930:A1 20.6374
*END
*D_NET *553 0.00114515
*CONN
*I *4925:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5542:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4925:A4 0.000435386
2 *5542:Q 0.000435386
3 *4925:A4 *4925:A2 9.06617e-05
4 *268:10 *4925:A4 0.000183717
*RES
1 *5542:Q *4925:A4 12.6
*END
*D_NET *554 0.00548392
*CONN
*I *5050:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5052:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5044:A3 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5054:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5514:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5050:A1 4.96752e-05
2 *5052:A2 0
3 *5044:A3 9.47341e-05
4 *5054:A1 3.42756e-05
5 *5514:Q 0.000211518
6 *554:15 0.000297097
7 *554:11 0.000542514
8 *554:7 0.00063562
9 *5044:A3 *5044:A2 0.000302354
10 *5054:A1 *5044:A1 0.000365483
11 *5054:A1 *563:9 1.61223e-05
12 *5054:A1 *563:15 0.000143901
13 *554:15 *5044:A1 2.36837e-05
14 *554:15 *5052:A1 0.000916606
15 *554:15 *563:15 9.84971e-05
16 *1075:I *5050:A1 0
17 *1075:I *554:7 0
18 *5049:A2 *554:11 5.81248e-05
19 *5050:A2 *5050:A1 0.000106548
20 *5050:A2 *554:11 0.000529664
21 *5054:A2 *554:15 3.89711e-05
22 *5055:A3 *5044:A3 0.000131225
23 *5515:D *5044:A3 8.90289e-06
24 *245:15 *554:11 3.5516e-05
25 *245:15 *554:15 0.000302501
26 *245:21 *554:11 0.000540389
27 *294:5 *554:11 0
*RES
1 *5514:Q *554:7 5.94
2 *554:7 *554:11 3.78
3 *554:11 *554:15 2.88
4 *554:15 *5054:A1 5.49
5 *554:15 *5044:A3 14.67
6 *554:11 *5052:A2 4.5
7 *554:7 *5050:A1 5.13
*END
*D_NET *555 0.000366481
*CONN
*I *4925:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5544:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4925:A3 0.00018324
2 *5544:Q 0.00018324
3 *268:10 *4925:A3 0
*RES
1 *5544:Q *4925:A3 19.53
*END
*D_NET *556 0.0021877
*CONN
*I *4925:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5546:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4925:A2 0.00050738
2 *5546:Q 0.00050738
3 *4925:A2 *5552:D 0
4 *4925:A2 *5554:D 0
5 *4925:A4 *4925:A2 9.06617e-05
6 *5554:CLK *4925:A2 0.000515598
7 *268:5 *4925:A2 0.000120743
8 *268:10 *4925:A2 0.000415791
9 *291:118 *4925:A2 3.01487e-05
*RES
1 *5546:Q *4925:A2 14.31
*END
*D_NET *557 0.0010028
*CONN
*I *4925:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5548:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4925:A1 0.000481498
2 *5548:Q 0.000481498
3 *5521:CLK *4925:A1 3.9806e-05
*RES
1 *5548:Q *4925:A1 12.33
*END
*D_NET *558 0.00262087
*CONN
*I *4926:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5550:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4926:A3 0.0011517
2 *5550:Q 0.0011517
3 *4926:A3 *4926:A4 0.000127779
4 *4926:A3 *5556:D 5.97909e-06
5 *1150:I *4926:A3 0
6 *289:5 *4926:A3 0.000183717
*RES
1 *5550:Q *4926:A3 17.19
*END
*D_NET *559 0.00059234
*CONN
*I *4926:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5552:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4926:A4 0.00023228
2 *5552:Q 0.00023228
3 *4926:A3 *4926:A4 0.000127779
*RES
1 *5552:Q *4926:A4 10.8
*END
*D_NET *560 0.000430994
*CONN
*I *4926:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5554:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4926:A2 0.000187849
2 *5554:Q 0.000187849
3 *4927:A1 *4926:A2 0
4 *289:10 *4926:A2 5.52957e-05
*RES
1 *5554:Q *4926:A2 19.71
*END
*D_NET *561 0.00151179
*CONN
*I *4926:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5556:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4926:A1 0.000755893
2 *5556:Q 0.000755893
*RES
1 *5556:Q *4926:A1 23.22
*END
*D_NET *562 0.000883975
*CONN
*I *4928:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5558:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4928:A3 0.000316877
2 *5558:Q 0.000316877
3 *4928:A3 *4928:A2 0.000232283
4 *4928:A1 *4928:A3 1.79373e-05
5 *4931:A1 *4928:A3 0
*RES
1 *5558:Q *4928:A3 12.06
*END
*D_NET *563 0.00349742
*CONN
*I *5052:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and3_1
*I *5044:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5054:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5515:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5052:A1 0.000108364
2 *5044:A2 0.000217446
3 *5054:B 0
4 *5515:Q 0.000117425
5 *563:15 0.000376866
6 *563:9 0.000168481
7 *5044:A2 *5044:A1 1.47961e-05
8 *563:9 *5044:A1 2.2644e-05
9 *563:9 *564:10 2.17665e-05
10 *5044:A3 *5044:A2 0.000302354
11 *5054:A1 *563:9 1.61223e-05
12 *5054:A1 *563:15 0.000143901
13 *5054:A2 *5044:A2 9.04462e-05
14 *5515:D *5044:A2 0
15 *5515:D *563:9 0.000382962
16 *294:5 *5052:A1 2.13109e-05
17 *294:65 *5052:A1 0.000240635
18 *294:65 *563:9 6.06463e-05
19 *294:65 *563:15 0.000176146
20 *554:15 *5052:A1 0.000916606
21 *554:15 *563:15 9.84971e-05
*RES
1 *5515:Q *563:9 14.94
2 *563:9 *5054:B 4.5
3 *563:9 *563:15 1.17
4 *563:15 *5044:A2 14.94
5 *563:15 *5052:A1 6.93
*END
*D_NET *564 0.00231768
*CONN
*I *5044:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and4_1
*I *5057:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor2_1
*I *5516:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5044:A1 0.000313028
2 *5057:A1 0
3 *5516:Q 0.000347383
4 *564:10 0.000660411
5 *5044:A2 *5044:A1 1.47961e-05
6 *5054:A1 *5044:A1 0.000365483
7 *5054:A2 *5044:A1 6.17316e-05
8 *5055:A3 *5044:A1 0.000100117
9 *5057:A2 *5044:A1 2.4979e-06
10 *5058:A3 *5044:A1 7.55368e-05
11 *5515:D *564:10 0
12 *245:15 *5044:A1 0
13 *267:10 *564:10 4.03236e-05
14 *294:65 *5044:A1 4.65623e-05
15 *294:77 *5044:A1 1.19803e-05
16 *294:77 *564:10 0.00020974
17 *554:15 *5044:A1 2.36837e-05
18 *563:9 *5044:A1 2.2644e-05
19 *563:9 *564:10 2.17665e-05
*RES
1 *5516:Q *564:10 16.38
2 *564:10 *5057:A1 4.5
3 *564:10 *5044:A1 7.56
*END
*D_NET *565 0.00305805
*CONN
*I *5059:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xnor2_1
*I *5061:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5045:A2 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5517:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5059:A1 6.5414e-05
2 *5061:A1 0.000239728
3 *5045:A2 0
4 *5517:Q 0.000662364
5 *565:10 0.000490062
6 *565:7 0.000978112
7 *5061:A1 *5061:B 0.00023064
8 *5061:A2 *5061:A1 2.84685e-05
9 *5061:A2 *565:10 5.73012e-05
10 *5062:A1 *5059:A1 6.87159e-06
11 *5062:A1 *565:7 2.11488e-05
12 *5062:A1 *565:10 3.51887e-05
13 *5062:C *5061:A1 9.85067e-05
14 *5643:I *565:7 0
15 *5643:I *565:10 0
16 *246:22 *5059:A1 2.00305e-06
17 *246:22 *565:10 0.000131494
18 *255:22 *565:10 1.07462e-05
19 *267:150 *5059:A1 0
20 *267:150 *565:7 0
21 *267:170 *565:10 0
*RES
1 *5517:Q *565:7 8.64
2 *565:7 *565:10 6.39
3 *565:10 *5045:A2 9
4 *565:10 *5061:A1 11.16
5 *565:7 *5059:A1 4.95
*END
*D_NET *566 0.00281149
*CONN
*I *5061:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *5045:A1 I *D gf180mcu_fd_sc_mcu7t5v0__and2_1
*I *5518:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5061:B 0.000132441
2 *5045:A1 0
3 *5518:Q 0.000605017
4 *566:9 0.000737458
5 *1078:I *566:9 0.0001367
6 *5061:A1 *5061:B 0.00023064
7 *5061:A2 *5061:B 0.000141466
8 *5062:A2 *566:9 1.79594e-05
9 *5062:B *5061:B 7.10321e-05
10 *5062:B *566:9 0.000291776
11 *5062:C *5061:B 2.65663e-06
12 *5062:C *566:9 0.000115258
13 *5065:A1 *5061:B 3.38181e-05
14 *5518:D *566:9 0.000250266
15 *5519:D *5061:B 0
16 *5643:I *566:9 4.49984e-05
*RES
1 *5518:Q *566:9 10.17
2 *566:9 *5045:A1 4.5
3 *566:9 *5061:B 15.48
*END
*D_NET *567 0.00296822
*CONN
*I *5046:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5064:A1 I *D gf180mcu_fd_sc_mcu7t5v0__xor2_1
*I *5067:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *5519:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5046:A2 2.08763e-05
2 *5064:A1 0
3 *5067:A1 7.1918e-05
4 *5519:Q 0.000313081
5 *567:12 0.0001921
6 *567:8 0.000454139
7 *5046:A3 *5067:A1 4.83668e-05
8 *5064:A2 *5046:A2 0.000507556
9 *5065:A1 *567:8 0
10 *5065:A2 *567:8 3.5516e-05
11 *5069:A2 *5067:A1 0.000192268
12 *246:22 *5067:A1 0.00058663
13 *247:15 *5067:A1 3.22289e-05
14 *247:23 *5046:A2 0.000507556
15 *247:23 *5067:A1 5.97909e-06
16 *247:23 *567:8 0
17 *253:27 *567:8 0
18 *253:27 *567:12 0
*RES
1 *5519:Q *567:8 10.8
2 *567:8 *567:12 5.13
3 *567:12 *5067:A1 6.3
4 *567:12 *5064:A1 4.5
5 *567:8 *5046:A2 10.35
*END
*D_NET *568 0.00180928
*CONN
*I *5066:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *5046:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand4_2
*I *5520:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5066:I 0
2 *5046:A1 0.000438532
3 *5520:Q 0.000436703
4 *568:7 0.000875235
5 *1050:I *5046:A1 0
6 *1081:I *568:7 2.03747e-05
7 *5520:D *5046:A1 0
8 *247:23 *5046:A1 0
9 *295:7 *568:7 1.19732e-05
10 *295:50 *5046:A1 0
11 *295:50 *568:7 2.64621e-05
*RES
1 *5520:Q *568:7 7.56
2 *568:7 *5046:A1 7.29
3 *568:7 *5066:I 4.5
*END
*D_NET *569 0.000626075
*CONN
*I *4924:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *5521:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4924:I 0.00022237
2 *5521:Q 0.00022237
3 *1154:I *4924:I 2.65663e-06
4 *165:7 *4924:I 1.86543e-05
5 *267:150 *4924:I 0.000160023
*RES
1 *5521:Q *4924:I 11.07
*END
*D_NET *570 0.000515538
*CONN
*I *4928:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *5522:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4928:A2 0.000141628
2 *5522:Q 0.000141628
3 *4928:A3 *4928:A2 0.000232283
4 *4931:A1 *4928:A2 0
*RES
1 *5522:Q *4928:A2 10.62
*END
*D_NET *571 0.00344026
*CONN
*I *4849:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5410:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4849:A4 0.000335916
2 *5410:Q 0.000335916
3 *4849:A4 *4849:A3 0.00169258
4 *4855:A2 *4849:A4 0.00102878
5 *103:8 *4849:A4 4.70718e-05
*RES
1 *5410:Q *4849:A4 23.4
*END
*D_NET *572 0.00182175
*CONN
*I *4853:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5429:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4853:A4 0.000839261
2 *5429:Q 0.000839261
3 *4853:A4 *5427:D 4.94856e-05
4 *4853:A4 *5431:D 0
5 *1033:I *4853:A4 2.87921e-05
6 *267:88 *4853:A4 6.49499e-05
7 *294:77 *4853:A4 0
*RES
1 *5429:Q *4853:A4 23.94
*END
*D_NET *573 0.00141649
*CONN
*I *4853:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5431:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4853:A2 0.000284352
2 *5431:Q 0.000284352
3 *4853:A2 *4853:A1 0.00024198
4 *4853:A2 *4853:A3 0.0006058
*RES
1 *5431:Q *4853:A2 12.33
*END
*D_NET *574 0.00167432
*CONN
*I *4853:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5433:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4853:A1 0.000666035
2 *5433:Q 0.000666035
3 *4853:A1 *5433:D 1.61223e-05
4 *4853:A2 *4853:A1 0.00024198
5 *267:11 *4853:A1 0
6 *267:15 *4853:A1 7.34031e-05
7 *294:77 *4853:A1 1.0743e-05
*RES
1 *5433:Q *4853:A1 14.4
*END
*D_NET *575 0.00209569
*CONN
*I *4847:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5435:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4847:A3 0.000513609
2 *5435:Q 0.000513609
3 *4847:A3 *4847:A1 7.7779e-05
4 *4847:A3 *4847:A2 0.000106548
5 *4847:A3 *5441:D 0
6 *4850:A1 *4847:A3 0.000839135
7 *4850:A2 *4847:A3 4.50088e-05
*RES
1 *5435:Q *4847:A3 22.3748
*END
*D_NET *576 0.00219109
*CONN
*I *4847:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5437:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4847:A4 0.000736788
2 *5437:Q 0.000736788
3 *4847:A4 *4847:A2 0.000146974
4 *4847:A4 *5435:D 0
5 *4850:A1 *4847:A4 0.000570537
*RES
1 *5437:Q *4847:A4 24.12
*END
*D_NET *577 0.0021668
*CONN
*I *4847:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5439:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4847:A2 0.000701206
2 *5439:Q 0.000701206
3 *4847:A3 *4847:A2 0.000106548
4 *4847:A4 *4847:A2 0.000146974
5 *4850:A1 *4847:A2 7.70267e-05
6 *4855:A1 *4847:A2 0
7 *5011:A1 *4847:A2 0
8 *297:150 *4847:A2 0.000433841
*RES
1 *5439:Q *4847:A2 23.67
*END
*D_NET *578 0.000748159
*CONN
*I *4847:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5441:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4847:A1 0.0002397
2 *5441:Q 0.0002397
3 *4847:A1 *5441:D 1.0743e-05
4 *4847:A3 *4847:A1 7.7779e-05
5 *4850:A1 *4847:A1 2.65663e-06
6 *4850:A2 *4847:A1 0.00017758
*RES
1 *5441:Q *4847:A1 11.16
*END
*D_NET *579 0.00133442
*CONN
*I *4846:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5443:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4846:A4 0.00057002
2 *5443:Q 0.00057002
3 *4846:A4 *4846:A3 7.96988e-06
4 *4846:A4 *5447:D 0
5 *1078:I *4846:A4 0.000105795
6 *297:9 *4846:A4 8.06113e-05
7 *297:143 *4846:A4 0
*RES
1 *5443:Q *4846:A4 22.32
*END
*D_NET *580 0.000460273
*CONN
*I *4846:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5445:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4846:A3 0.000226152
2 *5445:Q 0.000226152
3 *4846:A3 *4846:A2 0
4 *4846:A4 *4846:A3 7.96988e-06
5 *297:150 *4846:A3 0
*RES
1 *5445:Q *4846:A3 10.8
*END
*D_NET *581 0.000585523
*CONN
*I *4846:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5447:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4846:A2 0.000252456
2 *5447:Q 0.000252456
3 *4846:A3 *4846:A2 0
4 *4850:A1 *4846:A2 8.06113e-05
*RES
1 *5447:Q *4846:A2 10.8
*END
*D_NET *582 0.00290228
*CONN
*I *4849:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5411:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4849:A3 0.000158031
2 *5411:Q 0.000158031
3 *4849:A3 *4849:A1 0.000174546
4 *4849:A4 *4849:A3 0.00169258
5 *4855:A2 *4849:A3 3.42686e-05
6 *103:8 *4849:A3 0.000684827
*RES
1 *5411:Q *4849:A3 22.41
*END
*D_NET *583 0.000451764
*CONN
*I *4846:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5449:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4846:A1 7.46315e-05
2 *5449:Q 7.46315e-05
3 *4846:A1 *5449:D 0
4 *4850:A1 *4846:A1 0.000302501
*RES
1 *5449:Q *4846:A1 9.81
*END
*D_NET *584 0.00156478
*CONN
*I *4848:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5451:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4848:A4 0.000467477
2 *5451:Q 0.000467477
3 *4848:A4 *4848:A1 0
4 *1044:I *4848:A4 9.84971e-05
5 *299:100 *4848:A4 0.000531329
*RES
1 *5451:Q *4848:A4 21.96
*END
*D_NET *585 0.00413061
*CONN
*I *4848:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5453:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4848:A3 0.00115062
2 *5453:Q 0.00115062
3 *4848:A3 *4848:A1 1.23714e-05
4 *4848:A3 *4848:A2 0.000517378
5 *1045:I *4848:A3 1.47961e-05
6 *4850:A3 *4848:A3 4.49984e-05
7 *5354:D *4848:A3 0.000373641
8 *5354:CLK *4848:A3 2.33247e-06
9 *5455:CLK *4848:A3 0.000135527
10 *299:23 *4848:A3 3.01487e-05
11 *299:33 *4848:A3 0.000239735
12 *299:114 *4848:A3 0.000458431
*RES
1 *5453:Q *4848:A3 28.89
*END
*D_NET *586 0.00205501
*CONN
*I *4848:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5455:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4848:A2 0.000297506
2 *5455:Q 0.000297506
3 *4848:A2 *4848:A1 0.000385691
4 *999:I *4848:A2 3.5516e-05
5 *4848:A3 *4848:A2 0.000517378
6 *299:101 *4848:A2 6.29811e-05
7 *299:114 *4848:A2 0.000458431
*RES
1 *5455:Q *4848:A2 22.59
*END
*D_NET *587 0.00115586
*CONN
*I *4848:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5457:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4848:A1 0.000378899
2 *5457:Q 0.000378899
3 *4848:A2 *4848:A1 0.000385691
4 *4848:A3 *4848:A1 1.23714e-05
5 *4848:A4 *4848:A1 0
6 *299:101 *4848:A1 0
*RES
1 *5457:Q *4848:A1 21.6
*END
*D_NET *588 0.00212848
*CONN
*I *4849:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5459:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4849:A2 0.000610333
2 *5459:Q 0.000610333
3 *4849:A2 *4849:A1 0.000138215
4 *1026:I *4849:A2 0
5 *4850:A3 *4849:A2 0.000769594
*RES
1 *5459:Q *4849:A2 22.95
*END
*D_NET *589 0.00130969
*CONN
*I *4849:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5461:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4849:A1 0.000451782
2 *5461:Q 0.000451782
3 *4849:A2 *4849:A1 0.000138215
4 *4849:A3 *4849:A1 0.000174546
5 *4850:A3 *4849:A1 2.12756e-05
6 *4855:A2 *4849:A1 7.20923e-05
*RES
1 *5461:Q *4849:A1 21.6
*END
*D_NET *590 0.000884894
*CONN
*I *4851:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5463:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4851:A4 0.000393782
2 *5463:Q 0.000393782
3 *4851:A4 *4851:A1 0
4 *300:14 *4851:A4 9.73295e-05
*RES
1 *5463:Q *4851:A4 20.88
*END
*D_NET *591 0.00270076
*CONN
*I *4851:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5413:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4851:A3 0.000900089
2 *5413:Q 0.000900089
3 *4851:A3 *4851:A1 0.000119228
4 *4851:A3 *4851:A2 0.000123838
5 *4851:A3 *5415:D 0.000546973
6 *4851:A3 *5417:D 3.07804e-06
7 *4854:A3 *4851:A3 0
8 *300:5 *4851:A3 1.59607e-05
9 *300:14 *4851:A3 9.15055e-05
*RES
1 *5413:Q *4851:A3 26.82
*END
*D_NET *592 0.00208244
*CONN
*I *4851:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5415:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4851:A2 0.000845968
2 *5415:Q 0.000845968
3 *4851:A2 *5415:D 0
4 *4851:A2 *5417:D 6.24627e-05
5 *1027:I *4851:A2 3.32482e-05
6 *4851:A3 *4851:A2 0.000123838
7 *4854:A1 *4851:A2 6.85374e-06
8 *4854:A2 *4851:A2 1.85571e-05
9 *4854:A3 *4851:A2 6.69186e-05
10 *103:8 *4851:A2 0
11 *297:67 *4851:A2 7.86228e-05
*RES
1 *5415:Q *4851:A2 33.57
*END
*D_NET *593 0.000778368
*CONN
*I *4851:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5417:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4851:A1 0.00032957
2 *5417:Q 0.00032957
3 *4851:A1 *4852:A1 0
4 *4851:A3 *4851:A1 0.000119228
5 *4851:A4 *4851:A1 0
6 *5415:CLK *4851:A1 0
*RES
1 *5417:Q *4851:A1 11.61
*END
*D_NET *594 0.000890711
*CONN
*I *4852:A4 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5419:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4852:A4 0.000445355
2 *5419:Q 0.000445355
3 *4852:A4 *4852:A3 0
*RES
1 *5419:Q *4852:A4 12.24
*END
*D_NET *595 0.00139062
*CONN
*I *4852:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5421:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4852:A3 0.000474737
2 *5421:Q 0.000474737
3 *4852:A3 *4852:A2 1.83418e-05
4 *1028:I *4852:A3 4.62238e-05
5 *4852:A4 *4852:A3 0
6 *5649:I *4852:A3 0.000240561
7 *267:29 *4852:A3 0.000136016
*RES
1 *5421:Q *4852:A3 21.6
*END
*D_NET *596 0.00212777
*CONN
*I *4852:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5423:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4852:A2 0.000683771
2 *5423:Q 0.000683771
3 *4852:A2 *4852:A1 0.000240028
4 *4852:A2 *5423:D 0.00029446
5 *4852:A3 *4852:A2 1.83418e-05
6 *5649:I *4852:A2 0
7 *267:29 *4852:A2 0.000207401
*RES
1 *5423:Q *4852:A2 14.49
*END
*D_NET *597 0.000581245
*CONN
*I *4852:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5425:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4852:A1 0.000170608
2 *5425:Q 0.000170608
3 *4852:A1 *5425:D 0
4 *4851:A1 *4852:A1 0
5 *4852:A2 *4852:A1 0.000240028
*RES
1 *5425:Q *4852:A1 10.53
*END
*D_NET *598 0.00100645
*CONN
*I *4853:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor4_1
*I *5427:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *4853:A3 0.000200324
2 *5427:Q 0.000200324
3 *4853:A2 *4853:A3 0.0006058
*RES
1 *5427:Q *4853:A3 11.16
*END
*D_NET *599 0.000449005
*CONN
*I *5666:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5354:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5666:I 0.000224503
2 *5354:Q 0.000224503
3 cout1 *5666:I 0
*RES
1 *5354:Q *5666:I 10.44
*END
*D_NET *600 0.000738784
*CONN
*I *5667:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5465:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5667:I 0.000369392
2 *5465:Q 0.000369392
*RES
1 *5465:Q *5667:I 11.52
*END
*D_NET *601 0.00052046
*CONN
*I *5397:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5398:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5397:D 0.00026023
2 *5398:ZN 0.00026023
3 *5397:CLK *5397:D 0
*RES
1 *5398:ZN *5397:D 10.62
*END
*D_NET *602 0.000614933
*CONN
*I *5395:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5396:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5395:D 0.000307466
2 *5396:ZN 0.000307466
3 *4860:A1 *5395:D 0
4 *4863:A2 *5395:D 0
*RES
1 *5396:ZN *5395:D 11.16
*END
*D_NET *603 0.000901736
*CONN
*I *5393:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5394:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5393:D 0.000159176
2 *5394:ZN 0.000159176
3 *4861:A2 *5393:D 3.33177e-05
4 *4861:A3 *5393:D 4.72127e-05
5 *4861:A4 *5393:D 0.000246471
6 *4863:A3 *5393:D 4.83668e-05
7 *5387:CLK *5393:D 6.97466e-05
8 *5389:CLK *5393:D 6.85374e-06
9 *268:218 *5393:D 2.08357e-05
10 *285:34 *5393:D 3.38181e-05
11 *285:36 *5393:D 4.93468e-05
12 *285:38 *5393:D 2.7415e-05
*RES
1 *5394:ZN *5393:D 20.52
*END
*D_NET *604 0.000525317
*CONN
*I *5391:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5392:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5391:D 0.000245361
2 *5392:ZN 0.000245361
3 *5391:CLK *5391:D 3.4594e-05
*RES
1 *5392:ZN *5391:D 10.8
*END
*D_NET *605 0.000408099
*CONN
*I *5389:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5390:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5389:D 0.00020405
2 *5390:ZN 0.00020405
*RES
1 *5390:ZN *5389:D 10.35
*END
*D_NET *606 0.00159641
*CONN
*I *5387:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5388:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5387:D 0.000478392
2 *5388:ZN 0.000478392
3 *4863:A4 *5387:D 8.06004e-05
4 *5387:CLK *5387:D 3.77107e-05
5 *268:176 *5387:D 0.000291757
6 *268:218 *5387:D 0.000229557
*RES
1 *5388:ZN *5387:D 13.68
*END
*D_NET *607 0.000456549
*CONN
*I *5385:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5386:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5385:D 0.000223067
2 *5386:ZN 0.000223067
3 *935:I *5385:D 1.0415e-05
4 *283:75 *5385:D 0
*RES
1 *5386:ZN *5385:D 10.44
*END
*D_NET *608 0.000527826
*CONN
*I *5383:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5384:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5383:D 0.000236648
2 *5384:ZN 0.000236648
3 *1016:I *5383:D 0
4 *268:181 *5383:D 0
5 *268:185 *5383:D 5.45294e-05
*RES
1 *5384:ZN *5383:D 10.8
*END
*D_NET *609 0.000514451
*CONN
*I *5381:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5382:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5381:D 0.000193336
2 *5382:ZN 0.000193336
3 *282:24 *5381:D 0.000127779
*RES
1 *5382:ZN *5381:D 10.62
*END
*D_NET *610 0.000863157
*CONN
*I *5379:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5380:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5379:D 0.000428256
2 *5380:ZN 0.000428256
3 *5379:D *5375:D 6.64493e-06
4 *1010:I *5379:D 0
*RES
1 *5380:ZN *5379:D 11.88
*END
*D_NET *611 0.00116156
*CONN
*I *5607:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5608:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5607:D 0.000569791
2 *5608:ZN 0.000569791
3 *1119:I *5607:D 0
4 *274:27 *5607:D 2.19732e-05
*RES
1 *5608:ZN *5607:D 12.6
*END
*D_NET *612 0.00236412
*CONN
*I *5377:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5378:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5377:D 0.000728629
2 *5378:ZN 0.000728629
3 *5377:D *5365:D 2.03713e-05
4 *1144:I *5377:D 0.000244066
5 *4858:A1 *5377:D 8.67004e-05
6 *5634:I *5377:D 6.8358e-05
7 *268:96 *5377:D 0.000365483
8 *268:99 *5377:D 3.66488e-05
9 *268:109 *5377:D 8.52334e-05
*RES
1 *5378:ZN *5377:D 23.94
*END
*D_NET *613 0.000870781
*CONN
*I *5375:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5376:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5375:D 0.000414771
2 *5376:ZN 0.000414771
3 *1010:I *5375:D 0
4 *5379:D *5375:D 6.64493e-06
5 *285:8 *5375:D 3.4594e-05
*RES
1 *5376:ZN *5375:D 11.97
*END
*D_NET *614 0.000479354
*CONN
*I *5373:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5374:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5373:D 0.00022238
2 *5374:ZN 0.00022238
3 *285:17 *5373:D 3.4594e-05
*RES
1 *5374:ZN *5373:D 10.62
*END
*D_NET *615 0.000399672
*CONN
*I *5371:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5372:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5371:D 0.00016061
2 *5372:ZN 0.00016061
3 *4857:A2 *5371:D 7.84526e-05
*RES
1 *5372:ZN *5371:D 10.26
*END
*D_NET *616 0.000535496
*CONN
*I *5369:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5370:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5369:D 0.000267748
2 *5370:ZN 0.000267748
3 *268:118 *5369:D 0
*RES
1 *5370:ZN *5369:D 10.62
*END
*D_NET *617 0.000526402
*CONN
*I *5367:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5368:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5367:D 0.000241915
2 *5368:ZN 0.000241915
3 *268:118 *5367:D 4.25712e-05
*RES
1 *5368:ZN *5367:D 10.8
*END
*D_NET *618 0.00135095
*CONN
*I *5365:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5366:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5365:D 0.000535757
2 *5366:ZN 0.000535757
3 *5196:CLK *5365:D 9.5155e-05
4 *5365:CLK *5365:D 5.15117e-06
5 *5367:CLK *5365:D 0
6 *5377:D *5365:D 2.03713e-05
7 *268:96 *5365:D 0
8 *268:109 *5365:D 3.10936e-05
9 *287:23 *5365:D 0.000127666
*RES
1 *5366:ZN *5365:D 12.96
*END
*D_NET *619 0.00139974
*CONN
*I *5363:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5364:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5363:D 0.000554351
2 *5364:ZN 0.000554351
3 *4856:A3 *5363:D 0.000199534
4 *268:118 *5363:D 0
5 *286:5 *5363:D 9.15055e-05
*RES
1 *5364:ZN *5363:D 13.41
*END
*D_NET *620 0.000408099
*CONN
*I *5361:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5362:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5361:D 0.00020405
2 *5362:ZN 0.00020405
3 *5361:CLK *5361:D 0
*RES
1 *5362:ZN *5361:D 10.35
*END
*D_NET *621 0.000556241
*CONN
*I *5359:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5360:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5359:D 0.000237913
2 *5360:ZN 0.000237913
3 *1145:I *5359:D 3.40214e-05
4 *268:118 *5359:D 4.63928e-05
5 *286:69 *5359:D 0
6 *286:78 *5359:D 0
7 *286:93 *5359:D 0
*RES
1 *5360:ZN *5359:D 20.25
*END
*D_NET *622 0.000386604
*CONN
*I *5605:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5606:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5605:D 0.000193302
2 *5606:ZN 0.000193302
3 *274:38 *5605:D 0
4 *274:45 *5605:D 0
*RES
1 *5606:ZN *5605:D 10.35
*END
*D_NET *623 0.000484711
*CONN
*I *5357:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5358:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5357:D 0.000203133
2 *5358:ZN 0.000203133
3 *4865:A2 *5357:D 7.84457e-05
*RES
1 *5358:ZN *5357:D 10.62
*END
*D_NET *624 0.000340178
*CONN
*I *5352:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5353:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5352:D 0.000160762
2 *5353:ZN 0.000160762
3 *5304:CLK *5352:D 1.86543e-05
4 *304:33 *5352:D 0
*RES
1 *5353:ZN *5352:D 10.08
*END
*D_NET *625 0.000845785
*CONN
*I *5350:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5351:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5350:D 0.000275663
2 *5351:ZN 0.000275663
3 *5656:I *5350:D 0.00029446
*RES
1 *5351:ZN *5350:D 20.16
*END
*D_NET *626 0.000752281
*CONN
*I *5348:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5349:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5348:D 0.000185556
2 *5349:ZN 0.000185556
3 *5348:CLK *5348:D 0.000381168
*RES
1 *5349:ZN *5348:D 19.8
*END
*D_NET *627 0.00119838
*CONN
*I *5346:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5347:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5346:D 0.000533543
2 *5347:ZN 0.000533543
3 *4870:A3 *5346:D 0.000131299
4 *5344:CLK *5346:D 0
5 *5346:CLK *5346:D 0
6 *306:17 *5346:D 0
*RES
1 *5347:ZN *5346:D 12.6
*END
*D_NET *628 0.000376562
*CONN
*I *5344:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5345:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5344:D 0.000124392
2 *5345:ZN 0.000124392
3 *996:I *5344:D 0.000127779
*RES
1 *5345:ZN *5344:D 10.08
*END
*D_NET *629 0.00134502
*CONN
*I *5342:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5343:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5342:D 0.000327821
2 *5343:ZN 0.000327821
3 *4870:A4 *5342:D 0.000129835
4 *5344:CLK *5342:D 0
5 *5656:I *5342:D 0.000444566
6 *265:200 *5342:D 2.35229e-05
7 *265:209 *5342:D 5.69667e-05
8 *265:229 *5342:D 3.44859e-05
*RES
1 *5343:ZN *5342:D 21.24
*END
*D_NET *630 0.000856478
*CONN
*I *5340:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5341:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5340:D 0.00041235
2 *5341:ZN 0.00041235
3 *265:197 *5340:D 0
4 *265:209 *5340:D 3.17787e-05
*RES
1 *5341:ZN *5340:D 21.06
*END
*D_NET *631 0.000744266
*CONN
*I *5338:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5339:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5338:D 0.000308244
2 *5339:ZN 0.000308244
3 *992:I *5338:D 0.000127779
*RES
1 *5339:ZN *5338:D 11.52
*END
*D_NET *632 0.00152062
*CONN
*I *5336:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5337:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5336:D 0.000570968
2 *5337:ZN 0.000570968
3 *4871:A4 *5336:D 0.000373534
4 *5336:CLK *5336:D 5.15117e-06
5 *314:71 *5336:D 0
6 *314:80 *5336:D 0
*RES
1 *5337:ZN *5336:D 13.14
*END
*D_NET *633 0.00205363
*CONN
*I *5603:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5604:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5603:D 0.00045141
2 *5604:ZN 0.00045141
3 *873:I *5603:D 0
4 *5097:CLK *5603:D 0
5 *266:174 *5603:D 0.000127779
6 *273:10 *5603:D 0.000129771
7 *274:50 *5603:D 0.000893261
*RES
1 *5604:ZN *5603:D 22.86
*END
*D_NET *634 0.000894736
*CONN
*I *5334:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5335:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5334:D 0.000189569
2 *5335:ZN 0.000189569
3 *5338:CLK *5334:D 0.000515598
*RES
1 *5335:ZN *5334:D 10.98
*END
*D_NET *635 0.000907367
*CONN
*I *5332:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5333:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5332:D 0.000252996
2 *5333:ZN 0.000252996
3 *954:I *5332:D 0.00029445
4 *4882:A4 *5332:D 0
5 *314:80 *5332:D 9.18464e-05
6 *314:82 *5332:D 1.50781e-05
*RES
1 *5333:ZN *5332:D 20.34
*END
*D_NET *636 0.000637439
*CONN
*I *5330:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5331:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5330:D 0.000270622
2 *5331:ZN 0.000270622
3 *4872:A1 *5330:D 2.64581e-05
4 *264:56 *5330:D 6.97362e-05
*RES
1 *5331:ZN *5330:D 10.98
*END
*D_NET *637 0.000803475
*CONN
*I *5328:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5329:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5328:D 0.000171892
2 *5329:ZN 0.000171892
3 *4873:A3 *5328:D 0.00045969
*RES
1 *5329:ZN *5328:D 10.8
*END
*D_NET *638 0.0011023
*CONN
*I *5326:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5327:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5326:D 0.000463612
2 *5327:ZN 0.000463612
3 *4872:A4 *5326:D 5.90755e-05
4 *5652:I *5326:D 6.1857e-06
5 *120:11 *5326:D 0
6 *305:6 *5326:D 4.31463e-05
7 *305:8 *5326:D 5.62005e-05
8 *305:108 *5326:D 1.04678e-05
9 *305:112 *5326:D 0
*RES
1 *5327:ZN *5326:D 21.24
*END
*D_NET *639 0.001436
*CONN
*I *5324:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5325:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5324:D 0.000379806
2 *5325:ZN 0.000379806
3 *4873:A1 *5324:D 0.000147996
4 *4873:A4 *5324:D 0.000212202
5 *120:11 *5324:D 0.000316191
*RES
1 *5325:ZN *5324:D 21.78
*END
*D_NET *640 0.00100005
*CONN
*I *5322:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5323:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5322:D 0.000500025
2 *5323:ZN 0.000500025
*RES
1 *5323:ZN *5322:D 12.42
*END
*D_NET *641 0.000385118
*CONN
*I *5320:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5321:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5320:D 0.000192559
2 *5321:ZN 0.000192559
3 *4873:A1 *5320:D 0
*RES
1 *5321:ZN *5320:D 10.26
*END
*D_NET *642 0.000759719
*CONN
*I *5318:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5319:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5318:D 0.000257181
2 *5319:ZN 0.000257181
3 *913:I *5318:D 0.000223428
4 *4898:A2 *5318:D 2.19283e-05
*RES
1 *5319:ZN *5318:D 11.25
*END
*D_NET *643 0.000976372
*CONN
*I *5316:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5317:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5316:D 0.000438937
2 *5317:ZN 0.000438937
3 *4868:A3 *5316:D 9.84971e-05
*RES
1 *5317:ZN *5316:D 11.88
*END
*D_NET *644 0.00105426
*CONN
*I *5601:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5602:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5601:D 0.000496408
2 *5602:ZN 0.000496408
3 *5621:I *5601:D 2.35125e-05
4 *274:73 *5601:D 3.79307e-05
*RES
1 *5602:ZN *5601:D 12.42
*END
*D_NET *645 0.0012959
*CONN
*I *5314:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5315:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5314:D 0.000459212
2 *5315:ZN 0.000459212
3 *4867:A4 *5314:D 0
4 *5314:CLK *5314:D 0
5 *5652:I *5314:D 0
6 *305:79 *5314:D 0.000350434
7 *305:91 *5314:D 2.70408e-05
*RES
1 *5315:ZN *5314:D 21.96
*END
*D_NET *646 0.000376562
*CONN
*I *5312:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5313:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5312:D 0.000124392
2 *5313:ZN 0.000124392
3 *4874:A2 *5312:D 0.000127779
*RES
1 *5313:ZN *5312:D 10.08
*END
*D_NET *647 0.000546936
*CONN
*I *5310:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5311:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5310:D 0.000226598
2 *5311:ZN 0.000226598
3 *4868:A2 *5310:D 9.37397e-05
*RES
1 *5311:ZN *5310:D 10.8
*END
*D_NET *648 0.000359404
*CONN
*I *5308:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5309:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5308:D 0.000168625
2 *5309:ZN 0.000168625
3 *5314:CLK *5308:D 3.07804e-06
4 *305:47 *5308:D 1.59977e-05
5 *305:70 *5308:D 3.07804e-06
*RES
1 *5309:ZN *5308:D 10.08
*END
*D_NET *649 0.000959136
*CONN
*I *5306:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5307:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5306:D 0.000355635
2 *5307:ZN 0.000355635
3 *304:11 *5306:D 0.000247867
*RES
1 *5307:ZN *5306:D 21.24
*END
*D_NET *650 0.000339155
*CONN
*I *5304:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5305:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5304:D 0.000169577
2 *5305:ZN 0.000169577
*RES
1 *5305:ZN *5304:D 10.08
*END
*D_NET *651 0.00161247
*CONN
*I *5298:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5299:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5298:D 0.000363819
2 *5299:ZN 0.000363819
3 *264:14 *5298:D 0.000682266
4 *264:27 *5298:D 0.000174546
5 *316:80 *5298:D 2.80171e-05
*RES
1 *5299:ZN *5298:D 21.42
*END
*D_NET *652 0.000430084
*CONN
*I *5296:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5297:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5296:D 0.000160919
2 *5297:ZN 0.000160919
3 *4877:A1 *5296:D 9.7482e-06
4 *5292:CLK *5296:D 9.84971e-05
5 *5296:CLK *5296:D 0
*RES
1 *5297:ZN *5296:D 10.08
*END
*D_NET *653 0.000867476
*CONN
*I *5294:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5295:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5294:D 0.000433738
2 *5295:ZN 0.000433738
3 *968:I *5294:D 0
4 *317:61 *5294:D 0
*RES
1 *5295:ZN *5294:D 11.97
*END
*D_NET *654 0.00107794
*CONN
*I *5292:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5293:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5292:D 0.000538969
2 *5293:ZN 0.000538969
3 *4877:A4 *5292:D 0
4 *266:18 *5292:D 0
*RES
1 *5293:ZN *5292:D 12.6
*END
*D_NET *655 0.00034255
*CONN
*I *5599:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5600:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5599:D 0.000149989
2 *5600:ZN 0.000149989
3 *274:73 *5599:D 4.25712e-05
*RES
1 *5600:ZN *5599:D 10.08
*END
*D_NET *656 0.00036312
*CONN
*I *5290:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5291:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5290:D 0.00018156
2 *5291:ZN 0.00018156
3 *4876:A4 *5290:D 0
*RES
1 *5291:ZN *5290:D 10.08
*END
*D_NET *657 0.00173447
*CONN
*I *5288:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5289:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5288:D 0.000490857
2 *5289:ZN 0.000490857
3 *5288:D *5492:D 4.88962e-05
4 *301:7 *5288:D 0
5 *301:11 *5288:D 0
6 *301:32 *5288:D 0
7 *301:73 *5288:D 0.000357009
8 *301:75 *5288:D 0.000172313
9 *301:84 *5288:D 0.000174537
*RES
1 *5289:ZN *5288:D 22.41
*END
*D_NET *658 0.000723348
*CONN
*I *5286:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5287:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5286:D 0.000302065
2 *5287:ZN 0.000302065
3 *301:51 *5286:D 0.000119218
*RES
1 *5287:ZN *5286:D 11.34
*END
*D_NET *659 0.000752822
*CONN
*I *5284:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5285:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5284:D 0.000376411
2 *5285:ZN 0.000376411
3 *5284:CLK *5284:D 0
*RES
1 *5285:ZN *5284:D 11.7
*END
*D_NET *660 0.000385118
*CONN
*I *5282:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5283:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5282:D 0.000192559
2 *5283:ZN 0.000192559
*RES
1 *5283:ZN *5282:D 10.26
*END
*D_NET *661 0.000339155
*CONN
*I *5280:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5281:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5280:D 0.000169577
2 *5281:ZN 0.000169577
*RES
1 *5281:ZN *5280:D 10.08
*END
*D_NET *662 0.00082587
*CONN
*I *5278:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5279:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5278:D 0.000336855
2 *5279:ZN 0.000336855
3 *1160:I *5278:D 0
4 *5278:CLK *5278:D 0.000152159
5 *264:27 *5278:D 0
*RES
1 *5279:ZN *5278:D 20.88
*END
*D_NET *663 0.000896839
*CONN
*I *5276:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5277:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5276:D 0.000272308
2 *5277:ZN 0.000272308
3 *4939:A4 *5276:D 0.000129806
4 *5280:CLK *5276:D 3.01487e-05
5 *5659:I *5276:D 0.000192268
*RES
1 *5277:ZN *5276:D 11.52
*END
*D_NET *664 0.000622227
*CONN
*I *5274:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5275:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5274:D 0.000272889
2 *5275:ZN 0.000272889
3 *5274:D *5268:D 4.18536e-05
4 *5274:CLK *5274:D 3.4594e-05
*RES
1 *5275:ZN *5274:D 11.16
*END
*D_NET *665 0.00178987
*CONN
*I *5272:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5273:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5272:D 0.000687464
2 *5273:ZN 0.000687464
3 *963:I *5272:D 0
4 *4878:A3 *5272:D 9.04462e-05
5 *264:11 *5272:D 0.000159634
6 *315:87 *5272:D 9.06075e-05
7 *315:89 *5272:D 4.72127e-05
8 *315:98 *5272:D 2.70408e-05
*RES
1 *5273:ZN *5272:D 23.04
*END
*D_NET *666 0.000936674
*CONN
*I *5597:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5598:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5597:D 0.000468337
2 *5598:ZN 0.000468337
3 *5597:CLK *5597:D 0
*RES
1 *5598:ZN *5597:D 12.42
*END
*D_NET *667 0.000609526
*CONN
*I *5270:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5271:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5270:D 0.000202974
2 *5271:ZN 0.000202974
3 *264:11 *5270:D 0.000172143
4 *315:98 *5270:D 3.14342e-05
*RES
1 *5271:ZN *5270:D 10.89
*END
*D_NET *668 0.000573954
*CONN
*I *5268:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5269:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5268:D 0.00026605
2 *5269:ZN 0.00026605
3 *5272:CLK *5268:D 0
4 *5274:D *5268:D 4.18536e-05
5 *5274:CLK *5268:D 0
*RES
1 *5269:ZN *5268:D 10.98
*END
*D_NET *669 0.00113202
*CONN
*I *5266:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5267:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5266:D 0.000345392
2 *5267:ZN 0.000345392
3 *4880:A4 *5266:D 0.000441234
*RES
1 *5267:ZN *5266:D 11.88
*END
*D_NET *670 0.000933113
*CONN
*I *5264:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5265:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5264:D 0.000457229
2 *5265:ZN 0.000457229
3 *5264:D *5260:D 1.86543e-05
4 *4882:A4 *5264:D 0
*RES
1 *5265:ZN *5264:D 12.06
*END
*D_NET *671 0.000523007
*CONN
*I *5262:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5263:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5262:D 0.000261503
2 *5263:ZN 0.000261503
3 *315:54 *5262:D 0
*RES
1 *5263:ZN *5262:D 10.8
*END
*D_NET *672 0.000771259
*CONN
*I *5260:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5261:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5260:D 0.000376302
2 *5261:ZN 0.000376302
3 *5264:D *5260:D 1.86543e-05
4 *314:19 *5260:D 0
*RES
1 *5261:ZN *5260:D 11.52
*END
*D_NET *673 0.000760562
*CONN
*I *5258:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5259:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5258:D 0.000364166
2 *5259:ZN 0.000364166
3 *953:I *5258:D 3.22289e-05
4 *5227:CLK *5258:D 0
5 *5258:CLK *5258:D 0
*RES
1 *5259:ZN *5258:D 11.7
*END
*D_NET *674 0.000797622
*CONN
*I *5256:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5257:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5256:D 0.000365545
2 *5257:ZN 0.000365545
3 *5256:CLK *5256:D 6.65315e-05
*RES
1 *5257:ZN *5256:D 11.61
*END
*D_NET *675 0.000773354
*CONN
*I *5254:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5255:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5254:D 0.000361381
2 *5255:ZN 0.000361381
3 *5254:CLK *5254:D 5.05917e-05
*RES
1 *5255:ZN *5254:D 11.52
*END
*D_NET *676 0.000566672
*CONN
*I *5252:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5253:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5252:D 0.000132085
2 *5253:ZN 0.000132085
3 *1112:I *5252:D 0.000302501
*RES
1 *5253:ZN *5252:D 10.26
*END
*D_NET *677 0.000385118
*CONN
*I *5595:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5596:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5595:D 0.000192559
2 *5596:ZN 0.000192559
*RES
1 *5596:ZN *5595:D 10.26
*END
*D_NET *678 0.000771844
*CONN
*I *5245:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5246:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5245:D 0.000365286
2 *5246:ZN 0.000365286
3 *937:I *5245:D 6.67794e-06
4 *281:33 *5245:D 3.4594e-05
*RES
1 *5246:ZN *5245:D 20.7
*END
*D_NET *679 0.000876949
*CONN
*I *5243:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5244:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5243:D 0.000351141
2 *5244:ZN 0.000351141
3 *4887:A1 *5243:D 0.000151995
4 *4887:A2 *5243:D 2.26708e-05
5 *291:20 *5243:D 0
*RES
1 *5244:ZN *5243:D 11.7
*END
*D_NET *680 0.000975397
*CONN
*I *5241:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5242:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5241:D 0.0002183
2 *5242:ZN 0.0002183
3 *1127:I *5241:D 7.10321e-05
4 *5241:CLK *5241:D 9.66509e-06
5 *264:73 *5241:D 0.000435118
6 *291:58 *5241:D 2.29827e-05
*RES
1 *5242:ZN *5241:D 11.16
*END
*D_NET *681 0.000388513
*CONN
*I *5239:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5240:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5239:D 0.000172971
2 *5240:ZN 0.000172971
3 *5239:CLK *5239:D 0
4 *291:27 *5239:D 3.65921e-05
5 *291:34 *5239:D 5.97909e-06
*RES
1 *5240:ZN *5239:D 10.26
*END
*D_NET *682 0.000391214
*CONN
*I *5237:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5238:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5237:D 0.000168061
2 *5238:ZN 0.000168061
3 *4887:A3 *5237:D 5.50917e-05
4 *5237:CLK *5237:D 0
*RES
1 *5238:ZN *5237:D 10.26
*END
*D_NET *683 0.000523007
*CONN
*I *5235:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5236:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5235:D 0.000261503
2 *5236:ZN 0.000261503
3 *4886:A1 *5235:D 0
4 *5235:CLK *5235:D 0
*RES
1 *5236:ZN *5235:D 10.8
*END
*D_NET *684 0.000431081
*CONN
*I *5233:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5234:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5233:D 0.00021554
2 *5234:ZN 0.00021554
*RES
1 *5234:ZN *5233:D 10.44
*END
*D_NET *685 0.000387254
*CONN
*I *5231:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5232:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5231:D 0.000184658
2 *5232:ZN 0.000184658
3 *5231:D *5229:D 1.79373e-05
*RES
1 *5232:ZN *5231:D 10.26
*END
*D_NET *686 0.000961791
*CONN
*I *5229:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5230:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5229:D 0.000471927
2 *5230:ZN 0.000471927
3 *940:I *5229:D 0
4 *4886:A2 *5229:D 0
5 *4893:A3 *5229:D 0
6 *5231:D *5229:D 1.79373e-05
7 *281:74 *5229:D 0
8 *281:82 *5229:D 0
*RES
1 *5230:ZN *5229:D 12.42
*END
*D_NET *687 0.000339155
*CONN
*I *5227:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5228:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5227:D 0.000169577
2 *5228:ZN 0.000169577
*RES
1 *5228:ZN *5227:D 10.08
*END
*D_NET *688 0.000682384
*CONN
*I *5593:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5594:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5593:D 0.000335984
2 *5594:ZN 0.000335984
3 *1116:I *5593:D 1.0415e-05
4 *4915:A2 *5593:D 0
5 *274:112 *5593:D 0
*RES
1 *5594:ZN *5593:D 11.34
*END
*D_NET *689 0.000385118
*CONN
*I *5225:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5226:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5225:D 0.000192559
2 *5226:ZN 0.000192559
*RES
1 *5226:ZN *5225:D 10.26
*END
*D_NET *690 0.000825142
*CONN
*I *5223:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5224:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5223:D 0.000412571
2 *5224:ZN 0.000412571
3 *5223:D *5221:D 0
4 *4889:A4 *5223:D 0
*RES
1 *5224:ZN *5223:D 11.61
*END
*D_NET *691 0.000667119
*CONN
*I *5221:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5222:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5221:D 0.00033356
2 *5222:ZN 0.00033356
3 *5223:D *5221:D 0
*RES
1 *5222:ZN *5221:D 11.43
*END
*D_NET *692 0.000941121
*CONN
*I *5219:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5220:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5219:D 0.000440193
2 *5220:ZN 0.000440193
3 *5219:CLK *5219:D 5.99579e-05
4 *5630:I *5219:D 0
5 *283:38 *5219:D 7.7749e-07
*RES
1 *5220:ZN *5219:D 11.88
*END
*D_NET *693 0.000864042
*CONN
*I *5217:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5218:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5217:D 0.000417381
2 *5218:ZN 0.000417381
3 *5391:CLK *5217:D 0
4 *5630:I *5217:D 2.92808e-05
5 *283:44 *5217:D 0
*RES
1 *5218:ZN *5217:D 11.88
*END
*D_NET *694 0.000652345
*CONN
*I *5215:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5216:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5215:D 0.000259998
2 *5216:ZN 0.000259998
3 *4888:A4 *5215:D 0.000119228
4 *5215:CLK *5215:D 1.31211e-05
*RES
1 *5216:ZN *5215:D 10.98
*END
*D_NET *695 0.000523007
*CONN
*I *5213:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5214:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5213:D 0.000261503
2 *5214:ZN 0.000261503
3 *276:15 *5213:D 0
*RES
1 *5214:ZN *5213:D 10.8
*END
*D_NET *696 0.00067541
*CONN
*I *5211:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5212:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5211:D 0.000328378
2 *5212:ZN 0.000328378
3 *4890:A4 *5211:D 1.86543e-05
4 *4892:A3 *5211:D 0
*RES
1 *5212:ZN *5211:D 20.34
*END
*D_NET *697 0.000580938
*CONN
*I *5209:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5210:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5209:D 0.000290469
2 *5210:ZN 0.000290469
3 *279:71 *5209:D 0
*RES
1 *5210:ZN *5209:D 10.98
*END
*D_NET *698 0.00124491
*CONN
*I *5207:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5208:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5207:D 0.00034742
2 *5208:ZN 0.00034742
3 *279:11 *5207:D 0.00055007
4 *279:64 *5207:D 0
*RES
1 *5208:ZN *5207:D 21.33
*END
*D_NET *699 0.000954598
*CONN
*I *5591:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5592:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5591:D 0.000477299
2 *5592:ZN 0.000477299
3 *1112:I *5591:D 0
4 *4920:A3 *5591:D 0
*RES
1 *5592:ZN *5591:D 12.42
*END
*D_NET *700 0.000350645
*CONN
*I *5205:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5206:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5205:D 0.000175323
2 *5206:ZN 0.000175323
3 *279:103 *5205:D 0
4 *279:111 *5205:D 0
*RES
1 *5206:ZN *5205:D 10.08
*END
*D_NET *701 0.00255639
*CONN
*I *5203:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5204:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5203:D 0.0005374
2 *5204:ZN 0.0005374
3 *4892:A1 *5203:D 0.000119677
4 *4892:A4 *5203:D 1.28605e-05
5 *4893:A3 *5203:D 0
6 *281:68 *5203:D 0.00134905
*RES
1 *5204:ZN *5203:D 23.49
*END
*D_NET *702 0.00118136
*CONN
*I *5201:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5202:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5201:D 0.000287716
2 *5202:ZN 0.000287716
3 *4891:A2 *5201:D 0
4 *4891:A3 *5201:D 0
5 *281:18 *5201:D 0.000285598
6 *281:20 *5201:D 0.000320334
*RES
1 *5202:ZN *5201:D 20.34
*END
*D_NET *703 0.000481391
*CONN
*I *5193:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5194:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5193:D 0.000211385
2 *5194:ZN 0.000211385
3 *922:I *5193:D 3.99668e-05
4 *5193:CLK *5193:D 1.86543e-05
*RES
1 *5194:ZN *5193:D 10.62
*END
*D_NET *704 0.00067545
*CONN
*I *5191:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5192:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5191:D 0.000298499
2 *5192:ZN 0.000298499
3 *265:33 *5191:D 7.84526e-05
*RES
1 *5192:ZN *5191:D 11.34
*END
*D_NET *705 0.00202084
*CONN
*I *5189:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5190:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5189:D 0.000356927
2 *5190:ZN 0.000356927
3 *5189:D *5187:D 0.000224512
4 *1051:I *5189:D 2.38055e-05
5 *5015:A2 *5189:D 0
6 *5187:CLK *5189:D 0
7 *311:5 *5189:D 0.000480082
8 *311:10 *5189:D 0.000578588
*RES
1 *5190:ZN *5189:D 13.14
*END
*D_NET *706 0.0016748
*CONN
*I *5187:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5188:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5187:D 0.000668787
2 *5188:ZN 0.000668787
3 *5015:A2 *5187:D 0
4 *5015:A4 *5187:D 0
5 *5189:D *5187:D 0.000224512
6 *5658:I *5187:D 2.35125e-05
7 *311:5 *5187:D 8.91956e-05
8 *311:91 *5187:D 0
*RES
1 *5188:ZN *5187:D 14.04
*END
*D_NET *707 0.000824524
*CONN
*I *5185:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5186:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5185:D 0.000412262
2 *5186:ZN 0.000412262
3 *5015:A4 *5185:D 0
4 *5185:CLK *5185:D 0
*RES
1 *5186:ZN *5185:D 20.7
*END
*D_NET *708 0.000401911
*CONN
*I *5183:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5184:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5183:D 0.000188631
2 *5184:ZN 0.000188631
3 *311:100 *5183:D 2.4649e-05
4 *311:118 *5183:D 0
*RES
1 *5184:ZN *5183:D 10.26
*END
*D_NET *709 0.00104674
*CONN
*I *5181:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5182:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5181:D 0.000523368
2 *5182:ZN 0.000523368
3 *304:33 *5181:D 0
*RES
1 *5182:ZN *5181:D 21.42
*END
*D_NET *710 0.0011643
*CONN
*I *5668:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5409:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5668:I 0.000582148
2 *5409:Q 0.000582148
*RES
1 *5409:Q *5668:I 12.69
*END
*D_NET *711 0.000339155
*CONN
*I *5589:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5590:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5589:D 0.000169577
2 *5590:ZN 0.000169577
3 *4920:A4 *5589:D 0
*RES
1 *5590:ZN *5589:D 10.08
*END
*D_NET *712 0.000773354
*CONN
*I *5179:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5180:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5179:D 0.000361381
2 *5180:ZN 0.000361381
3 *5179:D *5175:D 5.05917e-05
*RES
1 *5180:ZN *5179:D 11.52
*END
*D_NET *713 0.000385118
*CONN
*I *5177:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5178:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5177:D 0.000192559
2 *5178:ZN 0.000192559
3 *303:27 *5177:D 0
*RES
1 *5178:ZN *5177:D 10.26
*END
*D_NET *714 0.00169984
*CONN
*I *5175:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5176:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5175:D 0.000308416
2 *5176:ZN 0.000308416
3 *5179:D *5175:D 5.05917e-05
4 *5316:CLK *5175:D 0.000853615
5 *303:49 *5175:D 0.000178802
*RES
1 *5176:ZN *5175:D 12.6
*END
*D_NET *715 0.000574598
*CONN
*I *5173:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5174:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5173:D 0.000136048
2 *5174:ZN 0.000136048
3 *5656:I *5173:D 0.000302501
*RES
1 *5174:ZN *5173:D 10.26
*END
*D_NET *716 0.000438777
*CONN
*I *5171:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5172:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5171:D 0.000143948
2 *5172:ZN 0.000143948
3 *5656:I *5171:D 0.00014291
4 *307:9 *5171:D 0
5 *307:17 *5171:D 7.96988e-06
*RES
1 *5172:ZN *5171:D 10.35
*END
*D_NET *717 0.00121681
*CONN
*I *5169:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5170:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5169:D 0.000608405
2 *5170:ZN 0.000608405
3 *308:11 *5169:D 0
4 *308:71 *5169:D 0
*RES
1 *5170:ZN *5169:D 22.14
*END
*D_NET *718 0.000308641
*CONN
*I *5167:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5168:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5167:D 8.11039e-05
2 *5168:ZN 8.11039e-05
3 *5167:CLK *5167:D 1.86543e-05
4 *5173:CLK *5167:D 0.000127779
*RES
1 *5168:ZN *5167:D 9.81
*END
*D_NET *719 0.000894407
*CONN
*I *5165:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5166:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5165:D 0.000426125
2 *5166:ZN 0.000426125
3 *4903:A2 *5165:D 7.56244e-06
4 *307:7 *5165:D 5.31325e-06
5 *307:9 *5165:D 2.92808e-05
*RES
1 *5166:ZN *5165:D 12.24
*END
*D_NET *720 0.000351912
*CONN
*I *5163:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5164:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5163:D 0.00015467
2 *5164:ZN 0.00015467
3 *308:25 *5163:D 0
4 *308:37 *5163:D 4.25712e-05
*RES
1 *5164:ZN *5163:D 10.08
*END
*D_NET *721 0.000617243
*CONN
*I *5161:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5162:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5161:D 0.000291324
2 *5162:ZN 0.000291324
3 *5161:CLK *5161:D 3.4594e-05
*RES
1 *5162:ZN *5161:D 11.16
*END
*D_NET *722 0.00165175
*CONN
*I *5587:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5588:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5587:D 0.000484768
2 *5588:ZN 0.000484768
3 *5587:D *5585:D 1.79373e-05
4 *975:I *5587:D 0.00022339
5 *264:11 *5587:D 0.000436515
6 *316:51 *5587:D 4.37704e-06
*RES
1 *5588:ZN *5587:D 13.32
*END
*D_NET *723 0.000573049
*CONN
*I *5159:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5160:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5159:D 0.000251274
2 *5160:ZN 0.000251274
3 *4940:A3 *5159:D 0
4 *5163:CLK *5159:D 7.05008e-05
*RES
1 *5160:ZN *5159:D 10.8
*END
*D_NET *724 0.00172611
*CONN
*I *5157:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5158:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5157:D 0.000759356
2 *5158:ZN 0.000759356
3 *4902:A1 *5157:D 0
4 *4902:A2 *5157:D 0
5 *265:194 *5157:D 0.000207401
*RES
1 *5158:ZN *5157:D 23.4
*END
*D_NET *725 0.000693762
*CONN
*I *5155:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5156:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5155:D 0.000258805
2 *5156:ZN 0.000258805
3 *1124:I *5155:D 0
4 *4939:A4 *5155:D 0.000127779
5 *5659:I *5155:D 4.83731e-05
*RES
1 *5156:ZN *5155:D 20.16
*END
*D_NET *726 0.000339155
*CONN
*I *5153:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5154:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5153:D 0.000169577
2 *5154:ZN 0.000169577
3 *5153:CLK *5153:D 0
4 *265:194 *5153:D 0
*RES
1 *5154:ZN *5153:D 10.08
*END
*D_NET *727 0.000340178
*CONN
*I *5151:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5152:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5151:D 0.000160762
2 *5152:ZN 0.000160762
3 *5157:CLK *5151:D 1.86543e-05
*RES
1 *5152:ZN *5151:D 10.08
*END
*D_NET *728 0.000505972
*CONN
*I *5142:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5143:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5142:D 0.000246996
2 *5143:ZN 0.000246996
3 *5142:CLK *5142:D 0
4 *271:29 *5142:D 1.19803e-05
*RES
1 *5143:ZN *5142:D 10.62
*END
*D_NET *729 0.000477044
*CONN
*I *5140:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5141:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5140:D 0.000238522
2 *5141:ZN 0.000238522
3 *5102:CLK *5140:D 0
*RES
1 *5141:ZN *5140:D 10.62
*END
*D_NET *730 0.000477044
*CONN
*I *5138:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5139:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5138:D 0.000238522
2 *5139:ZN 0.000238522
*RES
1 *5139:ZN *5138:D 10.62
*END
*D_NET *731 0.00034255
*CONN
*I *5136:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5137:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5136:D 0.000149989
2 *5137:ZN 0.000149989
3 *4907:A3 *5136:D 4.25712e-05
*RES
1 *5137:ZN *5136:D 10.08
*END
*D_NET *732 0.000908125
*CONN
*I *5134:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5135:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5134:D 0.000454062
2 *5135:ZN 0.000454062
3 *896:I *5134:D 0
*RES
1 *5135:ZN *5134:D 12.06
*END
*D_NET *733 0.000723682
*CONN
*I *5585:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5586:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5585:D 0.000352872
2 *5586:ZN 0.000352872
3 *5587:D *5585:D 1.79373e-05
*RES
1 *5586:ZN *5585:D 11.61
*END
*D_NET *734 0.000385118
*CONN
*I *5132:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5133:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5132:D 0.000192559
2 *5133:ZN 0.000192559
3 *318:15 *5132:D 0
*RES
1 *5133:ZN *5132:D 10.26
*END
*D_NET *735 0.000572365
*CONN
*I *5130:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5131:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5130:D 0.000264897
2 *5131:ZN 0.000264897
3 *155:13 *5130:D 4.25712e-05
*RES
1 *5131:ZN *5130:D 10.98
*END
*D_NET *736 0.000566451
*CONN
*I *5128:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5129:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5128:D 0.000283226
2 *5129:ZN 0.000283226
3 *317:48 *5128:D 0
*RES
1 *5129:ZN *5128:D 10.8
*END
*D_NET *737 0.00105522
*CONN
*I *5126:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5127:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5126:D 0.000527612
2 *5127:ZN 0.000527612
3 *5120:CLK *5126:D 0
*RES
1 *5127:ZN *5126:D 12.42
*END
*D_NET *738 0.000523007
*CONN
*I *5124:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5125:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5124:D 0.000261503
2 *5125:ZN 0.000261503
3 *4911:A1 *5124:D 0
4 *318:44 *5124:D 0
*RES
1 *5125:ZN *5124:D 10.8
*END
*D_NET *739 0.000727887
*CONN
*I *5122:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5123:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5122:D 0.000318327
2 *5123:ZN 0.000318327
3 *1:13 *5122:D 0
4 *318:44 *5122:D 9.12325e-05
*RES
1 *5123:ZN *5122:D 11.61
*END
*D_NET *740 0.00101635
*CONN
*I *5120:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5121:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5120:D 0.000483992
2 *5121:ZN 0.000483992
3 *5118:CLK *5120:D 4.83668e-05
4 *5120:CLK *5120:D 0
5 *266:54 *5120:D 0
6 *270:63 *5120:D 0
*RES
1 *5121:ZN *5120:D 12.24
*END
*D_NET *741 0.000385118
*CONN
*I *5118:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5119:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5118:D 0.000192559
2 *5119:ZN 0.000192559
3 *5118:CLK *5118:D 0
*RES
1 *5119:ZN *5118:D 10.26
*END
*D_NET *742 0.000345945
*CONN
*I *5116:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5117:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5116:D 0.000130401
2 *5117:ZN 0.000130401
3 *5114:CLK *5116:D 4.25712e-05
4 *266:54 *5116:D 4.25712e-05
*RES
1 *5117:ZN *5116:D 10.08
*END
*D_NET *743 0.000710633
*CONN
*I *5114:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5115:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5114:D 0.000339041
2 *5115:ZN 0.000339041
3 *4908:A2 *5114:D 2.65663e-06
4 *4908:A4 *5114:D 2.98955e-05
*RES
1 *5115:ZN *5114:D 11.52
*END
*D_NET *744 0.00206568
*CONN
*I *5583:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5584:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5583:D 0.000352797
2 *5584:ZN 0.000352797
3 *1105:I *5583:D 0.000213108
4 *4921:A2 *5583:D 0.000131141
5 *4921:A3 *5583:D 0.000122572
6 *266:91 *5583:D 0.000893261
*RES
1 *5584:ZN *5583:D 22.41
*END
*D_NET *745 0.000600155
*CONN
*I *5112:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5113:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5112:D 0.000300077
2 *5113:ZN 0.000300077
*RES
1 *5113:ZN *5112:D 19.98
*END
*D_NET *746 0.0014818
*CONN
*I *5110:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5111:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5110:D 0.000552736
2 *5111:ZN 0.000552736
3 *4912:A1 *5110:D 2.39385e-05
4 *155:13 *5110:D 0.000250744
5 *270:44 *5110:D 0
6 *270:47 *5110:D 0
7 *270:58 *5110:D 0.000101647
*RES
1 *5111:ZN *5110:D 22.32
*END
*D_NET *747 0.000599744
*CONN
*I *5108:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5109:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5108:D 0.000276135
2 *5109:ZN 0.000276135
3 *4952:A2 *5108:D 0
4 *5108:CLK *5108:D 4.74742e-05
5 *266:174 *5108:D 0
*RES
1 *5109:ZN *5108:D 11.07
*END
*D_NET *748 0.000729486
*CONN
*I *5106:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5107:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5106:D 0.000364743
2 *5107:ZN 0.000364743
3 *5569:CLK *5106:D 0
*RES
1 *5107:ZN *5106:D 20.52
*END
*D_NET *749 0.000408099
*CONN
*I *5104:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5105:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5104:D 0.00020405
2 *5105:ZN 0.00020405
3 *271:33 *5104:D 0
*RES
1 *5105:ZN *5104:D 10.35
*END
*D_NET *750 0.000738044
*CONN
*I *5102:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5103:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5102:D 0.000369022
2 *5103:ZN 0.000369022
*RES
1 *5103:ZN *5102:D 20.52
*END
*D_NET *751 0.00119056
*CONN
*I *5581:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5582:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5581:D 0.000388807
2 *5582:ZN 0.000388807
3 *4921:A2 *5581:D 0.000213108
4 *5581:CLK *5581:D 0
5 *266:123 *5581:D 0.00019984
*RES
1 *5582:ZN *5581:D 12.6
*END
*D_NET *752 0.000669848
*CONN
*I *5579:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5580:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5579:D 0.000263469
2 *5580:ZN 0.000263469
3 *1137:I *5579:D 0
4 *278:39 *5579:D 0.00014291
*RES
1 *5580:ZN *5579:D 20.16
*END
*D_NET *753 0.000608383
*CONN
*I *5577:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5578:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5577:D 0.000284284
2 *5578:ZN 0.000284284
3 *4919:A4 *5577:D 3.98162e-05
4 *278:37 *5577:D 0
*RES
1 *5578:ZN *5577:D 10.98
*END
*D_NET *754 0.000340178
*CONN
*I *5575:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5576:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5575:D 0.000160762
2 *5576:ZN 0.000160762
3 *277:76 *5575:D 1.86543e-05
*RES
1 *5576:ZN *5575:D 10.08
*END
*D_NET *755 0.000384806
*CONN
*I *5573:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5574:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5573:D 0.000173098
2 *5574:ZN 0.000173098
3 *5573:CLK *5573:D 3.66071e-05
4 *277:46 *5573:D 2.00305e-06
*RES
1 *5574:ZN *5573:D 10.08
*END
*D_NET *756 0.000477044
*CONN
*I *5571:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5572:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5571:D 0.000238522
2 *5572:ZN 0.000238522
3 *5569:CLK *5571:D 0
*RES
1 *5572:ZN *5571:D 10.62
*END
*D_NET *757 0.00263931
*CONN
*I *5669:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5300:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5669:I 0.00131567
2 *5300:Q 0.00131567
3 cout2 *5669:I 7.96988e-06
4 *5361:CLK *5669:I 0
*RES
1 *5300:Q *5669:I 17.91
*END
*D_NET *758 0.000339155
*CONN
*I *5569:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5570:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5569:D 0.000169577
2 *5570:ZN 0.000169577
*RES
1 *5570:ZN *5569:D 10.08
*END
*D_NET *759 0.00144941
*CONN
*I *5558:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5559:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5558:D 0.000577482
2 *5559:ZN 0.000577482
3 *5558:D *5522:D 0.00029445
*RES
1 *5559:ZN *5558:D 22.68
*END
*D_NET *760 0.001038
*CONN
*I *5556:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5557:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5556:D 0.000516011
2 *5557:ZN 0.000516011
3 *4926:A3 *5556:D 5.97909e-06
4 *289:5 *5556:D 0
*RES
1 *5557:ZN *5556:D 12.51
*END
*D_NET *761 0.000339155
*CONN
*I *5554:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5555:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5554:D 0.000169577
2 *5555:ZN 0.000169577
3 *4925:A2 *5554:D 0
*RES
1 *5555:ZN *5554:D 10.08
*END
*D_NET *762 0.000953519
*CONN
*I *5552:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5553:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5552:D 0.000476759
2 *5553:ZN 0.000476759
3 *4925:A2 *5552:D 0
*RES
1 *5553:ZN *5552:D 12.15
*END
*D_NET *763 0.000714724
*CONN
*I *5550:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5551:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5550:D 0.000235749
2 *5551:ZN 0.000235749
3 *1150:I *5550:D 0.000200654
4 *268:67 *5550:D 4.25712e-05
*RES
1 *5551:ZN *5550:D 20.34
*END
*D_NET *764 0.000339155
*CONN
*I *5548:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5549:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5548:D 0.000169577
2 *5549:ZN 0.000169577
*RES
1 *5549:ZN *5548:D 10.08
*END
*D_NET *765 0.000530612
*CONN
*I *5546:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5547:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5546:D 0.000257245
2 *5547:ZN 0.000257245
3 *944:I *5546:D 1.61223e-05
4 *1089:I *5546:D 0
*RES
1 *5547:ZN *5546:D 10.89
*END
*D_NET *766 0.000489012
*CONN
*I *5544:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5545:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5544:D 0.000244506
2 *5545:ZN 0.000244506
3 *264:73 *5544:D 0
*RES
1 *5545:ZN *5544:D 10.62
*END
*D_NET *767 0.000922636
*CONN
*I *5542:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5543:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5542:D 0.000461318
2 *5543:ZN 0.000461318
*RES
1 *5543:ZN *5542:D 12.24
*END
*D_NET *768 0.00215989
*CONN
*I *5670:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5247:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5670:I 0.000652865
2 *5247:Q 0.000652865
3 cout3 *5670:I 0.000854156
*RES
1 *5247:Q *5670:I 23.4
*END
*D_NET *769 0.0022754
*CONN
*I *5540:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5541:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5540:D 0.000616097
2 *5541:ZN 0.000616097
3 *4930:A2 *5540:D 0.000628161
4 *4930:A3 *5540:D 0
5 *4930:A4 *5540:D 0.000415045
6 *4931:A1 *5540:D 0
7 *4931:A2 *5540:D 0
*RES
1 *5541:ZN *5540:D 14.31
*END
*D_NET *770 0.000781876
*CONN
*I *5538:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5539:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5538:D 0.000348267
2 *5539:ZN 0.000348267
3 *4929:A3 *5538:D 8.53414e-05
4 *4931:A2 *5538:D 0
*RES
1 *5539:ZN *5538:D 11.52
*END
*D_NET *771 0.000998831
*CONN
*I *5536:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5537:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5536:D 0.000336118
2 *5537:ZN 0.000336118
3 *5536:D *5534:D 0.000326595
*RES
1 *5537:ZN *5536:D 12.06
*END
*D_NET *772 0.00125012
*CONN
*I *5534:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5535:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5534:D 0.000411532
2 *5535:ZN 0.000411532
3 *5534:D *5522:D 0.000100466
4 *5536:D *5534:D 0.000326595
*RES
1 *5535:ZN *5534:D 12.96
*END
*D_NET *773 0.000599966
*CONN
*I *5532:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5533:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5532:D 0.000232571
2 *5533:ZN 0.000232571
3 *268:20 *5532:D 0.000134824
*RES
1 *5533:ZN *5532:D 11.07
*END
*D_NET *774 0.00100421
*CONN
*I *5530:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5531:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5530:D 0.000438214
2 *5531:ZN 0.000438214
3 *4890:A2 *5530:D 0.000127779
4 *5532:CLK *5530:D 0
5 *268:20 *5530:D 0
6 *292:8 *5530:D 0
*RES
1 *5531:ZN *5530:D 20.7
*END
*D_NET *775 0.000385118
*CONN
*I *5528:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5529:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5528:D 0.000192559
2 *5529:ZN 0.000192559
*RES
1 *5529:ZN *5528:D 10.26
*END
*D_NET *776 0.000687253
*CONN
*I *5526:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5527:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5526:D 0.000343627
2 *5527:ZN 0.000343627
3 *264:56 *5526:D 0
*RES
1 *5527:ZN *5526:D 11.07
*END
*D_NET *777 0.000544145
*CONN
*I *5524:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5525:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5524:D 0.000272073
2 *5525:ZN 0.000272073
3 *4867:A1 *5524:D 0
*RES
1 *5525:ZN *5524:D 19.8
*END
*D_NET *778 0.00191979
*CONN
*I *5522:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5523:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5522:D 0.000673764
2 *5523:ZN 0.000673764
3 *4930:A3 *5522:D 0.000177345
4 *5534:D *5522:D 0.000100466
5 *5558:D *5522:D 0.00029445
6 *5558:CLK *5522:D 0
*RES
1 *5523:ZN *5522:D 14.67
*END
*D_NET *779 0.000482845
*CONN
*I *5671:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5195:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5671:I 0.000220746
2 *5195:Q 0.000220746
3 *290:51 *5671:I 4.13525e-05
4 *290:64 *5671:I 0
*RES
1 *5195:Q *5671:I 10.53
*END
*D_NET *780 0.000339155
*CONN
*I *5510:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5511:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5510:D 0.000169577
2 *5511:ZN 0.000169577
*RES
1 *5511:ZN *5510:D 10.08
*END
*D_NET *781 0.000544877
*CONN
*I *5508:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5509:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5508:D 0.000272439
2 *5509:ZN 0.000272439
3 *5508:CLK *5508:D 0
*RES
1 *5509:ZN *5508:D 10.8
*END
*D_NET *782 0.00122426
*CONN
*I *5506:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5507:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5506:D 0.000515569
2 *5507:ZN 0.000515569
3 *5506:D *5504:D 0.000119282
4 *5506:CLK *5506:D 2.92808e-05
5 *290:51 *5506:D 4.4562e-05
*RES
1 *5507:ZN *5506:D 21.78
*END
*D_NET *783 0.000464712
*CONN
*I *5504:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5505:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5504:D 0.000172715
2 *5505:ZN 0.000172715
3 *5506:D *5504:D 0.000119282
*RES
1 *5505:ZN *5504:D 10.35
*END
*D_NET *784 0.00093471
*CONN
*I *5502:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5503:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5502:D 0.000373132
2 *5503:ZN 0.000373132
3 *5195:D *5502:D 3.29462e-05
4 *5250:CLK *5502:D 0.0001555
*RES
1 *5503:ZN *5502:D 11.97
*END
*D_NET *785 0.000367464
*CONN
*I *5500:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5501:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5500:D 0.000166512
2 *5501:ZN 0.000166512
3 *4935:A3 *5500:D 3.44412e-05
*RES
1 *5501:ZN *5500:D 10.08
*END
*D_NET *786 0.002666
*CONN
*I *5498:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5499:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5498:D 0.000426881
2 *5499:ZN 0.000426881
3 *5498:D *5494:D 1.86543e-05
4 *4940:A3 *5498:D 0.000473841
5 *5612:I *5498:D 0.000106548
6 *264:27 *5498:D 0.000747067
7 *312:32 *5498:D 0.000466131
*RES
1 *5499:ZN *5498:D 22.86
*END
*D_NET *787 0.000827422
*CONN
*I *5496:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5497:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5496:D 0.000366837
2 *5497:ZN 0.000366837
3 *970:I *5496:D 0
4 *264:27 *5496:D 9.37477e-05
*RES
1 *5497:ZN *5496:D 20.7
*END
*D_NET *788 0.000340178
*CONN
*I *5494:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5495:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5494:D 0.000160762
2 *5495:ZN 0.000160762
3 *5498:D *5494:D 1.86543e-05
*RES
1 *5495:ZN *5494:D 10.08
*END
*D_NET *789 0.000919532
*CONN
*I *5492:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5493:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5492:D 0.000202232
2 *5493:ZN 0.000202232
3 *1160:I *5492:D 0.000433633
4 *5288:D *5492:D 4.88962e-05
5 *265:154 *5492:D 1.91855e-05
6 *301:84 *5492:D 9.77079e-06
7 *301:86 *5492:D 3.58199e-06
*RES
1 *5493:ZN *5492:D 20.61
*END
*D_NET *790 0.000546943
*CONN
*I *5672:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5144:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5672:I 0.000273472
2 *5144:Q 0.000273472
3 cout5 *5672:I 0
*RES
1 *5144:Q *5672:I 10.8
*END
*D_NET *791 0.000385118
*CONN
*I *5490:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5491:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5490:D 0.000192559
2 *5491:ZN 0.000192559
3 *5490:CLK *5490:D 0
4 *5637:I *5490:D 0
*RES
1 *5491:ZN *5490:D 10.26
*END
*D_NET *792 0.000520709
*CONN
*I *5488:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5489:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5488:D 0.000109104
2 *5489:ZN 0.000109104
3 *5488:D *5486:D 0
4 *5488:CLK *5488:D 0.000302501
*RES
1 *5489:ZN *5488:D 10.08
*END
*D_NET *793 0.000724272
*CONN
*I *5486:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5487:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5486:D 0.000362136
2 *5487:ZN 0.000362136
3 *5486:D *5478:D 0
4 *5488:D *5486:D 0
5 *5488:CLK *5486:D 0
*RES
1 *5487:ZN *5486:D 11.34
*END
*D_NET *794 0.000887664
*CONN
*I *5484:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5485:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5484:D 0.000430601
2 *5485:ZN 0.000430601
3 *5637:I *5484:D 2.64621e-05
4 *290:17 *5484:D 0
*RES
1 *5485:ZN *5484:D 11.88
*END
*D_NET *795 0.00097895
*CONN
*I *5482:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5483:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5482:D 0.000474835
2 *5483:ZN 0.000474835
3 *4937:A1 *5482:D 2.92808e-05
4 *4937:A2 *5482:D 0
5 *4937:A3 *5482:D 0
*RES
1 *5483:ZN *5482:D 12.33
*END
*D_NET *796 0.000541596
*CONN
*I *5480:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5481:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5480:D 0.000228408
2 *5481:ZN 0.000228408
3 *180:10 *5480:D 8.47789e-05
*RES
1 *5481:ZN *5480:D 10.62
*END
*D_NET *797 0.000385118
*CONN
*I *5478:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5479:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5478:D 0.000192559
2 *5479:ZN 0.000192559
3 *5486:D *5478:D 0
4 *265:131 *5478:D 0
5 *280:92 *5478:D 0
*RES
1 *5479:ZN *5478:D 10.26
*END
*D_NET *798 0.000691097
*CONN
*I *5476:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5477:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5476:D 0.000296295
2 *5477:ZN 0.000296295
3 *280:31 *5476:D 0
4 *280:33 *5476:D 0
5 *280:92 *5476:D 9.85067e-05
*RES
1 *5477:ZN *5476:D 10.98
*END
*D_NET *799 0.0017163
*CONN
*I *5463:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5464:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5463:D 0.000808672
2 *5464:ZN 0.000808672
3 *5413:CLK *5463:D 2.64621e-05
4 *304:5 *5463:D 7.24888e-05
*RES
1 *5464:ZN *5463:D 14.4
*END
*D_NET *800 0.00101528
*CONN
*I *5461:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5462:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5461:D 0.000402331
2 *5462:ZN 0.000402331
3 *5354:D *5461:D 0.00021062
*RES
1 *5462:ZN *5461:D 21.24
*END
*D_NET *801 0.00116599
*CONN
*I *5673:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5609:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5673:I 0.000575014
2 *5609:Q 0.000575014
3 cout6 *5673:I 1.59607e-05
*RES
1 *5609:Q *5673:I 12.69
*END
*D_NET *802 0.00179973
*CONN
*I *5459:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5460:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5459:D 0.000699855
2 *5460:ZN 0.000699855
3 *5411:CLK *5459:D 9.9068e-05
4 *5459:CLK *5459:D 0
5 *5646:I *5459:D 1.19803e-05
6 *267:56 *5459:D 0.000224847
7 *299:63 *5459:D 6.41298e-05
*RES
1 *5460:ZN *5459:D 22.95
*END
*D_NET *803 0.00139751
*CONN
*I *5457:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5458:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5457:D 0.000606569
2 *5458:ZN 0.000606569
3 *5354:D *5457:D 0
4 *5457:CLK *5457:D 0.000184375
5 *298:16 *5457:D 0
*RES
1 *5458:ZN *5457:D 22.14
*END
*D_NET *804 0.000416088
*CONN
*I *5455:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5456:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5455:D 0.000208044
2 *5456:ZN 0.000208044
*RES
1 *5456:ZN *5455:D 10.35
*END
*D_NET *805 0.000848424
*CONN
*I *5453:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5454:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5453:D 0.000421636
2 *5454:ZN 0.000421636
3 *5453:CLK *5453:D 5.15117e-06
*RES
1 *5454:ZN *5453:D 11.7
*END
*D_NET *806 0.00138216
*CONN
*I *5451:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5452:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5451:D 0.000330055
2 *5452:ZN 0.000330055
3 *299:17 *5451:D 0.000473841
4 *299:19 *5451:D 4.55234e-05
5 *299:23 *5451:D 1.0415e-05
6 *299:55 *5451:D 0.000192268
*RES
1 *5452:ZN *5451:D 21.24
*END
*D_NET *807 0.000898506
*CONN
*I *5449:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5450:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5449:D 0.000449253
2 *5450:ZN 0.000449253
3 *4846:A1 *5449:D 0
4 *4850:A1 *5449:D 0
*RES
1 *5450:ZN *5449:D 21.24
*END
*D_NET *808 0.00151072
*CONN
*I *5447:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5448:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5447:D 0.000518439
2 *5448:ZN 0.000518439
3 *4846:A4 *5447:D 0
4 *296:20 *5447:D 0.000473841
*RES
1 *5448:ZN *5447:D 22.77
*END
*D_NET *809 0.00102628
*CONN
*I *5445:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5446:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5445:D 0.000495456
2 *5446:ZN 0.000495456
3 *5445:D *5443:D 3.4594e-05
4 *1078:I *5445:D 0
5 *297:15 *5445:D 7.7749e-07
*RES
1 *5446:ZN *5445:D 12.42
*END
*D_NET *810 0.000983208
*CONN
*I *5443:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5444:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5443:D 0.000474307
2 *5444:ZN 0.000474307
3 *5445:D *5443:D 3.4594e-05
4 *297:104 *5443:D 0
*RES
1 *5444:ZN *5443:D 21.06
*END
*D_NET *811 0.00104693
*CONN
*I *5441:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5442:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5441:D 0.000514107
2 *5442:ZN 0.000514107
3 *4847:A1 *5441:D 1.0743e-05
4 *4847:A3 *5441:D 0
5 *4850:A1 *5441:D 7.96988e-06
*RES
1 *5442:ZN *5441:D 21.78
*END
*D_NET *812 0.00496103
*CONN
*I *5674:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *1178:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *5560:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5674:I 0.00014938
2 *1178:I 0
3 *5560:Q 0.00189151
4 *812:9 0.00204089
5 *5100:CLK *812:9 0.0004121
6 *5101:CLK *812:9 0.000101647
7 *5560:D *812:9 0
8 *272:5 *812:9 0.000365492
*RES
1 *5560:Q *812:9 27.18
2 *812:9 *1178:I 4.5
3 *812:9 *5674:I 5.67
*END
*D_NET *813 0.00136199
*CONN
*I *5439:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5440:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5439:D 0.000680995
2 *5440:ZN 0.000680995
*RES
1 *5440:ZN *5439:D 22.77
*END
*D_NET *814 0.000604864
*CONN
*I *5437:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5438:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5437:D 0.000174061
2 *5438:ZN 0.000174061
3 *5437:D *5435:D 0
4 *297:57 *5437:D 0.000256741
*RES
1 *5438:ZN *5437:D 10.8
*END
*D_NET *815 0.000939883
*CONN
*I *5435:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5436:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5435:D 0.000445755
2 *5436:ZN 0.000445755
3 *4847:A4 *5435:D 0
4 *5437:D *5435:D 0
5 *297:19 *5435:D 4.83731e-05
6 *297:57 *5435:D 0
*RES
1 *5436:ZN *5435:D 20.7
*END
*D_NET *816 0.00119081
*CONN
*I *5433:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5434:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5433:D 0.000550836
2 *5434:ZN 0.000550836
3 *4853:A1 *5433:D 1.61223e-05
4 *267:11 *5433:D 4.77764e-05
5 *267:124 *5433:D 2.52351e-05
6 *294:77 *5433:D 0
*RES
1 *5434:ZN *5433:D 21.96
*END
*D_NET *817 0.00182594
*CONN
*I *5431:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5432:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5431:D 0.000665909
2 *5432:ZN 0.000665909
3 *4853:A4 *5431:D 0
4 *267:5 *5431:D 0.000432903
5 *294:87 *5431:D 6.12182e-05
*RES
1 *5432:ZN *5431:D 14.67
*END
*D_NET *818 0.000573256
*CONN
*I *5429:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5430:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5429:D 0.000286628
2 *5430:ZN 0.000286628
3 *5614:I *5429:D 0
*RES
1 *5430:ZN *5429:D 20.25
*END
*D_NET *819 0.00189959
*CONN
*I *5427:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5428:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5427:D 0.000693266
2 *5428:ZN 0.000693266
3 *1033:I *5427:D 0.00046357
4 *1034:I *5427:D 0
5 *4853:A4 *5427:D 4.94856e-05
6 *267:124 *5427:D 0
7 *294:80 *5427:D 0
*RES
1 *5428:ZN *5427:D 23.85
*END
*D_NET *820 0.00136003
*CONN
*I *5425:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5426:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5425:D 0.00033627
2 *5426:ZN 0.00033627
3 *4852:A1 *5425:D 0
4 *4854:A2 *5425:D 2.65663e-06
5 *267:29 *5425:D 0.000684836
*RES
1 *5426:ZN *5425:D 21.06
*END
*D_NET *821 0.00140632
*CONN
*I *5423:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5424:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5423:D 0.000555928
2 *5424:ZN 0.000555928
3 *4852:A2 *5423:D 0.00029446
*RES
1 *5424:ZN *5423:D 22.5
*END
*D_NET *822 0.000908125
*CONN
*I *5421:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5422:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5421:D 0.000454062
2 *5422:ZN 0.000454062
3 *5421:D *5419:D 0
*RES
1 *5422:ZN *5421:D 12.06
*END
*D_NET *823 0.00267498
*CONN
*I *5675:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *5512:Q O *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*CAP
1 *5675:I 0.00132852
2 *5512:Q 0.00132852
3 cout8 *5675:I 1.79373e-05
4 *1101:I *5675:I 0
*RES
1 *5512:Q *5675:I 17.73
*END
*D_NET *824 0.000408099
*CONN
*I *5419:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5420:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5419:D 0.00020405
2 *5420:ZN 0.00020405
3 *5421:D *5419:D 0
*RES
1 *5420:ZN *5419:D 10.35
*END
*D_NET *825 0.00185324
*CONN
*I *5417:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5418:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5417:D 0.000709668
2 *5418:ZN 0.000709668
3 *5417:D *5415:D 1.71343e-05
4 *4851:A2 *5417:D 6.24627e-05
5 *4851:A3 *5417:D 3.07804e-06
6 *4854:A3 *5417:D 0.000109081
7 *267:22 *5417:D 3.76034e-05
8 *267:25 *5417:D 0.000204547
9 *300:5 *5417:D 0
*RES
1 *5418:ZN *5417:D 23.67
*END
*D_NET *826 0.00119073
*CONN
*I *5415:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5416:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5415:D 0.000277742
2 *5416:ZN 0.000277742
3 *4851:A2 *5415:D 0
4 *4851:A3 *5415:D 0.000546973
5 *5417:D *5415:D 1.71343e-05
6 *300:14 *5415:D 7.11356e-05
*RES
1 *5416:ZN *5415:D 21.69
*END
*D_NET *827 0.000597124
*CONN
*I *5413:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5414:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5413:D 0.000186306
2 *5414:ZN 0.000186306
3 *267:98 *5413:D 0.000224512
*RES
1 *5414:ZN *5413:D 10.8
*END
*D_NET *828 0.00079067
*CONN
*I *5411:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5412:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5411:D 0.000340733
2 *5412:ZN 0.000340733
3 *1027:I *5411:D 0.000109205
4 *267:25 *5411:D 0
*RES
1 *5412:ZN *5411:D 11.61
*END
*D_NET *829 0.000752822
*CONN
*I *5407:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5408:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5407:D 0.000376411
2 *5408:ZN 0.000376411
*RES
1 *5408:ZN *5407:D 11.7
*END
*D_NET *830 0.000518143
*CONN
*I *5405:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5406:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5405:D 0.000219764
2 *5406:ZN 0.000219764
3 *4863:A1 *5405:D 0
4 *268:218 *5405:D 7.86148e-05
*RES
1 *5406:ZN *5405:D 10.62
*END
*D_NET *831 0.00250115
*CONN
*I *5403:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5404:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5403:D 0.000434054
2 *5404:ZN 0.000434054
3 *5631:I *5403:D 0.000248105
4 *284:68 *5403:D 0.00120283
5 *284:75 *5403:D 0.000138088
6 *284:87 *5403:D 4.40181e-05
*RES
1 *5404:ZN *5403:D 23.4
*END
*D_NET *832 0.000960436
*CONN
*I *5401:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5402:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5401:D 0.000417953
2 *5402:ZN 0.000417953
3 *5401:D *5399:D 0.000119218
4 *5401:CLK *5401:D 0
5 *284:19 *5401:D 5.31325e-06
*RES
1 *5402:ZN *5401:D 12.06
*END
*D_NET *833 0.000625539
*CONN
*I *5399:D I *D gf180mcu_fd_sc_mcu7t5v0__dffq_1
*I *5400:ZN O *D gf180mcu_fd_sc_mcu7t5v0__tiel
*CAP
1 *5399:D 0.000228978
2 *5400:ZN 0.000228978
3 *5401:D *5399:D 0.000119218
4 *284:19 *5399:D 4.83651e-05
*RES
1 *5400:ZN *5399:D 19.8
*END