blob: e4312dfdbf35e4bd804b7bd5ee4a8d37458de903 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "divider")
(DATE "Mon Dec 5 19:56:54 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.2")
(DIVIDER .)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "divider")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT clk clkbuf_0_clk.I (0.127:0.127:0.127) (0.079:0.079:0.079))
(INTERCONNECT clk ANTENNA_clkbuf_0_clk_I.I (0.127:0.127:0.127) (0.079:0.079:0.079))
(INTERCONNECT _0475_.ZN _0479_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0476_.ZN _0479_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0477_.ZN _0479_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0478_.ZN _0479_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0479_.ZN _0484_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0479_.ZN _0640_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0480_.ZN _0483_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0481_.ZN _0483_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0482_.ZN _0483_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0483_.ZN _0484_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0483_.ZN _0640_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0484_.ZN _0868_.D (0.000:0.000:0.000))
(INTERCONNECT _0485_.ZN _0493_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0486_.ZN _0493_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0486_.ZN ANTENNA__0493__A2.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0487_.ZN _0493_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0488_.ZN _0492_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0489_.ZN _0492_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0490_.ZN _0492_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0491_.ZN _0492_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0492_.Z _0493_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0493_.ZN _0494_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0493_.ZN _0637_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0493_.ZN _0639_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0494_.ZN _0839_.D (0.000:0.000:0.000))
(INTERCONNECT _0495_.ZN _0503_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0496_.ZN _0503_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0497_.ZN _0503_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0498_.ZN _0502_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0499_.ZN _0502_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0500_.ZN _0502_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0501_.ZN _0502_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0502_.Z _0503_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0502_.Z ANTENNA__0503__A4.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN _0504_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN _0631_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN _0633_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN _0636_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN ANTENNA__0636__A1.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN ANTENNA__0633__A1.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN ANTENNA__0631__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0503_.ZN ANTENNA__0504__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0504_.ZN _0810_.D (0.000:0.000:0.000))
(INTERCONNECT _0505_.ZN _0512_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0506_.ZN _0512_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0507_.ZN _0511_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0508_.ZN _0511_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0509_.ZN _0511_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0510_.ZN _0511_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0511_.Z _0512_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0512_.ZN _0513_.I (0.000:0.000:0.000))
(INTERCONNECT _0512_.ZN _0630_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0512_.ZN ANTENNA__0630__A1.I (0.000:0.000:0.000))
(INTERCONNECT _0512_.ZN ANTENNA__0513__I.I (0.000:0.000:0.000))
(INTERCONNECT _0513_.Z _0514_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0513_.Z _0621_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0513_.Z _0624_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0513_.Z _0627_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0514_.ZN _0781_.D (0.000:0.000:0.000))
(INTERCONNECT _0515_.ZN _0522_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0516_.ZN _0522_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0517_.ZN _0521_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0518_.ZN _0521_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0519_.ZN _0521_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0520_.ZN _0521_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0521_.Z _0522_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0522_.ZN _0523_.I (0.001:0.001:0.001))
(INTERCONNECT _0522_.ZN _0618_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0522_.ZN _0620_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0523_.Z _0524_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0523_.Z _0611_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0523_.Z _0614_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0523_.Z _0616_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0524_.ZN _0752_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0524_.ZN ANTENNA__0752__D.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0525_.Z _0526_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0526_.ZN _0533_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0526_.ZN _0594_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0527_.ZN _0529_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0528_.ZN _0529_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0529_.Z _0533_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0529_.Z _0594_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0530_.ZN _0532_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0531_.ZN _0532_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0532_.Z _0533_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0532_.Z _0594_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0533_.ZN _0534_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0533_.ZN _0602_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0533_.ZN _0605_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0534_.ZN _1013_.D (0.000:0.000:0.000))
(INTERCONNECT _0535_.Z _0536_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0536_.ZN _0542_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0536_.ZN _0576_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0537_.ZN _0539_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0538_.ZN _0539_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0539_.Z _0542_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0539_.Z _0576_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0540_.Z _0541_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0541_.ZN _0542_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0541_.ZN _0576_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0542_.ZN _0543_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0542_.ZN _0586_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0542_.ZN _0589_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0542_.ZN ANTENNA__0589__A1.I (0.000:0.000:0.000))
(INTERCONNECT _0542_.ZN ANTENNA__0586__A1.I (0.000:0.000:0.000))
(INTERCONNECT _0542_.ZN ANTENNA__0543__A2.I (0.000:0.000:0.000))
(INTERCONNECT _0543_.ZN _0984_.D (0.000:0.000:0.000))
(INTERCONNECT _0544_.ZN _0546_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0545_.ZN _0546_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0546_.Z _0551_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0546_.Z _0704_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0547_.ZN _0550_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0548_.ZN _0550_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0549_.ZN _0550_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0550_.Z _0551_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0550_.Z _0704_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0551_.ZN _0552_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0551_.ZN _0722_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0552_.ZN _0955_.D (0.000:0.000:0.000))
(INTERCONNECT _0553_.ZN _0561_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0553_.ZN _0676_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0553_.ZN _0699_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0554_.ZN _0556_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0555_.ZN _0556_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0556_.Z _0561_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0556_.Z _0676_.C (0.000:0.000:0.000))
(INTERCONNECT _0556_.Z _0697_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0557_.ZN _0560_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0558_.ZN _0560_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0559_.ZN _0560_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0560_.Z _0561_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0560_.Z _0676_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0560_.Z _0697_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0560_.Z ANTENNA__0697__A2.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0560_.Z ANTENNA__0676__B.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0560_.Z ANTENNA__0561__A3.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0561_.Z _0562_.I (0.000:0.000:0.000))
(INTERCONNECT _0562_.Z _0926_.D (0.000:0.000:0.000))
(INTERCONNECT _0563_.ZN _0570_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0563_.ZN _0671_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0564_.Z _0565_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0565_.ZN _0570_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0565_.ZN _0645_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0566_.ZN _0569_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0567_.ZN _0569_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0568_.ZN _0569_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0569_.Z _0570_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0569_.Z _0645_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0570_.ZN _0571_.I (0.000:0.000:0.000))
(INTERCONNECT _0570_.ZN _0654_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0570_.ZN _0657_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0570_.ZN _0658_.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0571_.Z _0572_.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0571_.Z _0648_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0571_.Z _0650_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0571_.Z _0671_.B2 (0.000:0.000:0.000))
(INTERCONNECT _0571_.Z ANTENNA__0671__B2.I (0.000:0.000:0.000))
(INTERCONNECT _0571_.Z ANTENNA__0650__A1.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0571_.Z ANTENNA__0648__A1.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0571_.Z ANTENNA__0572__I.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0572_.ZN _0897_.D (0.000:0.000:0.000))
(INTERCONNECT _0573_.Z _0579_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0573_.Z _0580_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0573_.Z _0582_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0574_.Z _0575_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0574_.Z _0583_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0574_.Z _0584_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0574_.Z _0585_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0575_.ZN _0576_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0576_.ZN _0577_.I (0.000:0.000:0.000))
(INTERCONNECT _0576_.ZN _0583_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0577_.Z _0579_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0577_.Z _0581_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0577_.Z _0591_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0577_.Z _0724_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0578_.ZN _0579_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0579_.ZN _0725_.D (0.000:0.000:0.000))
(INTERCONNECT _0580_.ZN _0581_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0581_.ZN _0726_.D (0.000:0.000:0.000))
(INTERCONNECT _0582_.ZN _0583_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0583_.ZN _0727_.D (0.000:0.000:0.000))
(INTERCONNECT _0584_.Z _0586_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0584_.Z _0587_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0584_.Z _0588_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0584_.Z _0590_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0585_.ZN _0586_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0586_.ZN _0728_.D (0.000:0.000:0.000))
(INTERCONNECT _0587_.Z _0589_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0588_.ZN _0589_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0589_.ZN _0729_.D (0.000:0.000:0.000))
(INTERCONNECT _0590_.ZN _0591_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0591_.ZN _0730_.D (0.000:0.000:0.000))
(INTERCONNECT _0592_.Z _0593_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0592_.Z _0602_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0592_.Z _0603_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0592_.Z _0604_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0593_.ZN _0594_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0594_.ZN _0595_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0594_.ZN _0598_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0594_.ZN _0600_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0594_.ZN _0607_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0594_.ZN ANTENNA__0607__A1.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0594_.ZN ANTENNA__0600__A1.I (0.000:0.000:0.000))
(INTERCONNECT _0594_.ZN ANTENNA__0598__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0594_.ZN ANTENNA__0595__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0595_.ZN _0753_.D (0.000:0.000:0.000))
(INTERCONNECT _0596_.Z _0598_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0596_.Z _0599_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0596_.Z _0601_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0597_.ZN _0598_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0598_.ZN _0754_.D (0.000:0.000:0.000))
(INTERCONNECT _0599_.ZN _0600_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0600_.ZN _0755_.D (0.000:0.000:0.000))
(INTERCONNECT _0601_.ZN _0602_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0602_.ZN _0756_.D (0.000:0.000:0.000))
(INTERCONNECT _0603_.Z _0605_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0603_.Z _0606_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0604_.ZN _0605_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0605_.ZN _0757_.D (0.000:0.000:0.000))
(INTERCONNECT _0606_.ZN _0607_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0607_.ZN _0758_.D (0.000:0.000:0.000))
(INTERCONNECT _0608_.Z _0609_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0608_.Z _0616_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0608_.Z _0617_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0609_.Z _0610_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0609_.Z _0618_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0609_.Z _0619_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0610_.Z _0611_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0610_.Z _0620_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0611_.ZN _0782_.D (0.000:0.000:0.000))
(INTERCONNECT _0612_.Z _0614_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0612_.Z _0615_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0613_.ZN _0614_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0614_.ZN _0783_.D (0.000:0.000:0.000))
(INTERCONNECT _0615_.ZN _0616_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0616_.ZN _0784_.D (0.000:0.000:0.000))
(INTERCONNECT _0617_.ZN _0618_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0618_.ZN _0785_.D (0.000:0.000:0.000))
(INTERCONNECT _0619_.ZN _0620_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0620_.ZN _0786_.D (0.000:0.000:0.000))
(INTERCONNECT _0621_.ZN _0811_.D (0.000:0.000:0.000))
(INTERCONNECT _0622_.Z _0624_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0622_.Z _0625_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0622_.Z _0626_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0623_.ZN _0624_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0624_.ZN _0812_.D (0.000:0.000:0.000))
(INTERCONNECT _0625_.Z _0627_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0625_.Z _0628_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0625_.Z _0629_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0626_.ZN _0627_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0627_.ZN _0813_.D (0.000:0.000:0.000))
(INTERCONNECT _0628_.Z _0630_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0629_.ZN _0630_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0630_.ZN _0814_.D (0.000:0.000:0.000))
(INTERCONNECT _0631_.ZN _0840_.D (0.000:0.000:0.000))
(INTERCONNECT _0632_.ZN _0633_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0633_.ZN _0841_.D (0.000:0.000:0.000))
(INTERCONNECT _0634_.ZN _0635_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0635_.Z _0636_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0636_.ZN _0842_.D (0.000:0.000:0.000))
(INTERCONNECT _0637_.ZN _0869_.D (0.000:0.000:0.000))
(INTERCONNECT _0638_.ZN _0639_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0639_.ZN _0870_.D (0.000:0.000:0.000))
(INTERCONNECT _0640_.ZN _0898_.D (0.000:0.000:0.000))
(INTERCONNECT _0641_.ZN _0645_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0641_.ZN _0669_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0641_.ZN _0670_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0642_.Z _0644_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0642_.Z _0656_.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0642_.Z _0663_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0642_.Z _0666_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0642_.Z ANTENNA__0666__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0642_.Z ANTENNA__0663__A1.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0642_.Z ANTENNA__0656__I.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0642_.Z ANTENNA__0644__A3.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0643_.Z _0644_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0643_.Z _0662_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0643_.Z _0663_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0643_.Z _0666_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0644_.ZN _0645_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0644_.ZN _0669_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0644_.ZN _0670_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0645_.ZN _0646_.I (0.000:0.000:0.000))
(INTERCONNECT _0645_.ZN _0651_.I (0.000:0.000:0.000))
(INTERCONNECT _0645_.ZN _0657_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0645_.ZN _0662_.B1 (0.000:0.000:0.000))
(INTERCONNECT _0646_.Z _0648_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0646_.Z _0650_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0646_.Z _0660_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0646_.Z _0665_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0646_.Z ANTENNA__0665__A2.I (0.000:0.000:0.000))
(INTERCONNECT _0646_.Z ANTENNA__0660__A2.I (0.000:0.000:0.000))
(INTERCONNECT _0646_.Z ANTENNA__0650__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0646_.Z ANTENNA__0648__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0647_.Z _0648_.B (0.000:0.000:0.000))
(INTERCONNECT _0647_.Z _0649_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0647_.Z _0652_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0647_.Z _0653_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0648_.ZN _0927_.D (0.000:0.000:0.000))
(INTERCONNECT _0649_.ZN _0650_.B (0.000:0.000:0.000))
(INTERCONNECT _0650_.ZN _0928_.D (0.000:0.000:0.000))
(INTERCONNECT _0651_.Z _0654_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0651_.Z _0668_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0651_.Z _0669_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0651_.Z _0671_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0652_.ZN _0654_.B (0.000:0.000:0.000))
(INTERCONNECT _0653_.Z _0654_.C (0.000:0.000:0.000))
(INTERCONNECT _0653_.Z _0655_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0654_.ZN _0929_.D (0.000:0.000:0.000))
(INTERCONNECT _0655_.ZN _0657_.B (0.000:0.000:0.000))
(INTERCONNECT _0656_.Z _0657_.C (0.000:0.000:0.000))
(INTERCONNECT _0656_.Z _0659_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0656_.Z _0661_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0656_.Z _0662_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0657_.ZN _0930_.D (0.000:0.000:0.000))
(INTERCONNECT _0658_.Z _0660_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0658_.Z _0662_.B2 (0.000:0.000:0.000))
(INTERCONNECT _0658_.Z _0665_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0658_.Z _0668_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0659_.ZN _0660_.B (0.000:0.000:0.000))
(INTERCONNECT _0660_.ZN _0931_.D (0.000:0.000:0.000))
(INTERCONNECT _0661_.ZN _0662_.C (0.000:0.000:0.000))
(INTERCONNECT _0662_.ZN _0932_.D (0.000:0.000:0.000))
(INTERCONNECT _0663_.ZN _0664_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0664_.Z _0665_.B (0.000:0.000:0.000))
(INTERCONNECT _0665_.ZN _0933_.D (0.000:0.000:0.000))
(INTERCONNECT _0666_.ZN _0667_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0667_.Z _0668_.B (0.000:0.000:0.000))
(INTERCONNECT _0668_.ZN _0934_.D (0.000:0.000:0.000))
(INTERCONNECT _0669_.ZN _0935_.D (0.000:0.000:0.000))
(INTERCONNECT _0670_.Z _0671_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0671_.ZN _0936_.D (0.000:0.000:0.000))
(INTERCONNECT _0672_.Z _0678_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0672_.Z _0679_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0672_.Z _0681_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0672_.Z _0683_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0673_.Z _0675_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0673_.Z _0685_.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0673_.Z _0688_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0673_.Z _0692_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0674_.Z _0675_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0674_.Z _0691_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0674_.Z _0692_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0674_.Z _0696_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0675_.ZN _0676_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0675_.ZN _0697_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0675_.ZN _0699_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0676_.ZN _0677_.I (0.000:0.000:0.000))
(INTERCONNECT _0676_.ZN _0682_.I (0.000:0.000:0.000))
(INTERCONNECT _0677_.Z _0678_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0677_.Z _0680_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0677_.Z _0689_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0677_.Z _0699_.B (0.000:0.000:0.000))
(INTERCONNECT _0678_.ZN _0956_.D (0.000:0.000:0.000))
(INTERCONNECT _0679_.ZN _0680_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0680_.ZN _0957_.D (0.000:0.000:0.000))
(INTERCONNECT _0681_.Z _0684_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0681_.Z _0686_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0682_.Z _0684_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0682_.Z _0687_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0682_.Z _0691_.B (0.000:0.000:0.000))
(INTERCONNECT _0682_.Z _0694_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0683_.ZN _0684_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0684_.ZN _0958_.D (0.000:0.000:0.000))
(INTERCONNECT _0685_.Z _0687_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0685_.Z _0690_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0685_.Z _0691_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0685_.Z _0696_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0686_.ZN _0687_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0687_.ZN _0959_.D (0.000:0.000:0.000))
(INTERCONNECT _0688_.ZN _0689_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0689_.ZN _0960_.D (0.000:0.000:0.000))
(INTERCONNECT _0690_.ZN _0691_.C (0.000:0.000:0.000))
(INTERCONNECT _0691_.ZN _0961_.D (0.000:0.000:0.000))
(INTERCONNECT _0692_.ZN _0693_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0693_.Z _0694_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0694_.ZN _0962_.D (0.000:0.000:0.000))
(INTERCONNECT _0695_.ZN _0698_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0696_.ZN _0698_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0697_.ZN _0698_.B (0.000:0.000:0.000))
(INTERCONNECT _0698_.ZN _0963_.D (0.000:0.000:0.000))
(INTERCONNECT _0699_.ZN _0964_.D (0.000:0.000:0.000))
(INTERCONNECT _0700_.Z _0706_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0700_.Z _0707_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0700_.Z _0709_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0700_.Z _0710_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0701_.ZN _0704_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0701_.ZN _0723_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0702_.Z _0703_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0702_.Z _0712_.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0703_.ZN _0704_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0703_.ZN _0720_.I (0.000:0.000:0.000))
(INTERCONNECT _0703_.ZN _0723_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0704_.ZN _0705_.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0704_.ZN _0711_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0704_.ZN _0714_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0704_.ZN _0719_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0704_.ZN ANTENNA__0719__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0704_.ZN ANTENNA__0714__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0704_.ZN ANTENNA__0711__A2.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0704_.ZN ANTENNA__0705__I.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0705_.Z _0706_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0705_.Z _0708_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0705_.Z _0716_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0705_.Z _0723_.B (0.000:0.000:0.000))
(INTERCONNECT _0705_.Z ANTENNA__0723__B.I (0.000:0.000:0.000))
(INTERCONNECT _0705_.Z ANTENNA__0716__A1.I (0.000:0.000:0.000))
(INTERCONNECT _0705_.Z ANTENNA__0708__A1.I (0.000:0.000:0.000))
(INTERCONNECT _0705_.Z ANTENNA__0706__A2.I (0.000:0.000:0.000))
(INTERCONNECT _0706_.ZN _0985_.D (0.000:0.000:0.000))
(INTERCONNECT _0707_.ZN _0708_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0708_.ZN _0986_.D (0.000:0.000:0.000))
(INTERCONNECT _0709_.Z _0711_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0709_.Z _0713_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0710_.ZN _0711_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0711_.ZN _0987_.D (0.000:0.000:0.000))
(INTERCONNECT _0712_.Z _0714_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0712_.Z _0715_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0712_.Z _0717_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0712_.Z _0718_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0713_.ZN _0714_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0714_.ZN _0988_.D (0.000:0.000:0.000))
(INTERCONNECT _0715_.ZN _0716_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0716_.ZN _0989_.D (0.000:0.000:0.000))
(INTERCONNECT _0717_.Z _0719_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0717_.Z _0721_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0718_.ZN _0719_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0719_.ZN _0990_.D (0.000:0.000:0.000))
(INTERCONNECT _0720_.ZN _0722_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0721_.ZN _0722_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0722_.ZN _0991_.D (0.000:0.000:0.000))
(INTERCONNECT _0723_.ZN _0992_.D (0.000:0.000:0.000))
(INTERCONNECT _0724_.ZN _1014_.D (0.000:0.000:0.000))
(INTERCONNECT _0725_.Q _0573_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0725_.Q _0574_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0725_.Q _0578_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0726_.Q _0574_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0726_.Q _0580_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0726_.Q _0582_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0727_.Q _0574_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0727_.Q _0582_.B (0.000:0.000:0.000))
(INTERCONNECT _0728_.Q _0575_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0728_.Q _0584_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0728_.Q _0585_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0729_.Q _0575_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0729_.Q _0587_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0729_.Q _0588_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0729_.Q _0590_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0730_.Q _0543_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0730_.Q _0575_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0730_.Q _0590_.B (0.000:0.000:0.000))
(INTERCONNECT _0731_.Q _0535_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0732_.Q _0535_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0733_.Q _0538_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0734_.Q _0538_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0735_.Q _0538_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0736_.Q _0538_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0737_.Q _0537_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0738_.Q _0537_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0739_.Q _0537_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0740_.Q _0537_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0741_.Q _0540_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0742_.Q _0540_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0743_.Q _0540_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0744_.Q _0540_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0745_.Q _0541_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0746_.Q _0541_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0747_.Q _0541_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0748_.Q _0536_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0749_.Q _0536_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0750_.Q _0535_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0751_.Q _0535_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0752_.Q output6.I (0.000:0.000:0.000))
(INTERCONNECT _0753_.Q _0592_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0753_.Q _0595_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0753_.Q _0596_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0753_.Q _0597_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0754_.Q _0592_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0754_.Q _0596_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0754_.Q _0597_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0755_.Q _0592_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0755_.Q _0599_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0755_.Q _0601_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0756_.Q _0592_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0756_.Q _0601_.B (0.000:0.000:0.000))
(INTERCONNECT _0757_.Q _0593_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0757_.Q _0603_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0757_.Q _0604_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0758_.Q _0534_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0758_.Q _0593_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0758_.Q _0606_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0759_.Q _0531_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0760_.Q _0531_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0761_.Q _0531_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0762_.Q _0531_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0763_.Q _0530_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0764_.Q _0530_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0765_.Q _0530_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0766_.Q _0530_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0767_.Q _0527_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0768_.Q _0527_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0769_.Q _0527_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0770_.Q _0527_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0771_.Q _0528_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0772_.Q _0528_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0773_.Q _0528_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0774_.Q _0528_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0775_.Q _0525_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0776_.Q _0525_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0777_.Q _0525_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0778_.Q _0525_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0779_.Q _0526_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0780_.Q _0526_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0781_.Q output5.I (0.000:0.000:0.000))
(INTERCONNECT _0782_.Q _0608_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0782_.Q _0611_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0782_.Q _0612_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0782_.Q _0613_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0783_.Q _0608_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0783_.Q _0612_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0783_.Q _0613_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0784_.Q _0608_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0784_.Q _0615_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0785_.Q _0609_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0785_.Q _0617_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0786_.Q _0524_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0786_.Q _0610_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0786_.Q _0619_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0787_.Q _0520_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0788_.Q _0520_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0789_.Q _0519_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0790_.Q _0519_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0791_.Q _0519_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0792_.Q _0519_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0793_.Q _0517_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0794_.Q _0517_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0795_.Q _0517_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0796_.Q _0517_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0797_.Q _0518_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0798_.Q _0518_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0799_.Q _0518_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0800_.Q _0518_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0801_.Q _0515_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0802_.Q _0515_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0803_.Q _0515_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0804_.Q _0515_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0805_.Q _0516_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0806_.Q _0516_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0807_.Q _0516_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0808_.Q _0516_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0809_.Q _0520_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0810_.Q output4.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0811_.Q _0621_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0811_.Q _0622_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0811_.Q _0623_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0812_.Q _0622_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0812_.Q _0623_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0813_.Q _0625_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0813_.Q _0626_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0814_.Q _0514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0814_.Q _0628_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0814_.Q _0629_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0815_.Q _0510_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0816_.Q _0510_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0817_.Q _0510_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0818_.Q _0510_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0819_.Q _0509_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0820_.Q _0509_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0821_.Q _0509_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0822_.Q _0509_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0823_.Q _0507_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0824_.Q _0507_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0825_.Q _0507_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0826_.Q _0507_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0827_.Q _0508_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0828_.Q _0508_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0829_.Q _0508_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0830_.Q _0508_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0831_.Q _0505_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0832_.Q _0505_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0833_.Q _0505_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0834_.Q _0505_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0835_.Q _0506_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0836_.Q _0506_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0837_.Q _0506_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0838_.Q _0506_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0839_.Q output3.I (0.000:0.000:0.000))
(INTERCONNECT _0840_.Q _0631_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0840_.Q _0632_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0840_.Q _0634_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0841_.Q _0632_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0841_.Q _0634_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0842_.Q _0504_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0842_.Q _0635_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0843_.Q _0495_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0844_.Q _0495_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0845_.Q _0496_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0846_.Q _0496_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0847_.Q _0496_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0848_.Q _0496_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0849_.Q _0497_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0850_.Q _0497_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0851_.Q _0497_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0852_.Q _0497_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0853_.Q _0501_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0854_.Q _0501_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0855_.Q _0501_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0856_.Q _0501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0857_.Q _0500_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0858_.Q _0500_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0859_.Q _0500_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0860_.Q _0500_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0861_.Q _0499_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0862_.Q _0499_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0863_.Q _0499_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0864_.Q _0499_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0865_.Q _0498_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0866_.Q _0498_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0867_.Q _0495_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0868_.Q output1.I (0.000:0.000:0.000))
(INTERCONNECT _0869_.Q _0488_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0869_.Q _0637_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0869_.Q _0638_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0870_.Q _0488_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0870_.Q _0494_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0870_.Q _0638_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0871_.Q _0485_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0872_.Q _0485_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0873_.Q _0485_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0874_.Q _0485_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0875_.Q _0486_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0876_.Q _0486_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0877_.Q _0486_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0878_.Q _0486_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0879_.Q _0487_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0880_.Q _0487_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0881_.Q _0487_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0882_.Q _0487_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0883_.Q _0491_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0884_.Q _0491_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0885_.Q _0491_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0886_.Q _0491_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0887_.Q _0490_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0888_.Q _0490_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0889_.Q _0490_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0890_.Q _0490_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0891_.Q _0489_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0892_.Q _0489_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0893_.Q _0489_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0894_.Q _0489_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0895_.Q _0488_.C (0.000:0.000:0.000))
(INTERCONNECT _0896_.Q _0488_.B (0.000:0.000:0.000))
(INTERCONNECT _0897_.Q output2.I (0.000:0.000:0.000))
(INTERCONNECT _0898_.Q _0478_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0899_.Q _0478_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0900_.Q _0480_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0901_.Q _0480_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0902_.Q _0480_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0903_.Q _0481_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0904_.Q _0481_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0905_.Q _0481_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0906_.Q _0481_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0907_.Q _0482_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0908_.Q _0482_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0909_.Q _0482_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0910_.Q _0482_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0911_.Q _0476_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0912_.Q _0476_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0913_.Q _0476_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0914_.Q _0476_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0915_.Q _0475_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0916_.Q _0475_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0917_.Q _0475_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0918_.Q _0475_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0919_.Q _0477_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0920_.Q _0477_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0921_.Q _0477_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0922_.Q _0477_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0923_.Q _0478_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0924_.Q _0478_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0925_.Q _0480_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0926_.Q output10.I (0.000:0.000:0.000))
(INTERCONNECT _0927_.Q _0642_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0927_.Q _0647_.I (0.000:0.000:0.000))
(INTERCONNECT _0928_.Q _0642_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0928_.Q _0649_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0928_.Q _0652_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0928_.Q _0653_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0929_.Q _0642_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0929_.Q _0652_.B (0.000:0.000:0.000))
(INTERCONNECT _0929_.Q _0653_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0930_.Q _0642_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0930_.Q _0655_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0931_.Q _0643_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0931_.Q _0659_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0931_.Q _0661_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0932_.Q _0643_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0932_.Q _0661_.B (0.000:0.000:0.000))
(INTERCONNECT _0933_.Q _0644_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0933_.Q _0664_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0933_.Q _0666_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0934_.Q _0644_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0934_.Q _0667_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0935_.Q _0641_.I (0.000:0.000:0.000))
(INTERCONNECT _0936_.Q _0563_.I (0.000:0.000:0.000))
(INTERCONNECT _0937_.Q _0566_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0938_.Q _0566_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0939_.Q _0566_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0940_.Q _0566_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0941_.Q _0567_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0942_.Q _0567_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0943_.Q _0567_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0944_.Q _0567_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0945_.Q _0568_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0946_.Q _0568_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0947_.Q _0568_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0948_.Q _0568_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0949_.Q _0564_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0950_.Q _0564_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0951_.Q _0564_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0952_.Q _0564_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0953_.Q _0565_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0954_.Q _0565_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0955_.Q output9.I (0.000:0.000:0.000))
(INTERCONNECT _0956_.Q _0672_.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0956_.Q _0673_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0957_.Q _0673_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0957_.Q _0679_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0957_.Q _0681_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0957_.Q _0683_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0958_.Q _0673_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0958_.Q _0681_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0958_.Q _0683_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0959_.Q _0673_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0959_.Q _0686_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0960_.Q _0674_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0960_.Q _0688_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0960_.Q _0690_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0961_.Q _0674_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0961_.Q _0690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0962_.Q _0675_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0962_.Q _0693_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0962_.Q _0696_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0963_.Q _0675_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0963_.Q _0695_.I (0.000:0.000:0.000))
(INTERCONNECT _0964_.Q _0553_.I (0.000:0.000:0.000))
(INTERCONNECT _0965_.Q _0557_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0966_.Q _0557_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0967_.Q _0558_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0968_.Q _0558_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0969_.Q _0558_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0970_.Q _0558_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0971_.Q _0559_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0972_.Q _0559_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0973_.Q _0559_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0974_.Q _0559_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0975_.Q _0554_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0976_.Q _0554_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0977_.Q _0554_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0978_.Q _0554_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0979_.Q _0555_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0980_.Q _0555_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0981_.Q _0555_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0982_.Q _0555_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0983_.Q _0557_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0984_.Q output8.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0984_.Q ANTENNA_output8_I.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0985_.Q _0700_.I (0.000:0.000:0.000))
(INTERCONNECT _0985_.Q _0702_.A4 (0.000:0.000:0.000))
(INTERCONNECT _0986_.Q _0702_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0986_.Q _0707_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0986_.Q _0709_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0986_.Q _0710_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0987_.Q _0702_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0987_.Q _0709_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0987_.Q _0710_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0988_.Q _0702_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0988_.Q _0713_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0989_.Q _0703_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0989_.Q _0715_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0989_.Q _0717_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0989_.Q _0718_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0990_.Q _0703_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0990_.Q _0717_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0990_.Q _0718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0991_.Q _0703_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0991_.Q _0721_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0992_.Q _0552_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0992_.Q _0701_.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0993_.Q _0547_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0994_.Q _0547_.A3 (0.000:0.000:0.000))
(INTERCONNECT _0995_.Q _0547_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0996_.Q _0547_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0997_.Q _0548_.A1 (0.000:0.000:0.000))
(INTERCONNECT _0998_.Q _0548_.A2 (0.000:0.000:0.000))
(INTERCONNECT _0999_.Q _0548_.A3 (0.000:0.000:0.000))
(INTERCONNECT _1000_.Q _0548_.A4 (0.000:0.000:0.000))
(INTERCONNECT _1001_.Q _0549_.A4 (0.000:0.000:0.000))
(INTERCONNECT _1002_.Q _0549_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1003_.Q _0549_.A1 (0.000:0.000:0.000))
(INTERCONNECT _1004_.Q _0549_.A2 (0.000:0.000:0.000))
(INTERCONNECT _1005_.Q _0544_.A1 (0.000:0.000:0.000))
(INTERCONNECT _1006_.Q _0544_.A2 (0.000:0.000:0.000))
(INTERCONNECT _1007_.Q _0544_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1008_.Q _0544_.A3 (0.000:0.000:0.000))
(INTERCONNECT _1009_.Q _0545_.A3 (0.000:0.000:0.000))
(INTERCONNECT _1010_.Q _0545_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1011_.Q _0545_.A2 (0.000:0.000:0.000))
(INTERCONNECT _1012_.Q _0545_.A1 (0.000:0.000:0.000))
(INTERCONNECT _1013_.Q output7.I (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0573_.A1 (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0574_.A1 (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0578_.A1 (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0724_.A1 (0.000:0.000:0.000))
(INTERCONNECT _1011__12.ZN _1011_.D (0.000:0.000:0.000))
(INTERCONNECT _1010__13.ZN _1010_.D (0.000:0.000:0.000))
(INTERCONNECT _1009__14.ZN _1009_.D (0.000:0.000:0.000))
(INTERCONNECT _1008__15.ZN _1008_.D (0.000:0.000:0.000))
(INTERCONNECT _1007__16.ZN _1007_.D (0.000:0.000:0.000))
(INTERCONNECT _1006__17.ZN _1006_.D (0.000:0.000:0.000))
(INTERCONNECT _1005__18.ZN _1005_.D (0.000:0.000:0.000))
(INTERCONNECT _1004__19.ZN _1004_.D (0.000:0.000:0.000))
(INTERCONNECT _1003__20.ZN _1003_.D (0.000:0.000:0.000))
(INTERCONNECT _1002__21.ZN _1002_.D (0.000:0.000:0.000))
(INTERCONNECT _1001__22.ZN _1001_.D (0.000:0.000:0.000))
(INTERCONNECT _1000__23.ZN _1000_.D (0.000:0.000:0.000))
(INTERCONNECT _0999__24.ZN _0999_.D (0.000:0.000:0.000))
(INTERCONNECT _0998__25.ZN _0998_.D (0.000:0.000:0.000))
(INTERCONNECT _0997__26.ZN _0997_.D (0.000:0.000:0.000))
(INTERCONNECT _0996__27.ZN _0996_.D (0.000:0.000:0.000))
(INTERCONNECT _0995__28.ZN _0995_.D (0.000:0.000:0.000))
(INTERCONNECT _0994__29.ZN _0994_.D (0.000:0.000:0.000))
(INTERCONNECT _0993__30.ZN _0993_.D (0.000:0.000:0.000))
(INTERCONNECT _0983__31.ZN _0983_.D (0.000:0.000:0.000))
(INTERCONNECT _0982__32.ZN _0982_.D (0.000:0.000:0.000))
(INTERCONNECT _0981__33.ZN _0981_.D (0.000:0.000:0.000))
(INTERCONNECT _0980__34.ZN _0980_.D (0.000:0.000:0.000))
(INTERCONNECT _0979__35.ZN _0979_.D (0.000:0.000:0.000))
(INTERCONNECT _0978__36.ZN _0978_.D (0.000:0.000:0.000))
(INTERCONNECT _0977__37.ZN _0977_.D (0.000:0.000:0.000))
(INTERCONNECT _0976__38.ZN _0976_.D (0.000:0.000:0.000))
(INTERCONNECT _0975__39.ZN _0975_.D (0.000:0.000:0.000))
(INTERCONNECT _0974__40.ZN _0974_.D (0.000:0.000:0.000))
(INTERCONNECT _0973__41.ZN _0973_.D (0.000:0.000:0.000))
(INTERCONNECT _0972__42.ZN _0972_.D (0.000:0.000:0.000))
(INTERCONNECT _0971__43.ZN _0971_.D (0.000:0.000:0.000))
(INTERCONNECT _0970__44.ZN _0970_.D (0.000:0.000:0.000))
(INTERCONNECT _0969__45.ZN _0969_.D (0.000:0.000:0.000))
(INTERCONNECT _0968__46.ZN _0968_.D (0.000:0.000:0.000))
(INTERCONNECT _0967__47.ZN _0967_.D (0.000:0.000:0.000))
(INTERCONNECT _0966__48.ZN _0966_.D (0.000:0.000:0.000))
(INTERCONNECT _0965__49.ZN _0965_.D (0.000:0.000:0.000))
(INTERCONNECT _0954__50.ZN _0954_.D (0.000:0.000:0.000))
(INTERCONNECT _0953__51.ZN _0953_.D (0.000:0.000:0.000))
(INTERCONNECT _0952__52.ZN _0952_.D (0.000:0.000:0.000))
(INTERCONNECT _0951__53.ZN _0951_.D (0.000:0.000:0.000))
(INTERCONNECT _0950__54.ZN _0950_.D (0.000:0.000:0.000))
(INTERCONNECT _0949__55.ZN _0949_.D (0.000:0.000:0.000))
(INTERCONNECT _0948__56.ZN _0948_.D (0.000:0.000:0.000))
(INTERCONNECT _0947__57.ZN _0947_.D (0.000:0.000:0.000))
(INTERCONNECT _0946__58.ZN _0946_.D (0.000:0.000:0.000))
(INTERCONNECT _0945__59.ZN _0945_.D (0.000:0.000:0.000))
(INTERCONNECT _0944__60.ZN _0944_.D (0.000:0.000:0.000))
(INTERCONNECT _0943__61.ZN _0943_.D (0.000:0.000:0.000))
(INTERCONNECT _0942__62.ZN _0942_.D (0.000:0.000:0.000))
(INTERCONNECT _0941__63.ZN _0941_.D (0.000:0.000:0.000))
(INTERCONNECT _0940__64.ZN _0940_.D (0.000:0.000:0.000))
(INTERCONNECT _0939__65.ZN _0939_.D (0.000:0.000:0.000))
(INTERCONNECT _0938__66.ZN _0938_.D (0.000:0.000:0.000))
(INTERCONNECT _0937__67.ZN _0937_.D (0.000:0.000:0.000))
(INTERCONNECT _0925__68.ZN _0925_.D (0.000:0.000:0.000))
(INTERCONNECT _0924__69.ZN _0924_.D (0.000:0.000:0.000))
(INTERCONNECT _0923__70.ZN _0923_.D (0.000:0.000:0.000))
(INTERCONNECT _0922__71.ZN _0922_.D (0.000:0.000:0.000))
(INTERCONNECT _0921__72.ZN _0921_.D (0.000:0.000:0.000))
(INTERCONNECT _0920__73.ZN _0920_.D (0.000:0.000:0.000))
(INTERCONNECT _0919__74.ZN _0919_.D (0.000:0.000:0.000))
(INTERCONNECT _0918__75.ZN _0918_.D (0.000:0.000:0.000))
(INTERCONNECT _0917__76.ZN _0917_.D (0.000:0.000:0.000))
(INTERCONNECT _0916__77.ZN _0916_.D (0.000:0.000:0.000))
(INTERCONNECT _0915__78.ZN _0915_.D (0.000:0.000:0.000))
(INTERCONNECT _0914__79.ZN _0914_.D (0.000:0.000:0.000))
(INTERCONNECT _0913__80.ZN _0913_.D (0.000:0.000:0.000))
(INTERCONNECT _0912__81.ZN _0912_.D (0.000:0.000:0.000))
(INTERCONNECT _0911__82.ZN _0911_.D (0.000:0.000:0.000))
(INTERCONNECT _0910__83.ZN _0910_.D (0.000:0.000:0.000))
(INTERCONNECT _0909__84.ZN _0909_.D (0.000:0.000:0.000))
(INTERCONNECT _0908__85.ZN _0908_.D (0.000:0.000:0.000))
(INTERCONNECT _0907__86.ZN _0907_.D (0.000:0.000:0.000))
(INTERCONNECT _0906__87.ZN _0906_.D (0.000:0.000:0.000))
(INTERCONNECT _0905__88.ZN _0905_.D (0.000:0.000:0.000))
(INTERCONNECT _0904__89.ZN _0904_.D (0.000:0.000:0.000))
(INTERCONNECT _0903__90.ZN _0903_.D (0.000:0.000:0.000))
(INTERCONNECT _0902__91.ZN _0902_.D (0.000:0.000:0.000))
(INTERCONNECT _0901__92.ZN _0901_.D (0.000:0.000:0.000))
(INTERCONNECT _0900__93.ZN _0900_.D (0.000:0.000:0.000))
(INTERCONNECT _0899__94.ZN _0899_.D (0.000:0.000:0.000))
(INTERCONNECT _0896__95.ZN _0896_.D (0.000:0.000:0.000))
(INTERCONNECT _0895__96.ZN _0895_.D (0.000:0.000:0.000))
(INTERCONNECT _0894__97.ZN _0894_.D (0.000:0.000:0.000))
(INTERCONNECT _0893__98.ZN _0893_.D (0.000:0.000:0.000))
(INTERCONNECT _0892__99.ZN _0892_.D (0.000:0.000:0.000))
(INTERCONNECT _0891__100.ZN _0891_.D (0.000:0.000:0.000))
(INTERCONNECT _0890__101.ZN _0890_.D (0.000:0.000:0.000))
(INTERCONNECT _0889__102.ZN _0889_.D (0.000:0.000:0.000))
(INTERCONNECT _0888__103.ZN _0888_.D (0.000:0.000:0.000))
(INTERCONNECT _0887__104.ZN _0887_.D (0.000:0.000:0.000))
(INTERCONNECT _0886__105.ZN _0886_.D (0.000:0.000:0.000))
(INTERCONNECT _0885__106.ZN _0885_.D (0.000:0.000:0.000))
(INTERCONNECT _0884__107.ZN _0884_.D (0.000:0.000:0.000))
(INTERCONNECT _0883__108.ZN _0883_.D (0.000:0.000:0.000))
(INTERCONNECT _0882__109.ZN _0882_.D (0.000:0.000:0.000))
(INTERCONNECT _0881__110.ZN _0881_.D (0.000:0.000:0.000))
(INTERCONNECT _0880__111.ZN _0880_.D (0.000:0.000:0.000))
(INTERCONNECT _0879__112.ZN _0879_.D (0.000:0.000:0.000))
(INTERCONNECT _0878__113.ZN _0878_.D (0.000:0.000:0.000))
(INTERCONNECT _0877__114.ZN _0877_.D (0.000:0.000:0.000))
(INTERCONNECT _0876__115.ZN _0876_.D (0.000:0.000:0.000))
(INTERCONNECT _0875__116.ZN _0875_.D (0.000:0.000:0.000))
(INTERCONNECT _0874__117.ZN _0874_.D (0.000:0.000:0.000))
(INTERCONNECT _0873__118.ZN _0873_.D (0.000:0.000:0.000))
(INTERCONNECT _0872__119.ZN _0872_.D (0.000:0.000:0.000))
(INTERCONNECT _0871__120.ZN _0871_.D (0.000:0.000:0.000))
(INTERCONNECT _0867__121.ZN _0867_.D (0.000:0.000:0.000))
(INTERCONNECT _0866__122.ZN _0866_.D (0.000:0.000:0.000))
(INTERCONNECT _0865__123.ZN _0865_.D (0.000:0.000:0.000))
(INTERCONNECT _0864__124.ZN _0864_.D (0.000:0.000:0.000))
(INTERCONNECT _0863__125.ZN _0863_.D (0.000:0.000:0.000))
(INTERCONNECT _0862__126.ZN _0862_.D (0.000:0.000:0.000))
(INTERCONNECT _0861__127.ZN _0861_.D (0.000:0.000:0.000))
(INTERCONNECT _0860__128.ZN _0860_.D (0.000:0.000:0.000))
(INTERCONNECT _0859__129.ZN _0859_.D (0.000:0.000:0.000))
(INTERCONNECT _0858__130.ZN _0858_.D (0.000:0.000:0.000))
(INTERCONNECT _0857__131.ZN _0857_.D (0.000:0.000:0.000))
(INTERCONNECT _0856__132.ZN _0856_.D (0.000:0.000:0.000))
(INTERCONNECT _0855__133.ZN _0855_.D (0.000:0.000:0.000))
(INTERCONNECT _0854__134.ZN _0854_.D (0.000:0.000:0.000))
(INTERCONNECT _0853__135.ZN _0853_.D (0.000:0.000:0.000))
(INTERCONNECT _0852__136.ZN _0852_.D (0.000:0.000:0.000))
(INTERCONNECT _0851__137.ZN _0851_.D (0.000:0.000:0.000))
(INTERCONNECT _0850__138.ZN _0850_.D (0.000:0.000:0.000))
(INTERCONNECT _0849__139.ZN _0849_.D (0.000:0.000:0.000))
(INTERCONNECT _0848__140.ZN _0848_.D (0.000:0.000:0.000))
(INTERCONNECT _0847__141.ZN _0847_.D (0.000:0.000:0.000))
(INTERCONNECT _0846__142.ZN _0846_.D (0.000:0.000:0.000))
(INTERCONNECT _0845__143.ZN _0845_.D (0.000:0.000:0.000))
(INTERCONNECT _0844__144.ZN _0844_.D (0.000:0.000:0.000))
(INTERCONNECT _0843__145.ZN _0843_.D (0.000:0.000:0.000))
(INTERCONNECT _0838__146.ZN _0838_.D (0.000:0.000:0.000))
(INTERCONNECT _0837__147.ZN _0837_.D (0.000:0.000:0.000))
(INTERCONNECT _0836__148.ZN _0836_.D (0.000:0.000:0.000))
(INTERCONNECT _0835__149.ZN _0835_.D (0.000:0.000:0.000))
(INTERCONNECT _0834__150.ZN _0834_.D (0.000:0.000:0.000))
(INTERCONNECT _0833__151.ZN _0833_.D (0.000:0.000:0.000))
(INTERCONNECT _0832__152.ZN _0832_.D (0.000:0.000:0.000))
(INTERCONNECT _0831__153.ZN _0831_.D (0.000:0.000:0.000))
(INTERCONNECT _0830__154.ZN _0830_.D (0.000:0.000:0.000))
(INTERCONNECT _0829__155.ZN _0829_.D (0.000:0.000:0.000))
(INTERCONNECT _0828__156.ZN _0828_.D (0.000:0.000:0.000))
(INTERCONNECT _0827__157.ZN _0827_.D (0.000:0.000:0.000))
(INTERCONNECT _0826__158.ZN _0826_.D (0.000:0.000:0.000))
(INTERCONNECT _0825__159.ZN _0825_.D (0.000:0.000:0.000))
(INTERCONNECT _0824__160.ZN _0824_.D (0.000:0.000:0.000))
(INTERCONNECT _0823__161.ZN _0823_.D (0.000:0.000:0.000))
(INTERCONNECT _0822__162.ZN _0822_.D (0.000:0.000:0.000))
(INTERCONNECT _0821__163.ZN _0821_.D (0.000:0.000:0.000))
(INTERCONNECT _0820__164.ZN _0820_.D (0.000:0.000:0.000))
(INTERCONNECT _0819__165.ZN _0819_.D (0.000:0.000:0.000))
(INTERCONNECT _0818__166.ZN _0818_.D (0.000:0.000:0.000))
(INTERCONNECT _0817__167.ZN _0817_.D (0.000:0.000:0.000))
(INTERCONNECT _0816__168.ZN _0816_.D (0.000:0.000:0.000))
(INTERCONNECT _0815__169.ZN _0815_.D (0.000:0.000:0.000))
(INTERCONNECT _0809__170.ZN _0809_.D (0.000:0.000:0.000))
(INTERCONNECT _0808__171.ZN _0808_.D (0.000:0.000:0.000))
(INTERCONNECT _0807__172.ZN _0807_.D (0.000:0.000:0.000))
(INTERCONNECT _0806__173.ZN _0806_.D (0.000:0.000:0.000))
(INTERCONNECT _0805__174.ZN _0805_.D (0.000:0.000:0.000))
(INTERCONNECT _0804__175.ZN _0804_.D (0.000:0.000:0.000))
(INTERCONNECT _0803__176.ZN _0803_.D (0.000:0.000:0.000))
(INTERCONNECT _0802__177.ZN _0802_.D (0.000:0.000:0.000))
(INTERCONNECT _0801__178.ZN _0801_.D (0.000:0.000:0.000))
(INTERCONNECT _0800__179.ZN _0800_.D (0.000:0.000:0.000))
(INTERCONNECT _0799__180.ZN _0799_.D (0.000:0.000:0.000))
(INTERCONNECT _0798__181.ZN _0798_.D (0.000:0.000:0.000))
(INTERCONNECT _0797__182.ZN _0797_.D (0.000:0.000:0.000))
(INTERCONNECT _0796__183.ZN _0796_.D (0.000:0.000:0.000))
(INTERCONNECT _0795__184.ZN _0795_.D (0.000:0.000:0.000))
(INTERCONNECT _0794__185.ZN _0794_.D (0.000:0.000:0.000))
(INTERCONNECT _0793__186.ZN _0793_.D (0.000:0.000:0.000))
(INTERCONNECT _0792__187.ZN _0792_.D (0.000:0.000:0.000))
(INTERCONNECT _0791__188.ZN _0791_.D (0.000:0.000:0.000))
(INTERCONNECT _0790__189.ZN _0790_.D (0.000:0.000:0.000))
(INTERCONNECT _0789__190.ZN _0789_.D (0.000:0.000:0.000))
(INTERCONNECT _0788__191.ZN _0788_.D (0.000:0.000:0.000))
(INTERCONNECT _0787__192.ZN _0787_.D (0.000:0.000:0.000))
(INTERCONNECT _0780__193.ZN _0780_.D (0.000:0.000:0.000))
(INTERCONNECT _0779__194.ZN _0779_.D (0.000:0.000:0.000))
(INTERCONNECT _0778__195.ZN _0778_.D (0.000:0.000:0.000))
(INTERCONNECT _0777__196.ZN _0777_.D (0.000:0.000:0.000))
(INTERCONNECT _0776__197.ZN _0776_.D (0.000:0.000:0.000))
(INTERCONNECT _0775__198.ZN _0775_.D (0.000:0.000:0.000))
(INTERCONNECT _0774__199.ZN _0774_.D (0.000:0.000:0.000))
(INTERCONNECT _0773__200.ZN _0773_.D (0.000:0.000:0.000))
(INTERCONNECT _0772__201.ZN _0772_.D (0.000:0.000:0.000))
(INTERCONNECT _0771__202.ZN _0771_.D (0.000:0.000:0.000))
(INTERCONNECT _0770__203.ZN _0770_.D (0.000:0.000:0.000))
(INTERCONNECT _0769__204.ZN _0769_.D (0.000:0.000:0.000))
(INTERCONNECT _0768__205.ZN _0768_.D (0.000:0.000:0.000))
(INTERCONNECT _0767__206.ZN _0767_.D (0.000:0.000:0.000))
(INTERCONNECT _0766__207.ZN _0766_.D (0.000:0.000:0.000))
(INTERCONNECT _0765__208.ZN _0765_.D (0.000:0.000:0.000))
(INTERCONNECT _0764__209.ZN _0764_.D (0.000:0.000:0.000))
(INTERCONNECT _0763__210.ZN _0763_.D (0.000:0.000:0.000))
(INTERCONNECT _0762__211.ZN _0762_.D (0.000:0.000:0.000))
(INTERCONNECT _0761__212.ZN _0761_.D (0.000:0.000:0.000))
(INTERCONNECT _0760__213.ZN _0760_.D (0.000:0.000:0.000))
(INTERCONNECT _0759__214.ZN _0759_.D (0.000:0.000:0.000))
(INTERCONNECT _0751__215.ZN _0751_.D (0.000:0.000:0.000))
(INTERCONNECT _0750__216.ZN _0750_.D (0.000:0.000:0.000))
(INTERCONNECT _0749__217.ZN _0749_.D (0.000:0.000:0.000))
(INTERCONNECT _0748__218.ZN _0748_.D (0.000:0.000:0.000))
(INTERCONNECT _0747__219.ZN _0747_.D (0.000:0.000:0.000))
(INTERCONNECT _0746__220.ZN _0746_.D (0.000:0.000:0.000))
(INTERCONNECT _0745__221.ZN _0745_.D (0.000:0.000:0.000))
(INTERCONNECT _0744__222.ZN _0744_.D (0.000:0.000:0.000))
(INTERCONNECT _0743__223.ZN _0743_.D (0.000:0.000:0.000))
(INTERCONNECT _0742__224.ZN _0742_.D (0.000:0.000:0.000))
(INTERCONNECT _0741__225.ZN _0741_.D (0.000:0.000:0.000))
(INTERCONNECT _0740__226.ZN _0740_.D (0.000:0.000:0.000))
(INTERCONNECT _0739__227.ZN _0739_.D (0.000:0.000:0.000))
(INTERCONNECT _0738__228.ZN _0738_.D (0.000:0.000:0.000))
(INTERCONNECT _0737__229.ZN _0737_.D (0.000:0.000:0.000))
(INTERCONNECT _0736__230.ZN _0736_.D (0.000:0.000:0.000))
(INTERCONNECT _0735__231.ZN _0735_.D (0.000:0.000:0.000))
(INTERCONNECT _0734__232.ZN _0734_.D (0.000:0.000:0.000))
(INTERCONNECT _0733__233.ZN _0733_.D (0.000:0.000:0.000))
(INTERCONNECT _0732__234.ZN _0732_.D (0.000:0.000:0.000))
(INTERCONNECT _0731__235.ZN _0731_.D (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_clk.Z _0928_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_clk.Z _0929_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_clk.Z _0936_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output1.Z cout1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output2.Z cout10 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output3.Z cout2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output4.Z cout3 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output5.Z cout4 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output6.Z cout5 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output7.Z cout6 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output8.Z cout7 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output9.Z cout8 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output10.Z cout9 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1012__11.ZN _1012_.D (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_clk.Z _0937_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z _0935_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z _0939_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z _0938_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z _0943_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z _0954_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z _0953_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z ANTENNA__0953__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z ANTENNA__0954__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z ANTENNA__0943__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_clk.Z ANTENNA__0938__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_clk.Z ANTENNA__0939__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z ANTENNA__0935__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_clk.Z ANTENNA__0937__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_clk.Z _0952_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z _0951_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z _0781_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z _0949_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z _0941_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z _0950_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z _0813_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z ANTENNA__0813__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z ANTENNA__0950__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z ANTENNA__0941__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_clk.Z ANTENNA__0949__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_clk.Z ANTENNA__0781__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z ANTENNA__0951__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.Z ANTENNA__0952__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0814_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0944_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0832_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0834_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0945_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0833_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0942_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z _0947_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0947__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0942__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0833__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0945__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0834__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0832__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0944__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.Z ANTENNA__0814__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0946_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0948_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0827_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0761_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0760_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0829_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0830_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z _0828_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0828__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0830__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0829__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0760__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0761__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0827__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0948__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.Z ANTENNA__0946__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z _0821_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z _0819_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z _0861_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z _0822_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z _0859_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z _0857_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z _0817_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z ANTENNA__0817__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z ANTENNA__0857__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_5_clk.Z ANTENNA__0859__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z ANTENNA__0822__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z ANTENNA__0861__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z ANTENNA__0819__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.Z ANTENNA__0821__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_clk.Z _0815_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z _0820_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z _0825_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z _0826_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z _0823_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z _0824_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_clk.Z _1004_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z _1002_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__1002__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__1004__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__0824__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__0823__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__0826__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__0825__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__0820__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.Z ANTENNA__0815__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z _1001_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z _0737_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z _0738_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z _0743_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z _0837_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z _0838_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z _0835_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z ANTENNA__0835__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z ANTENNA__0838__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z ANTENNA__0837__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z ANTENNA__0743__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z ANTENNA__0738__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z ANTENNA__0737__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.Z ANTENNA__1001__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z _0831_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z _0811_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z _0836_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z _0812_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z _0744_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z ANTENNA__0744__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.Z ANTENNA__0812__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z ANTENNA__0836__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.Z ANTENNA__0811__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_8_clk.Z ANTENNA__0831__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.Z _0741_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.Z _0747_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.Z _0742_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.Z _0746_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.Z _0740_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.Z ANTENNA__0740__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.Z ANTENNA__0746__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.Z ANTENNA__0742__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.Z ANTENNA__0747__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.Z ANTENNA__0741__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.Z _0739_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.Z _0736_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.Z _0735_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_clk.Z _0748_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_clk.Z _0745_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_clk.Z ANTENNA__0745__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_clk.Z ANTENNA__0748__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_clk.Z ANTENNA__0735__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_clk.Z ANTENNA__0736__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_clk.Z ANTENNA__0739__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.Z _0751_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.Z _0732_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.Z _0750_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.Z _0749_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.Z _0728_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.Z _0731_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.Z ANTENNA__0731__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.Z ANTENNA__0728__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.Z ANTENNA__0749__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.Z ANTENNA__0750__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.Z ANTENNA__0732__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.Z ANTENNA__0751__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_clk.Z _0984_.CLK (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_clk.Z _0729_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_clk.Z _0730_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.Z _0727_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.Z _1014_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.Z _1007_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.Z _1010_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.Z _0734_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.Z ANTENNA__0734__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.Z ANTENNA__1010__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.Z ANTENNA__1007__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.Z ANTENNA__1014__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.Z ANTENNA__0727__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_clk.Z _0733_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z _1011_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z _1012_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_clk.Z _1009_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z _0726_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z _1008_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z _0725_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z _1006_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z _1005_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__1005__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__1006__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__0725__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__1008__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__0726__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__1009__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__1012__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__1011__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_clk.Z ANTENNA__0733__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_clk.Z _0992_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z _0955_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z _0986_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z _0991_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z _0985_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z _0988_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z ANTENNA__0988__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z ANTENNA__0985__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_clk.Z ANTENNA__0991__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z ANTENNA__0986__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_clk.Z ANTENNA__0955__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_clk.Z ANTENNA__0992__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.Z _0793_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_clk.Z _0999_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_clk.Z _0989_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_clk.Z _0990_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.Z _0996_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.Z _0994_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.Z _0993_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.Z _0995_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.Z _1003_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_clk.Z ANTENNA__1003__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_clk.Z ANTENNA__0995__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_clk.Z ANTENNA__0993__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_clk.Z ANTENNA__0994__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.Z ANTENNA__0996__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_clk.Z ANTENNA__0990__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.Z _0998_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.Z _1000_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.Z _0997_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.Z _0797_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_clk.Z _0799_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_clk.Z _0816_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.Z ANTENNA__0816__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_clk.Z ANTENNA__0799__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_clk.Z ANTENNA__0797__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_clk.Z ANTENNA__0997__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_clk.Z ANTENNA__1000__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_clk.Z ANTENNA__0998__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_19_clk.Z _0818_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z _0860_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z _0858_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z _0790_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z _0791_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z _0789_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_19_clk.Z _0800_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z ANTENNA__0800__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_19_clk.Z ANTENNA__0789__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_19_clk.Z ANTENNA__0791__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z ANTENNA__0790__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_19_clk.Z ANTENNA__0858__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z ANTENNA__0860__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.Z ANTENNA__0818__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.Z _0798_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.Z _0809_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.Z _0787_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.Z _0788_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.Z _0801_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.Z ANTENNA__0801__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.Z ANTENNA__0788__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.Z ANTENNA__0787__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.Z ANTENNA__0809__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.Z ANTENNA__0798__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.Z _0802_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_21_clk.Z _0883_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_21_clk.Z _0885_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_21_clk.Z _0884_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.Z _0795_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_clk.Z _0796_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_clk.Z _0794_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.Z _0888_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.Z _0987_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_clk.Z ANTENNA__0987__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_clk.Z ANTENNA__0888__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.Z ANTENNA__0794__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.Z ANTENNA__0796__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.Z ANTENNA__0795__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.Z _0891_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z _0894_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z _0892_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z _0893_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z _0890_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.Z _0895_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z _0896_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z ANTENNA__0896__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z ANTENNA__0895__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z ANTENNA__0890__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z ANTENNA__0893__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z ANTENNA__0892__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z ANTENNA__0894__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_clk.Z ANTENNA__0891__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_clk.Z _0889_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.Z _0887_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.Z _0886_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.Z _0880_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_clk.Z _0879_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.Z ANTENNA__0879__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_clk.Z ANTENNA__0880__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_clk.Z ANTENNA__0886__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_clk.Z ANTENNA__0887__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.Z ANTENNA__0889__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0871_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0869_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0882_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0839_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0870_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0873_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0872_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0874_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0752_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z _0877_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0877__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0752__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0874__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0872__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0873__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0870__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0839__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0882__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0869__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_clk.Z ANTENNA__0871__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.Z _0878_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.Z _0782_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.Z _0875_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.Z _0881_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.Z _0876_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.Z ANTENNA__0876__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.Z ANTENNA__0881__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.Z ANTENNA__0875__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.Z ANTENNA__0782__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.Z ANTENNA__0878__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_27_clk.Z _0804_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_27_clk.Z _0786_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_27_clk.Z _0784_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_29_clk.Z _0982_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_29_clk.Z _0981_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_29_clk.Z _0980_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0785_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0979_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0808_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0803_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0805_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0792_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0806_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0807_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0977_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z _0975_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0975__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0977__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0807__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0806__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0792__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0805__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0803__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0808__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0979__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_clk.Z ANTENNA__0785__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z _0976_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z _0969_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z _0970_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z _0967_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z ANTENNA__0967__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z ANTENNA__0970__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z ANTENNA__0969__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_31_clk.Z ANTENNA__0976__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_clk.Z _0856_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_clk.Z _0968_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_clk.Z _0854_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_clk.Z _0971_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_clk.Z _0972_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_32_clk.Z _0973_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0974_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0956_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0983_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0965_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0966_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0958_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0847_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0909_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_clk.Z _0957_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0957__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0909__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0847__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0958__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0966__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0965__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0983__CLK.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0956__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_33_clk.Z ANTENNA__0974__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z _0978_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z _0964_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z _0963_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z _0926_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z ANTENNA__0926__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z ANTENNA__0963__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z ANTENNA__0964__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_34_clk.Z ANTENNA__0978__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z _0962_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z _0961_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z _0917_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z _0918_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z ANTENNA__0918__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z ANTENNA__0917__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z ANTENNA__0961__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_35_clk.Z ANTENNA__0962__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0913_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0960_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0959_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0915_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0916_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0910_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0907_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0911_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0912_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z _0902_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0902__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0912__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0911__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0907__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0910__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0916__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0915__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0959__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0960__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_36_clk.Z ANTENNA__0913__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z _0898_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z _0914_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z _0924_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z _0922_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z ANTENNA__0922__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z ANTENNA__0924__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z ANTENNA__0914__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_37_clk.Z ANTENNA__0898__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_38_clk.Z _0868_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z _0923_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z _0919_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z _0921_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z _0920_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z _0906_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z _0899_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z ANTENNA__0899__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_38_clk.Z ANTENNA__0906__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z ANTENNA__0920__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z ANTENNA__0921__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z ANTENNA__0919__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_38_clk.Z ANTENNA__0923__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_38_clk.Z ANTENNA__0868__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_39_clk.Z _0901_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_39_clk.Z _0925_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_39_clk.Z _0900_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z _0841_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_clk.Z _0903_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z _0904_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z _0905_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z _0810_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z _0842_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_clk.Z ANTENNA__0842__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z ANTENNA__0810__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z ANTENNA__0905__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z ANTENNA__0904__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z ANTENNA__0903__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_clk.Z ANTENNA__0841__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z _0754_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_clk.Z _0772_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z _0773_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z _0849_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_clk.Z _0852_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z ANTENNA__0852__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z ANTENNA__0849__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z ANTENNA__0773__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z ANTENNA__0772__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_clk.Z ANTENNA__0754__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z _0843_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z _0867_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z _0840_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z _0774_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z _0844_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_42_clk.Z _0908_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_42_clk.Z ANTENNA__0908__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_42_clk.Z ANTENNA__0844__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_42_clk.Z ANTENNA__0774__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z ANTENNA__0840__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z ANTENNA__0867__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_clk.Z ANTENNA__0843__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z _0845_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z _0846_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z _0851_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z _0865_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z _0853_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z _0848_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z _0855_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z ANTENNA__0855__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z ANTENNA__0848__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z ANTENNA__0853__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z ANTENNA__0865__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z ANTENNA__0851__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z ANTENNA__0846__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_clk.Z ANTENNA__0845__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_44_clk.Z _0863_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_clk.Z _0864_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_clk.Z _0866_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_44_clk.Z _0862_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z _0850_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z _0769_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z _0766_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z _0762_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_45_clk.Z _0759_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_45_clk.Z _0765_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_45_clk.Z ANTENNA__0765__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_45_clk.Z ANTENNA__0759__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z ANTENNA__0762__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z ANTENNA__0766__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z ANTENNA__0769__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_45_clk.Z ANTENNA__0850__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_clk.Z _0763_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_46_clk.Z _0764_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_46_clk.Z _0768_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_clk.Z _0780_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_clk.Z ANTENNA__0780__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_clk.Z ANTENNA__0768__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_clk.Z ANTENNA__0764__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_46_clk.Z ANTENNA__0763__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_47_clk.Z _0767_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_47_clk.Z _0770_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_47_clk.Z _0771_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_47_clk.Z _0755_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_48_clk.Z _0753_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_48_clk.Z _0756_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z _1013_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z _0758_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z _0778_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z _0757_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z _0779_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z ANTENNA__0779__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_48_clk.Z ANTENNA__0757__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_48_clk.Z ANTENNA__0778__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z ANTENNA__0758__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z ANTENNA__1013__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z ANTENNA__0756__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_48_clk.Z ANTENNA__0753__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z _0777_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z _0775_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_49_clk.Z _0940_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_49_clk.Z _0776_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z _0934_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z _0933_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z _0932_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z ANTENNA__0932__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z ANTENNA__0933__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z ANTENNA__0934__CLK.I (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_49_clk.Z ANTENNA__0776__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_49_clk.Z ANTENNA__0940__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_49_clk.Z ANTENNA__0775__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_49_clk.Z ANTENNA__0777__CLK.I (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_50_clk.Z _0931_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_50_clk.Z _0930_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_50_clk.Z _0897_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_50_clk.Z _0927_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_0_clk.Z clkbuf_2_0__f_clk.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_0_clk.Z clkbuf_2_1__f_clk.I (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_0_clk.Z clkbuf_2_2__f_clk.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_0_clk.Z clkbuf_2_3__f_clk.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_0_clk.Z ANTENNA_clkbuf_2_3__f_clk_I.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_0_clk.Z ANTENNA_clkbuf_2_2__f_clk_I.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_0_clk.Z ANTENNA_clkbuf_2_1__f_clk_I.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_0_clk.Z ANTENNA_clkbuf_2_0__f_clk_I.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_0_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_1_clk.I (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_2_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_3_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_4_clk.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_5_clk.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_44_clk.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_45_clk.I (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_46_clk.I (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_47_clk.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_48_clk.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_49_clk.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_0__f_clk.Z clkbuf_leaf_50_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_50_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_49_clk_I.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_48_clk_I.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_47_clk_I.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_46_clk_I.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_45_clk_I.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_44_clk_I.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_5_clk_I.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_4_clk_I.I (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_3_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_2_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_1_clk_I.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_0__f_clk.Z ANTENNA_clkbuf_leaf_0_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_6_clk.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_7_clk.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_8_clk.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_9_clk.I (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_10_clk.I (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_11_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_12_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_13_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_14_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_15_clk.I (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_16_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_17_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z clkbuf_leaf_18_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_18_clk_I.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_17_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_16_clk_I.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_15_clk_I.I (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_14_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_13_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_12_clk_I.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_11_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_10_clk_I.I (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_9_clk_I.I (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_8_clk_I.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_7_clk_I.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_1__f_clk.Z ANTENNA_clkbuf_leaf_6_clk_I.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_32_clk.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_33_clk.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_34_clk.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_35_clk.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_36_clk.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_37_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_38_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_39_clk.I (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_40_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_41_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_42_clk.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2__f_clk.Z clkbuf_leaf_43_clk.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_43_clk_I.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_42_clk_I.I (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_41_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_40_clk_I.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_39_clk_I.I (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_38_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_37_clk_I.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_36_clk_I.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_35_clk_I.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_34_clk_I.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_33_clk_I.I (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_2_2__f_clk.Z ANTENNA_clkbuf_leaf_32_clk_I.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_19_clk.I (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_20_clk.I (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_21_clk.I (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_22_clk.I (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_23_clk.I (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_24_clk.I (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_25_clk.I (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_26_clk.I (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_27_clk.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_3__f_clk.Z _0783_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_29_clk.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_30_clk.I (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_2_3__f_clk.Z clkbuf_leaf_31_clk.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_31_clk_I.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_30_clk_I.I (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_29_clk_I.I (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA__0783__CLK.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_27_clk_I.I (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_26_clk_I.I (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_25_clk_I.I (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_24_clk_I.I (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_23_clk_I.I (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_22_clk_I.I (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_21_clk_I.I (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_20_clk_I.I (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_3__f_clk.Z ANTENNA_clkbuf_leaf_19_clk_I.I (0.003:0.003:0.003) (0.003:0.003:0.003))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0475_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.399:0.399:0.399) (0.200:0.200:0.200))
(IOPATH A2 ZN (0.483:0.483:0.483) (0.232:0.232:0.232))
(IOPATH A3 ZN (0.540:0.540:0.540) (0.254:0.254:0.254))
(IOPATH A4 ZN (0.565:0.565:0.565) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0476_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.280:0.280:0.280) (0.156:0.156:0.156))
(IOPATH A2 ZN (0.363:0.363:0.363) (0.191:0.191:0.191))
(IOPATH A3 ZN (0.419:0.419:0.419) (0.210:0.210:0.210))
(IOPATH A4 ZN (0.444:0.444:0.444) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0477_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.376:0.376:0.376) (0.193:0.193:0.193))
(IOPATH A2 ZN (0.460:0.460:0.460) (0.227:0.227:0.227))
(IOPATH A3 ZN (0.518:0.518:0.518) (0.254:0.254:0.254))
(IOPATH A4 ZN (0.540:0.540:0.540) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0478_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.298:0.298:0.298) (0.164:0.164:0.164))
(IOPATH A2 ZN (0.381:0.381:0.381) (0.198:0.198:0.198))
(IOPATH A3 ZN (0.438:0.438:0.438) (0.219:0.219:0.219))
(IOPATH A4 ZN (0.463:0.463:0.463) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_1")
(INSTANCE _0479_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.303:0.321:0.339) (0.357:0.357:0.357))
(IOPATH A2 ZN (0.309:0.326:0.343) (0.325:0.326:0.327))
(IOPATH A3 ZN (0.351:0.369:0.387) (0.318:0.318:0.318))
(IOPATH A4 ZN (0.358:0.375:0.392) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0480_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.297:0.297:0.297) (0.162:0.162:0.162))
(IOPATH A2 ZN (0.381:0.381:0.381) (0.197:0.197:0.197))
(IOPATH A3 ZN (0.437:0.437:0.437) (0.219:0.219:0.219))
(IOPATH A4 ZN (0.460:0.460:0.460) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0481_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.305:0.305:0.305) (0.165:0.165:0.165))
(IOPATH A2 ZN (0.389:0.389:0.389) (0.201:0.201:0.201))
(IOPATH A3 ZN (0.445:0.445:0.445) (0.218:0.218:0.218))
(IOPATH A4 ZN (0.469:0.469:0.469) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0482_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.356:0.356:0.356) (0.187:0.187:0.187))
(IOPATH A2 ZN (0.438:0.438:0.438) (0.218:0.218:0.218))
(IOPATH A3 ZN (0.494:0.494:0.494) (0.237:0.237:0.237))
(IOPATH A4 ZN (0.519:0.519:0.519) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0483_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.271:0.287:0.304) (0.317:0.317:0.318))
(IOPATH A2 ZN (0.294:0.312:0.329) (0.297:0.297:0.298))
(IOPATH A3 ZN (0.322:0.341:0.359) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0484_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.293:0.301:0.310) (0.149:0.152:0.155))
(IOPATH A2 ZN (0.269:0.270:0.271) (0.175:0.178:0.182))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_2")
(INSTANCE _0485_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.342:0.342:0.342) (0.192:0.192:0.192))
(IOPATH A2 ZN (0.420:0.420:0.420) (0.228:0.228:0.228))
(IOPATH A3 ZN (0.512:0.512:0.512) (0.253:0.253:0.253))
(IOPATH A4 ZN (0.536:0.536:0.536) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_2")
(INSTANCE _0486_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.382:0.382:0.382) (0.205:0.205:0.205))
(IOPATH A2 ZN (0.461:0.461:0.461) (0.239:0.239:0.239))
(IOPATH A3 ZN (0.555:0.555:0.555) (0.268:0.268:0.268))
(IOPATH A4 ZN (0.580:0.580:0.580) (0.275:0.275:0.275))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_2")
(INSTANCE _0487_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.313:0.313:0.313) (0.181:0.181:0.181))
(IOPATH A2 ZN (0.388:0.388:0.388) (0.210:0.210:0.210))
(IOPATH A3 ZN (0.482:0.482:0.482) (0.238:0.238:0.238))
(IOPATH A4 ZN (0.507:0.507:0.507) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi211_1")
(INSTANCE _0488_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.318:0.318:0.318) (0.182:0.182:0.182))
(IOPATH A2 ZN (0.354:0.354:0.354) (0.165:0.165:0.165))
(IOPATH B ZN (0.411:0.411:0.411) (0.209:0.209:0.209))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.411:0.411:0.411) (0.198:0.198:0.198)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.364:0.364:0.364) (0.188:0.188:0.188)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.320:0.320:0.320) (0.209:0.209:0.209)))
(IOPATH C ZN (0.435:0.435:0.435) (0.226:0.226:0.226))
(COND A1===1'b1 && A2===1'b0
(IOPATH C ZN (0.435:0.435:0.435) (0.210:0.210:0.210)))
(COND A1===1'b0 && A2===1'b1
(IOPATH C ZN (0.388:0.388:0.388) (0.199:0.199:0.199)))
(COND A1===1'b0 && A2===1'b0
(IOPATH C ZN (0.343:0.343:0.343) (0.226:0.226:0.226)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0489_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.299:0.299:0.299) (0.164:0.164:0.164))
(IOPATH A2 ZN (0.381:0.381:0.381) (0.195:0.195:0.195))
(IOPATH A3 ZN (0.437:0.437:0.437) (0.215:0.215:0.215))
(IOPATH A4 ZN (0.462:0.462:0.462) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0490_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.289:0.289:0.289) (0.163:0.163:0.163))
(IOPATH A2 ZN (0.368:0.368:0.368) (0.192:0.192:0.192))
(IOPATH A3 ZN (0.424:0.424:0.424) (0.211:0.211:0.211))
(IOPATH A4 ZN (0.448:0.448:0.448) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0491_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.313:0.313:0.313) (0.170:0.170:0.170))
(IOPATH A2 ZN (0.396:0.396:0.396) (0.204:0.204:0.204))
(IOPATH A3 ZN (0.450:0.450:0.450) (0.219:0.219:0.219))
(IOPATH A4 ZN (0.476:0.476:0.476) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0492_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.591:0.599:0.608) (0.377:0.389:0.400))
(IOPATH A2 Z (0.602:0.603:0.604) (0.392:0.409:0.425))
(IOPATH A3 Z (0.587:0.588:0.588) (0.411:0.427:0.443))
(IOPATH A4 Z (0.575:0.575:0.575) (0.430:0.448:0.465))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_2")
(INSTANCE _0493_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.259:0.277:0.295) (0.299:0.300:0.300))
(IOPATH A2 ZN (0.292:0.312:0.331) (0.295:0.295:0.295))
(IOPATH A3 ZN (0.306:0.324:0.341) (0.274:0.274:0.275))
(IOPATH A4 ZN (0.322:0.325:0.328) (0.269:0.269:0.269))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0494_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.201:0.201:0.201) (0.124:0.124:0.124))
(IOPATH A2 ZN (0.223:0.231:0.238) (0.149:0.154:0.158))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0495_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.345:0.345:0.345) (0.176:0.176:0.176))
(IOPATH A2 ZN (0.397:0.397:0.397) (0.202:0.202:0.202))
(IOPATH A3 ZN (0.420:0.420:0.420) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_2")
(INSTANCE _0496_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.301:0.301:0.301) (0.175:0.175:0.175))
(IOPATH A2 ZN (0.377:0.377:0.377) (0.207:0.207:0.207))
(IOPATH A3 ZN (0.472:0.472:0.472) (0.238:0.238:0.238))
(IOPATH A4 ZN (0.497:0.497:0.497) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_2")
(INSTANCE _0497_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.303:0.303:0.303) (0.176:0.176:0.176))
(IOPATH A2 ZN (0.381:0.381:0.381) (0.212:0.212:0.212))
(IOPATH A3 ZN (0.473:0.473:0.473) (0.236:0.236:0.236))
(IOPATH A4 ZN (0.497:0.497:0.497) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0498_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.194:0.194:0.194) (0.122:0.122:0.122))
(IOPATH A2 ZN (0.214:0.214:0.214) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0499_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.247:0.247:0.247) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.326:0.326:0.326) (0.172:0.172:0.172))
(IOPATH A3 ZN (0.383:0.383:0.383) (0.193:0.193:0.193))
(IOPATH A4 ZN (0.408:0.408:0.408) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0500_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.314:0.314:0.314) (0.170:0.170:0.170))
(IOPATH A2 ZN (0.396:0.396:0.396) (0.201:0.201:0.201))
(IOPATH A3 ZN (0.453:0.453:0.453) (0.224:0.224:0.224))
(IOPATH A4 ZN (0.477:0.477:0.477) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0501_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.262:0.262:0.262) (0.152:0.152:0.152))
(IOPATH A2 ZN (0.340:0.340:0.340) (0.180:0.180:0.180))
(IOPATH A3 ZN (0.396:0.396:0.396) (0.199:0.199:0.199))
(IOPATH A4 ZN (0.420:0.420:0.420) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0502_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.620:0.620:0.621) (0.400:0.404:0.408))
(IOPATH A2 Z (0.656:0.657:0.659) (0.429:0.445:0.460))
(IOPATH A3 Z (0.657:0.657:0.657) (0.461:0.478:0.495))
(IOPATH A4 Z (0.640:0.640:0.640) (0.470:0.486:0.501))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_2")
(INSTANCE _0503_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.363:0.373:0.383) (0.415:0.415:0.415))
(IOPATH A2 ZN (0.387:0.404:0.422) (0.405:0.406:0.408))
(IOPATH A3 ZN (0.412:0.429:0.446) (0.392:0.392:0.393))
(IOPATH A4 ZN (0.447:0.449:0.452) (0.383:0.383:0.382))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0504_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.173:0.173:0.173) (0.111:0.111:0.111))
(IOPATH A2 ZN (0.250:0.253:0.256) (0.156:0.160:0.164))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0505_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.325:0.325:0.325) (0.179:0.179:0.179))
(IOPATH A2 ZN (0.401:0.401:0.401) (0.202:0.202:0.202))
(IOPATH A3 ZN (0.458:0.458:0.458) (0.223:0.223:0.223))
(IOPATH A4 ZN (0.483:0.483:0.483) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0506_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.337:0.337:0.337) (0.180:0.180:0.180))
(IOPATH A2 ZN (0.418:0.418:0.418) (0.209:0.209:0.209))
(IOPATH A3 ZN (0.474:0.474:0.474) (0.229:0.229:0.229))
(IOPATH A4 ZN (0.500:0.500:0.500) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0507_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.270:0.270:0.270) (0.153:0.153:0.153))
(IOPATH A2 ZN (0.353:0.353:0.353) (0.187:0.187:0.187))
(IOPATH A3 ZN (0.408:0.408:0.408) (0.203:0.203:0.203))
(IOPATH A4 ZN (0.433:0.433:0.433) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0508_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.285:0.285:0.285) (0.159:0.159:0.159))
(IOPATH A2 ZN (0.365:0.365:0.365) (0.187:0.187:0.187))
(IOPATH A3 ZN (0.422:0.422:0.422) (0.208:0.208:0.208))
(IOPATH A4 ZN (0.448:0.448:0.448) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0509_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.324:0.324:0.324) (0.174:0.174:0.174))
(IOPATH A2 ZN (0.407:0.407:0.407) (0.209:0.209:0.209))
(IOPATH A3 ZN (0.462:0.462:0.462) (0.225:0.225:0.225))
(IOPATH A4 ZN (0.487:0.487:0.487) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0510_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.382:0.382:0.382) (0.195:0.195:0.195))
(IOPATH A2 ZN (0.466:0.466:0.466) (0.228:0.228:0.228))
(IOPATH A3 ZN (0.522:0.522:0.522) (0.250:0.250:0.250))
(IOPATH A4 ZN (0.547:0.547:0.547) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0511_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.552:0.554:0.555) (0.330:0.346:0.362))
(IOPATH A2 Z (0.550:0.551:0.552) (0.354:0.371:0.388))
(IOPATH A3 Z (0.542:0.542:0.542) (0.380:0.397:0.415))
(IOPATH A4 Z (0.528:0.528:0.528) (0.405:0.424:0.442))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0512_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.292:0.308:0.324) (0.339:0.339:0.340))
(IOPATH A2 ZN (0.316:0.333:0.350) (0.317:0.318:0.318))
(IOPATH A3 ZN (0.318:0.321:0.324) (0.279:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0513_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.425:0.430:0.435) (0.444:0.451:0.457))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0514_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.211:0.211:0.211) (0.137:0.137:0.137))
(IOPATH A2 ZN (0.256:0.256:0.256) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0515_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.284:0.284:0.284) (0.158:0.158:0.158))
(IOPATH A2 ZN (0.367:0.367:0.367) (0.193:0.193:0.193))
(IOPATH A3 ZN (0.422:0.422:0.422) (0.207:0.207:0.207))
(IOPATH A4 ZN (0.446:0.446:0.446) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0516_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.331:0.331:0.331) (0.179:0.179:0.179))
(IOPATH A2 ZN (0.411:0.411:0.411) (0.209:0.209:0.209))
(IOPATH A3 ZN (0.468:0.468:0.468) (0.229:0.229:0.229))
(IOPATH A4 ZN (0.491:0.491:0.491) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0517_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.321:0.321:0.321) (0.171:0.171:0.171))
(IOPATH A2 ZN (0.404:0.404:0.404) (0.205:0.205:0.205))
(IOPATH A3 ZN (0.460:0.460:0.460) (0.223:0.223:0.223))
(IOPATH A4 ZN (0.485:0.485:0.485) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0518_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.368:0.368:0.368) (0.191:0.191:0.191))
(IOPATH A2 ZN (0.450:0.450:0.450) (0.221:0.221:0.221))
(IOPATH A3 ZN (0.507:0.507:0.507) (0.244:0.244:0.244))
(IOPATH A4 ZN (0.531:0.531:0.531) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0519_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.334:0.334:0.334) (0.179:0.179:0.179))
(IOPATH A2 ZN (0.416:0.416:0.416) (0.208:0.208:0.208))
(IOPATH A3 ZN (0.472:0.472:0.472) (0.228:0.228:0.228))
(IOPATH A4 ZN (0.497:0.497:0.497) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0520_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.217:0.217:0.217) (0.124:0.124:0.124))
(IOPATH A2 ZN (0.267:0.267:0.267) (0.148:0.148:0.148))
(IOPATH A3 ZN (0.290:0.290:0.290) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0521_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.573:0.573:0.574) (0.342:0.359:0.377))
(IOPATH A2 Z (0.572:0.573:0.573) (0.372:0.390:0.407))
(IOPATH A3 Z (0.548:0.549:0.549) (0.386:0.404:0.421))
(IOPATH A4 Z (0.529:0.529:0.529) (0.380:0.388:0.397))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0522_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.325:0.341:0.357) (0.373:0.374:0.375))
(IOPATH A2 ZN (0.355:0.372:0.389) (0.359:0.359:0.360))
(IOPATH A3 ZN (0.361:0.363:0.366) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0523_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.405:0.410:0.415) (0.428:0.433:0.437))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0524_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.311:0.311:0.311) (0.200:0.200:0.200))
(IOPATH A2 ZN (0.340:0.340:0.340) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__or4_1")
(INSTANCE _0525_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.308:0.308:0.308) (0.718:0.718:0.718))
(IOPATH A2 Z (0.326:0.326:0.326) (0.810:0.810:0.810))
(IOPATH A3 Z (0.335:0.335:0.335) (0.871:0.871:0.871))
(IOPATH A4 Z (0.338:0.338:0.338) (0.899:0.899:0.899))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_2")
(INSTANCE _0526_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.308:0.308:0.308) (0.167:0.167:0.167))
(IOPATH A2 ZN (0.357:0.357:0.357) (0.195:0.195:0.195))
(IOPATH A3 ZN (0.399:0.400:0.400) (0.212:0.212:0.213))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0527_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.235:0.235:0.235) (0.140:0.140:0.140))
(IOPATH A2 ZN (0.316:0.316:0.316) (0.175:0.175:0.175))
(IOPATH A3 ZN (0.370:0.370:0.370) (0.187:0.187:0.187))
(IOPATH A4 ZN (0.396:0.396:0.396) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0528_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.338:0.338:0.338) (0.179:0.179:0.179))
(IOPATH A2 ZN (0.420:0.420:0.420) (0.211:0.211:0.211))
(IOPATH A3 ZN (0.476:0.476:0.476) (0.232:0.232:0.232))
(IOPATH A4 ZN (0.500:0.500:0.500) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0529_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.436:0.438:0.439) (0.329:0.345:0.361))
(IOPATH A2 Z (0.424:0.424:0.425) (0.367:0.385:0.404))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0530_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.260:0.260:0.260) (0.148:0.148:0.148))
(IOPATH A2 ZN (0.342:0.342:0.342) (0.180:0.180:0.180))
(IOPATH A3 ZN (0.398:0.398:0.398) (0.198:0.198:0.198))
(IOPATH A4 ZN (0.424:0.424:0.424) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0531_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.323:0.323:0.323) (0.172:0.172:0.172))
(IOPATH A2 ZN (0.407:0.407:0.407) (0.207:0.207:0.207))
(IOPATH A3 ZN (0.463:0.463:0.463) (0.224:0.224:0.224))
(IOPATH A4 ZN (0.487:0.487:0.487) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0532_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.463:0.464:0.465) (0.348:0.365:0.382))
(IOPATH A2 Z (0.444:0.444:0.444) (0.378:0.397:0.416))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0533_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.311:0.321:0.331) (0.345:0.345:0.346))
(IOPATH A2 ZN (0.337:0.338:0.339) (0.309:0.309:0.310))
(IOPATH A3 ZN (0.361:0.362:0.363) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0534_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.202:0.202:0.202) (0.128:0.128:0.128))
(IOPATH A2 ZN (0.242:0.245:0.249) (0.161:0.164:0.166))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__or4_1")
(INSTANCE _0535_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.277:0.277:0.277) (0.681:0.681:0.681))
(IOPATH A2 Z (0.300:0.300:0.300) (0.777:0.777:0.777))
(IOPATH A3 Z (0.313:0.313:0.313) (0.839:0.839:0.839))
(IOPATH A4 Z (0.312:0.312:0.312) (0.867:0.867:0.867))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0536_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.366:0.366:0.366) (0.186:0.186:0.186))
(IOPATH A2 ZN (0.417:0.417:0.417) (0.210:0.210:0.210))
(IOPATH A3 ZN (0.458:0.458:0.458) (0.230:0.231:0.232))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0537_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.334:0.334:0.334) (0.179:0.179:0.179))
(IOPATH A2 ZN (0.416:0.416:0.416) (0.211:0.211:0.211))
(IOPATH A3 ZN (0.471:0.471:0.471) (0.226:0.226:0.226))
(IOPATH A4 ZN (0.496:0.496:0.496) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0538_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.266:0.266:0.266) (0.151:0.151:0.151))
(IOPATH A2 ZN (0.347:0.347:0.347) (0.182:0.182:0.182))
(IOPATH A3 ZN (0.405:0.405:0.405) (0.206:0.206:0.206))
(IOPATH A4 ZN (0.430:0.430:0.430) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0539_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.431:0.432:0.432) (0.328:0.345:0.363))
(IOPATH A2 Z (0.391:0.391:0.392) (0.334:0.352:0.371))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__or4_1")
(INSTANCE _0540_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.293:0.293:0.293) (0.702:0.702:0.702))
(IOPATH A2 Z (0.313:0.313:0.313) (0.798:0.798:0.798))
(IOPATH A3 Z (0.324:0.324:0.324) (0.860:0.860:0.860))
(IOPATH A4 Z (0.330:0.330:0.330) (0.888:0.888:0.888))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_2")
(INSTANCE _0541_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.336:0.336:0.336) (0.188:0.188:0.188))
(IOPATH A2 ZN (0.412:0.412:0.412) (0.220:0.220:0.220))
(IOPATH A3 ZN (0.507:0.507:0.507) (0.248:0.248:0.248))
(IOPATH A4 ZN (0.542:0.542:0.543) (0.254:0.255:0.256))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0542_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.405:0.415:0.425) (0.452:0.452:0.453))
(IOPATH A2 ZN (0.416:0.416:0.417) (0.390:0.390:0.390))
(IOPATH A3 ZN (0.444:0.463:0.481) (0.397:0.397:0.397))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0543_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.205:0.205:0.205) (0.133:0.133:0.133))
(IOPATH A2 ZN (0.279:0.283:0.287) (0.180:0.182:0.184))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0544_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.243:0.243:0.243) (0.141:0.141:0.141))
(IOPATH A2 ZN (0.325:0.325:0.325) (0.175:0.175:0.175))
(IOPATH A3 ZN (0.380:0.380:0.380) (0.191:0.191:0.191))
(IOPATH A4 ZN (0.406:0.406:0.406) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0545_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.256:0.256:0.256) (0.147:0.147:0.147))
(IOPATH A2 ZN (0.339:0.339:0.339) (0.181:0.181:0.181))
(IOPATH A3 ZN (0.394:0.394:0.394) (0.196:0.196:0.196))
(IOPATH A4 ZN (0.420:0.420:0.420) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0546_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.444:0.445:0.447) (0.334:0.351:0.367))
(IOPATH A2 Z (0.421:0.421:0.422) (0.355:0.373:0.391))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0547_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.239:0.239:0.239) (0.142:0.142:0.142))
(IOPATH A2 ZN (0.318:0.318:0.318) (0.169:0.169:0.169))
(IOPATH A3 ZN (0.374:0.374:0.374) (0.187:0.187:0.187))
(IOPATH A4 ZN (0.400:0.400:0.400) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0548_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.319:0.319:0.319) (0.170:0.170:0.170))
(IOPATH A2 ZN (0.403:0.403:0.403) (0.204:0.204:0.204))
(IOPATH A3 ZN (0.460:0.460:0.460) (0.226:0.226:0.226))
(IOPATH A4 ZN (0.484:0.484:0.484) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0549_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.340:0.340:0.340) (0.180:0.180:0.180))
(IOPATH A2 ZN (0.423:0.423:0.423) (0.211:0.211:0.211))
(IOPATH A3 ZN (0.480:0.480:0.480) (0.235:0.235:0.235))
(IOPATH A4 ZN (0.504:0.504:0.504) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0550_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.574:0.576:0.578) (0.415:0.431:0.446))
(IOPATH A2 Z (0.576:0.576:0.576) (0.451:0.469:0.487))
(IOPATH A3 Z (0.550:0.550:0.550) (0.476:0.494:0.513))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand2_1")
(INSTANCE _0551_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.220:0.221:0.221) (0.199:0.199:0.199))
(IOPATH A2 ZN (0.253:0.255:0.257) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0552_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.166:0.166:0.166) (0.107:0.107:0.107))
(IOPATH A2 ZN (0.195:0.196:0.196) (0.136:0.139:0.142))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkinv_1")
(INSTANCE _0553_)
(DELAY
(ABSOLUTE
(IOPATH I ZN (0.199:0.199:0.199) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0554_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.266:0.266:0.266) (0.151:0.151:0.151))
(IOPATH A2 ZN (0.349:0.349:0.349) (0.185:0.185:0.185))
(IOPATH A3 ZN (0.403:0.403:0.403) (0.199:0.199:0.199))
(IOPATH A4 ZN (0.428:0.428:0.428) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0555_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.235:0.235:0.235) (0.140:0.140:0.140))
(IOPATH A2 ZN (0.314:0.314:0.314) (0.168:0.168:0.168))
(IOPATH A3 ZN (0.372:0.372:0.372) (0.191:0.191:0.191))
(IOPATH A4 ZN (0.394:0.394:0.394) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0556_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.438:0.439:0.440) (0.330:0.347:0.364))
(IOPATH A2 Z (0.407:0.408:0.408) (0.344:0.361:0.377))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0557_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.268:0.268:0.268) (0.146:0.146:0.146))
(IOPATH A2 ZN (0.317:0.317:0.317) (0.168:0.168:0.168))
(IOPATH A3 ZN (0.341:0.341:0.341) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0558_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.288:0.288:0.288) (0.162:0.162:0.162))
(IOPATH A2 ZN (0.368:0.368:0.368) (0.190:0.190:0.190))
(IOPATH A3 ZN (0.424:0.424:0.424) (0.211:0.211:0.211))
(IOPATH A4 ZN (0.449:0.449:0.449) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0559_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.235:0.235:0.235) (0.139:0.139:0.139))
(IOPATH A2 ZN (0.317:0.317:0.317) (0.174:0.174:0.174))
(IOPATH A3 ZN (0.372:0.372:0.372) (0.192:0.192:0.192))
(IOPATH A4 ZN (0.397:0.397:0.397) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0560_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.611:0.612:0.612) (0.446:0.455:0.465))
(IOPATH A2 Z (0.610:0.611:0.611) (0.475:0.492:0.509))
(IOPATH A3 Z (0.584:0.584:0.584) (0.486:0.503:0.519))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0561_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.412:0.412:0.412) (0.352:0.352:0.352))
(IOPATH A2 Z (0.412:0.412:0.413) (0.352:0.353:0.353))
(IOPATH A3 Z (0.412:0.412:0.412) (0.400:0.401:0.403))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0562_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.205:0.205:0.205) (0.196:0.197:0.199))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkinv_1")
(INSTANCE _0563_)
(DELAY
(ABSOLUTE
(IOPATH I ZN (0.196:0.196:0.196) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__or4_1")
(INSTANCE _0564_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.294:0.294:0.294) (0.703:0.703:0.703))
(IOPATH A2 Z (0.314:0.314:0.314) (0.798:0.798:0.798))
(IOPATH A3 Z (0.330:0.330:0.330) (0.860:0.860:0.860))
(IOPATH A4 Z (0.328:0.328:0.328) (0.888:0.888:0.888))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_2")
(INSTANCE _0565_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.419:0.419:0.419) (0.216:0.216:0.216))
(IOPATH A2 ZN (0.470:0.470:0.470) (0.248:0.248:0.248))
(IOPATH A3 ZN (0.506:0.506:0.506) (0.255:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0566_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.279:0.279:0.279) (0.156:0.156:0.156))
(IOPATH A2 ZN (0.361:0.361:0.361) (0.185:0.185:0.185))
(IOPATH A3 ZN (0.419:0.419:0.419) (0.211:0.211:0.211))
(IOPATH A4 ZN (0.442:0.442:0.442) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0567_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.309:0.309:0.309) (0.167:0.167:0.167))
(IOPATH A2 ZN (0.393:0.393:0.393) (0.201:0.201:0.201))
(IOPATH A3 ZN (0.448:0.448:0.448) (0.219:0.219:0.219))
(IOPATH A4 ZN (0.473:0.473:0.473) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor4_1")
(INSTANCE _0568_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.357:0.357:0.357) (0.188:0.188:0.188))
(IOPATH A2 ZN (0.440:0.440:0.440) (0.221:0.221:0.221))
(IOPATH A3 ZN (0.495:0.495:0.495) (0.237:0.237:0.237))
(IOPATH A4 ZN (0.521:0.521:0.521) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0569_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.639:0.640:0.641) (0.457:0.474:0.491))
(IOPATH A2 Z (0.626:0.627:0.627) (0.486:0.504:0.522))
(IOPATH A3 Z (0.602:0.602:0.602) (0.516:0.535:0.553))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_2")
(INSTANCE _0570_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.272:0.272:0.272) (0.244:0.244:0.244))
(IOPATH A2 ZN (0.292:0.302:0.312) (0.275:0.275:0.275))
(IOPATH A3 ZN (0.325:0.327:0.328) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0571_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.497:0.502:0.506) (0.513:0.519:0.526))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkinv_1")
(INSTANCE _0572_)
(DELAY
(ABSOLUTE
(IOPATH I ZN (0.165:0.166:0.166) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0573_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.453:0.453:0.453) (0.377:0.377:0.377))
(IOPATH A2 Z (0.430:0.430:0.430) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0574_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.649:0.649:0.649) (0.439:0.439:0.439))
(IOPATH A2 Z (0.641:0.641:0.641) (0.440:0.440:0.440))
(IOPATH A3 Z (0.658:0.658:0.658) (0.484:0.484:0.484))
(IOPATH A4 Z (0.659:0.659:0.659) (0.489:0.489:0.489))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_1")
(INSTANCE _0575_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.216:0.216:0.216) (0.209:0.209:0.209))
(IOPATH A2 ZN (0.252:0.252:0.252) (0.221:0.221:0.221))
(IOPATH A3 ZN (0.260:0.260:0.260) (0.221:0.221:0.221))
(IOPATH A4 ZN (0.301:0.303:0.306) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_1")
(INSTANCE _0576_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.271:0.282:0.293) (0.310:0.310:0.310))
(IOPATH A2 ZN (0.286:0.287:0.288) (0.270:0.270:0.270))
(IOPATH A3 ZN (0.321:0.339:0.358) (0.287:0.287:0.287))
(IOPATH A4 ZN (0.363:0.366:0.368) (0.282:0.281:0.279))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0577_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.424:0.432:0.440) (0.448:0.454:0.460))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0578_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.200:0.200:0.200) (0.129:0.129:0.129))
(IOPATH A2 ZN (0.200:0.200:0.200) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0579_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.250:0.251:0.251) (0.149:0.149:0.149))
(IOPATH A2 ZN (0.315:0.316:0.316) (0.186:0.186:0.186))
(IOPATH A3 ZN (0.293:0.293:0.294) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0580_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.403:0.403:0.403) (0.399:0.399:0.399))
(IOPATH A1 ZN (0.292:0.292:0.292) (0.164:0.164:0.164))
(IOPATH A2 ZN (0.423:0.423:0.423) (0.362:0.362:0.363))
(IOPATH A2 ZN (0.306:0.307:0.307) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0581_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.255:0.255:0.255) (0.134:0.134:0.134))
(IOPATH A2 ZN (0.205:0.211:0.216) (0.156:0.160:0.164))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0582_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.250:0.250:0.250) (0.160:0.160:0.160))
(IOPATH A2 ZN (0.286:0.286:0.287) (0.148:0.148:0.148))
(IOPATH B ZN (0.303:0.303:0.303) (0.207:0.207:0.207))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.303:0.303:0.303) (0.197:0.197:0.197)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.264:0.264:0.264) (0.186:0.186:0.186)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.222:0.222:0.222) (0.207:0.207:0.207)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0583_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.239:0.242:0.244) (0.139:0.139:0.139))
(IOPATH A2 ZN (0.293:0.299:0.306) (0.170:0.174:0.178))
(IOPATH A3 ZN (0.276:0.279:0.283) (0.175:0.182:0.189))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0584_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.462:0.462:0.462) (0.380:0.380:0.380))
(IOPATH A2 Z (0.463:0.463:0.463) (0.423:0.425:0.428))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0585_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.206:0.206:0.206) (0.133:0.133:0.133))
(IOPATH A2 ZN (0.232:0.233:0.234) (0.174:0.174:0.175))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0586_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.353:0.360:0.366) (0.158:0.159:0.160))
(IOPATH A2 ZN (0.290:0.290:0.290) (0.185:0.185:0.185))
(IOPATH A3 ZN (0.294:0.298:0.301) (0.185:0.186:0.187))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0587_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.322:0.322:0.322) (0.283:0.283:0.283))
(IOPATH A2 Z (0.305:0.305:0.305) (0.305:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0588_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.226:0.226:0.226) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.233:0.234:0.234) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0589_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.357:0.363:0.370) (0.161:0.161:0.162))
(IOPATH A2 ZN (0.271:0.272:0.272) (0.151:0.151:0.151))
(IOPATH A3 ZN (0.301:0.303:0.305) (0.188:0.188:0.189))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0590_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.233:0.233:0.233) (0.146:0.146:0.146))
(IOPATH A2 ZN (0.269:0.270:0.270) (0.134:0.134:0.134))
(IOPATH B ZN (0.290:0.290:0.290) (0.219:0.219:0.219))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.290:0.290:0.290) (0.208:0.208:0.208)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.251:0.251:0.251) (0.196:0.196:0.196)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.217:0.217:0.217) (0.219:0.219:0.219)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0591_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.249:0.249:0.249) (0.130:0.130:0.130))
(IOPATH A2 ZN (0.198:0.205:0.213) (0.145:0.151:0.157))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0592_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.625:0.625:0.625) (0.420:0.420:0.420))
(IOPATH A2 Z (0.645:0.645:0.645) (0.457:0.457:0.457))
(IOPATH A3 Z (0.645:0.645:0.645) (0.473:0.473:0.473))
(IOPATH A4 Z (0.648:0.648:0.648) (0.468:0.468:0.468))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0593_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.261:0.261:0.261) (0.254:0.254:0.254))
(IOPATH A2 ZN (0.268:0.268:0.268) (0.242:0.242:0.242))
(IOPATH A3 ZN (0.308:0.310:0.313) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_2")
(INSTANCE _0594_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.342:0.352:0.362) (0.384:0.384:0.384))
(IOPATH A2 ZN (0.373:0.374:0.375) (0.359:0.360:0.360))
(IOPATH A3 ZN (0.403:0.403:0.404) (0.366:0.366:0.366))
(IOPATH A4 ZN (0.454:0.456:0.458) (0.367:0.366:0.366))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0595_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.180:0.180:0.180) (0.111:0.111:0.111))
(IOPATH A2 ZN (0.236:0.238:0.240) (0.148:0.152:0.156))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0596_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.448:0.448:0.448) (0.371:0.371:0.371))
(IOPATH A2 Z (0.445:0.445:0.445) (0.405:0.405:0.405))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0597_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.194:0.194:0.194) (0.126:0.126:0.126))
(IOPATH A2 ZN (0.215:0.215:0.215) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0598_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.243:0.244:0.244) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.332:0.335:0.338) (0.185:0.190:0.195))
(IOPATH A3 ZN (0.282:0.285:0.288) (0.175:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0599_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.383:0.383:0.383) (0.380:0.380:0.380))
(IOPATH A1 ZN (0.256:0.256:0.256) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.406:0.406:0.406) (0.347:0.348:0.348))
(IOPATH A2 ZN (0.274:0.274:0.275) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0600_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.257:0.261:0.265) (0.112:0.113:0.113))
(IOPATH A2 ZN (0.173:0.179:0.185) (0.133:0.137:0.140))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0601_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.220:0.220:0.220) (0.142:0.142:0.142))
(IOPATH A2 ZN (0.261:0.262:0.262) (0.131:0.131:0.131))
(IOPATH B ZN (0.273:0.273:0.273) (0.189:0.189:0.189))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.273:0.273:0.273) (0.178:0.178:0.178)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.235:0.235:0.235) (0.168:0.168:0.168)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.199:0.199:0.199) (0.189:0.189:0.189)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0602_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.295:0.301:0.306) (0.142:0.144:0.145))
(IOPATH A2 ZN (0.273:0.274:0.276) (0.177:0.177:0.177))
(IOPATH A3 ZN (0.279:0.283:0.286) (0.171:0.179:0.188))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0603_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.354:0.354:0.354) (0.303:0.303:0.303))
(IOPATH A2 Z (0.355:0.355:0.355) (0.343:0.346:0.349))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0604_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.215:0.215:0.215) (0.138:0.138:0.138))
(IOPATH A2 ZN (0.239:0.241:0.242) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0605_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.335:0.341:0.347) (0.166:0.168:0.170))
(IOPATH A2 ZN (0.298:0.299:0.300) (0.173:0.173:0.173))
(IOPATH A3 ZN (0.320:0.324:0.327) (0.199:0.200:0.201))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0606_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.208:0.208:0.208) (0.132:0.132:0.132))
(IOPATH A2 ZN (0.200:0.200:0.201) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0607_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.285:0.289:0.293) (0.133:0.135:0.137))
(IOPATH A2 ZN (0.197:0.197:0.198) (0.145:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0608_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.504:0.504:0.504) (0.399:0.399:0.399))
(IOPATH A2 Z (0.512:0.512:0.512) (0.436:0.436:0.436))
(IOPATH A3 Z (0.501:0.501:0.501) (0.431:0.431:0.431))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0609_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.408:0.408:0.408) (0.339:0.339:0.339))
(IOPATH A2 Z (0.410:0.410:0.410) (0.379:0.381:0.382))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0610_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.377:0.377:0.377) (0.323:0.323:0.323))
(IOPATH A2 Z (0.358:0.358:0.358) (0.337:0.338:0.339))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0611_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.242:0.242:0.242) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.297:0.297:0.297) (0.178:0.178:0.178))
(IOPATH A3 ZN (0.285:0.286:0.286) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0612_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.355:0.355:0.355) (0.304:0.304:0.304))
(IOPATH A2 Z (0.351:0.351:0.351) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0613_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.200:0.200:0.200) (0.129:0.129:0.129))
(IOPATH A2 ZN (0.220:0.220:0.220) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0614_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.288:0.288:0.288) (0.151:0.151:0.151))
(IOPATH A2 ZN (0.275:0.276:0.276) (0.163:0.163:0.163))
(IOPATH A3 ZN (0.296:0.299:0.301) (0.183:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0615_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.198:0.198:0.198) (0.127:0.127:0.127))
(IOPATH A2 ZN (0.216:0.217:0.217) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0616_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.264:0.264:0.264) (0.137:0.137:0.137))
(IOPATH A2 ZN (0.261:0.262:0.263) (0.167:0.167:0.167))
(IOPATH A3 ZN (0.269:0.271:0.273) (0.173:0.173:0.174))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0617_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.197:0.197:0.197) (0.126:0.126:0.126))
(IOPATH A2 ZN (0.224:0.225:0.226) (0.165:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0618_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.312:0.320:0.328) (0.150:0.151:0.153))
(IOPATH A2 ZN (0.275:0.276:0.276) (0.175:0.175:0.175))
(IOPATH A3 ZN (0.286:0.289:0.292) (0.181:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0619_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.215:0.215:0.215) (0.139:0.139:0.139))
(IOPATH A2 ZN (0.219:0.219:0.220) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0620_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.299:0.307:0.315) (0.141:0.142:0.143))
(IOPATH A2 ZN (0.254:0.254:0.255) (0.155:0.155:0.155))
(IOPATH A3 ZN (0.275:0.277:0.278) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0621_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.169:0.169:0.169) (0.108:0.108:0.108))
(IOPATH A2 ZN (0.213:0.213:0.213) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0622_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.398:0.398:0.398) (0.335:0.335:0.335))
(IOPATH A2 Z (0.381:0.381:0.381) (0.345:0.345:0.345))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0623_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.199:0.199:0.199) (0.129:0.129:0.129))
(IOPATH A2 ZN (0.204:0.204:0.204) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0624_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.289:0.289:0.289) (0.146:0.146:0.146))
(IOPATH A2 ZN (0.268:0.269:0.269) (0.167:0.168:0.168))
(IOPATH A3 ZN (0.283:0.284:0.284) (0.176:0.176:0.177))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0625_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.393:0.393:0.393) (0.329:0.329:0.329))
(IOPATH A2 Z (0.396:0.396:0.396) (0.361:0.362:0.363))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0626_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.204:0.204:0.204) (0.130:0.130:0.130))
(IOPATH A2 ZN (0.229:0.230:0.230) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0627_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.294:0.294:0.294) (0.149:0.149:0.149))
(IOPATH A2 ZN (0.275:0.275:0.276) (0.173:0.173:0.173))
(IOPATH A3 ZN (0.287:0.290:0.292) (0.184:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0628_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.311:0.311:0.311) (0.270:0.270:0.270))
(IOPATH A2 Z (0.299:0.299:0.299) (0.290:0.290:0.291))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0629_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.195:0.195:0.195) (0.126:0.126:0.126))
(IOPATH A2 ZN (0.206:0.206:0.207) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0630_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.295:0.306:0.317) (0.149:0.150:0.152))
(IOPATH A2 ZN (0.264:0.264:0.264) (0.148:0.148:0.148))
(IOPATH A3 ZN (0.290:0.292:0.294) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0631_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.186:0.186:0.186) (0.114:0.114:0.114))
(IOPATH A2 ZN (0.246:0.249:0.252) (0.153:0.157:0.160))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0632_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.388:0.388:0.388) (0.378:0.378:0.378))
(IOPATH A1 ZN (0.261:0.261:0.261) (0.146:0.146:0.146))
(IOPATH A2 ZN (0.413:0.413:0.413) (0.353:0.353:0.353))
(IOPATH A2 ZN (0.287:0.287:0.287) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0633_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.263:0.267:0.271) (0.110:0.110:0.110))
(IOPATH A2 ZN (0.172:0.177:0.183) (0.133:0.136:0.140))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand2_1")
(INSTANCE _0634_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.196:0.196:0.196) (0.176:0.176:0.176))
(IOPATH A2 ZN (0.231:0.231:0.231) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xor2_1")
(INSTANCE _0635_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.399:0.399:0.399) (0.462:0.462:0.462))
(IOPATH A1 Z (0.209:0.209:0.209) (0.134:0.134:0.134))
(IOPATH A2 Z (0.347:0.349:0.352) (0.462:0.462:0.463))
(IOPATH A2 Z (0.253:0.254:0.254) (0.126:0.127:0.128))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0636_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.259:0.263:0.268) (0.107:0.107:0.108))
(IOPATH A2 ZN (0.165:0.171:0.177) (0.130:0.133:0.137))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0637_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.181:0.181:0.181) (0.110:0.110:0.110))
(IOPATH A2 ZN (0.206:0.213:0.220) (0.138:0.142:0.146))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0638_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.387:0.387:0.387) (0.392:0.392:0.392))
(IOPATH A1 ZN (0.267:0.267:0.267) (0.147:0.147:0.147))
(IOPATH A2 ZN (0.406:0.406:0.406) (0.348:0.348:0.348))
(IOPATH A2 ZN (0.274:0.274:0.274) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0639_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.213:0.224:0.234) (0.108:0.109:0.110))
(IOPATH A2 ZN (0.171:0.177:0.182) (0.132:0.135:0.139))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0640_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.240:0.248:0.256) (0.112:0.113:0.114))
(IOPATH A2 ZN (0.217:0.219:0.220) (0.142:0.144:0.147))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkinv_1")
(INSTANCE _0641_)
(DELAY
(ABSOLUTE
(IOPATH I ZN (0.252:0.252:0.252) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0642_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.785:0.785:0.785) (0.531:0.531:0.531))
(IOPATH A2 Z (0.766:0.766:0.766) (0.523:0.523:0.523))
(IOPATH A3 Z (0.778:0.778:0.778) (0.545:0.545:0.545))
(IOPATH A4 Z (0.783:0.783:0.783) (0.574:0.574:0.574))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0643_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.531:0.531:0.531) (0.421:0.421:0.421))
(IOPATH A2 Z (0.539:0.539:0.539) (0.463:0.463:0.463))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_2")
(INSTANCE _0644_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.299:0.299:0.299) (0.298:0.298:0.298))
(IOPATH A2 ZN (0.344:0.344:0.344) (0.316:0.316:0.316))
(IOPATH A3 ZN (0.395:0.397:0.399) (0.318:0.318:0.318))
(IOPATH A4 ZN (0.403:0.403:0.404) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__oai211_2")
(INSTANCE _0645_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.418:0.418:0.418) (0.260:0.260:0.260))
(IOPATH A2 ZN (0.430:0.433:0.436) (0.291:0.301:0.311))
(IOPATH B ZN (0.352:0.353:0.355) (0.321:0.321:0.321))
(COND A1===1'b1 && A2===1'b1
(IOPATH B ZN (0.352:0.353:0.355) (0.270:0.270:0.270)))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.336:0.337:0.339) (0.285:0.285:0.285)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.343:0.345:0.346) (0.321:0.321:0.321)))
(IOPATH C ZN (0.358:0.368:0.378) (0.308:0.308:0.308))
(COND A1===1'b1 && A2===1'b1
(IOPATH C ZN (0.358:0.368:0.378) (0.251:0.251:0.251)))
(COND A1===1'b1 && A2===1'b0
(IOPATH C ZN (0.340:0.350:0.360) (0.270:0.270:0.270)))
(COND A1===1'b0 && A2===1'b1
(IOPATH C ZN (0.348:0.358:0.369) (0.308:0.308:0.308)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0646_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.541:0.551:0.560) (0.551:0.558:0.565))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0647_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.408:0.408:0.408) (0.403:0.403:0.403))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0648_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.267:0.267:0.267) (0.114:0.114:0.114))
(IOPATH A2 ZN (0.327:0.327:0.327) (0.097:0.097:0.097))
(IOPATH B ZN (0.277:0.277:0.277) (0.206:0.206:0.206))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.277:0.277:0.277) (0.193:0.193:0.193)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.238:0.238:0.238) (0.178:0.178:0.178)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.218:0.218:0.218) (0.206:0.206:0.206)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0649_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.393:0.393:0.393) (0.399:0.399:0.399))
(IOPATH A1 ZN (0.279:0.279:0.279) (0.152:0.152:0.152))
(IOPATH A2 ZN (0.418:0.418:0.418) (0.399:0.399:0.399))
(IOPATH A2 ZN (0.314:0.314:0.314) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0650_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.276:0.277:0.277) (0.122:0.122:0.122))
(IOPATH A2 ZN (0.336:0.336:0.336) (0.104:0.104:0.104))
(IOPATH B ZN (0.247:0.254:0.260) (0.190:0.197:0.204))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.247:0.254:0.260) (0.179:0.186:0.192)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.209:0.215:0.222) (0.167:0.173:0.179)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.182:0.190:0.197) (0.190:0.197:0.204)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0651_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.445:0.455:0.464) (0.455:0.462:0.469))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0652_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.234:0.234:0.234) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.314:0.314:0.314) (0.130:0.130:0.130))
(IOPATH B ZN (0.281:0.281:0.281) (0.207:0.207:0.207))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.281:0.281:0.281) (0.196:0.196:0.196)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.243:0.243:0.243) (0.185:0.185:0.185)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.209:0.209:0.209) (0.207:0.207:0.207)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0653_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.483:0.483:0.483) (0.389:0.389:0.389))
(IOPATH A2 Z (0.477:0.477:0.477) (0.456:0.456:0.456))
(IOPATH A3 Z (0.458:0.458:0.458) (0.410:0.410:0.410))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi211_1")
(INSTANCE _0654_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.279:0.289:0.300) (0.145:0.146:0.147))
(IOPATH A2 ZN (0.349:0.349:0.349) (0.133:0.133:0.133))
(IOPATH B ZN (0.354:0.359:0.364) (0.204:0.214:0.223))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.354:0.359:0.364) (0.192:0.201:0.210)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.307:0.312:0.317) (0.181:0.190:0.198)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.276:0.282:0.288) (0.204:0.214:0.223)))
(IOPATH C ZN (0.373:0.374:0.375) (0.220:0.220:0.221))
(COND A1===1'b1 && A2===1'b0
(IOPATH C ZN (0.373:0.374:0.375) (0.203:0.203:0.204)))
(COND A1===1'b0 && A2===1'b1
(IOPATH C ZN (0.326:0.327:0.328) (0.192:0.192:0.192)))
(COND A1===1'b0 && A2===1'b0
(IOPATH C ZN (0.293:0.294:0.295) (0.220:0.220:0.221)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0655_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.182:0.182:0.182) (0.117:0.117:0.117))
(IOPATH A2 ZN (0.204:0.205:0.206) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0656_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.479:0.479:0.479) (0.467:0.468:0.469))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi211_1")
(INSTANCE _0657_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.317:0.328:0.339) (0.165:0.167:0.169))
(IOPATH A2 ZN (0.365:0.379:0.392) (0.153:0.153:0.154))
(IOPATH B ZN (0.387:0.390:0.393) (0.221:0.222:0.222))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.387:0.390:0.393) (0.209:0.210:0.210)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.340:0.343:0.346) (0.199:0.200:0.200)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.301:0.305:0.308) (0.221:0.222:0.222)))
(IOPATH C ZN (0.440:0.440:0.440) (0.274:0.274:0.274))
(COND A1===1'b1 && A2===1'b0
(IOPATH C ZN (0.440:0.440:0.440) (0.256:0.256:0.256)))
(COND A1===1'b0 && A2===1'b1
(IOPATH C ZN (0.394:0.394:0.394) (0.243:0.243:0.243)))
(COND A1===1'b0 && A2===1'b0
(IOPATH C ZN (0.357:0.357:0.357) (0.274:0.274:0.274)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0658_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.426:0.431:0.436) (0.443:0.449:0.455))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0659_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.379:0.379:0.379) (0.376:0.376:0.376))
(IOPATH A1 ZN (0.249:0.249:0.249) (0.140:0.140:0.140))
(IOPATH A2 ZN (0.412:0.412:0.412) (0.397:0.397:0.397))
(IOPATH A2 ZN (0.304:0.304:0.304) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0660_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.249:0.249:0.249) (0.124:0.124:0.124))
(IOPATH A2 ZN (0.340:0.340:0.340) (0.108:0.108:0.108))
(IOPATH B ZN (0.250:0.257:0.264) (0.190:0.197:0.204))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.250:0.257:0.264) (0.179:0.186:0.193)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.211:0.218:0.225) (0.167:0.173:0.179)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.183:0.192:0.200) (0.190:0.197:0.204)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0661_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.225:0.225:0.225) (0.145:0.145:0.145))
(IOPATH A2 ZN (0.327:0.327:0.327) (0.134:0.134:0.134))
(IOPATH B ZN (0.279:0.279:0.279) (0.192:0.192:0.192))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.279:0.279:0.279) (0.182:0.182:0.182)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.240:0.240:0.240) (0.171:0.171:0.171)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.204:0.204:0.204) (0.192:0.192:0.192)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi221_1")
(INSTANCE _0662_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.374:0.374:0.374) (0.164:0.164:0.164))
(COND B1===1'b1 && B2===1'b0
(IOPATH A1 ZN (0.374:0.374:0.374) (0.175:0.175:0.175)))
(COND B1===1'b0 && B2===1'b1
(IOPATH A1 ZN (0.332:0.332:0.332) (0.163:0.163:0.163)))
(COND B1===1'b0 && B2===1'b0
(IOPATH A1 ZN (0.306:0.306:0.306) (0.164:0.164:0.164)))
(IOPATH A2 ZN (0.382:0.383:0.383) (0.146:0.146:0.146))
(COND B1===1'b1 && B2===1'b0
(IOPATH A2 ZN (0.382:0.383:0.383) (0.157:0.157:0.157)))
(COND B1===1'b0 && B2===1'b1
(IOPATH A2 ZN (0.339:0.340:0.340) (0.146:0.146:0.146)))
(COND B1===1'b0 && B2===1'b0
(IOPATH A2 ZN (0.310:0.311:0.311) (0.146:0.146:0.146)))
(IOPATH B1 ZN (0.448:0.453:0.458) (0.264:0.275:0.285))
(COND A1===1'b1 && A2===1'b0
(IOPATH B1 ZN (0.448:0.453:0.458) (0.243:0.252:0.262)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B1 ZN (0.401:0.406:0.410) (0.230:0.238:0.247)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B1 ZN (0.363:0.368:0.373) (0.264:0.275:0.285)))
(IOPATH B2 ZN (0.497:0.497:0.497) (0.240:0.240:0.240))
(COND A1===1'b1 && A2===1'b0
(IOPATH B2 ZN (0.497:0.497:0.497) (0.218:0.218:0.218)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B2 ZN (0.451:0.451:0.451) (0.205:0.205:0.205)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B2 ZN (0.407:0.407:0.407) (0.240:0.240:0.240)))
(IOPATH C ZN (0.422:0.426:0.430) (0.214:0.225:0.235))
(COND A1===1'b1 && A2===1'b0 && B1===1'b1 && B2===1'b0
(IOPATH C ZN (0.422:0.426:0.430) (0.213:0.223:0.234)))
(COND A1===1'b1 && A2===1'b0 && B1===1'b0 && B2===1'b1
(IOPATH C ZN (0.375:0.379:0.383) (0.203:0.213:0.223)))
(COND A1===1'b1 && A2===1'b0 && B1===1'b0 && B2===1'b0
(IOPATH C ZN (0.323:0.327:0.331) (0.202:0.212:0.222)))
(COND A1===1'b0 && A2===1'b1 && B1===1'b1 && B2===1'b0
(IOPATH C ZN (0.375:0.379:0.384) (0.203:0.213:0.223)))
(COND A1===1'b0 && A2===1'b1 && B1===1'b0 && B2===1'b1
(IOPATH C ZN (0.328:0.332:0.337) (0.193:0.202:0.212)))
(COND A1===1'b0 && A2===1'b1 && B1===1'b0 && B2===1'b0
(IOPATH C ZN (0.283:0.288:0.293) (0.192:0.201:0.211)))
(COND A1===1'b0 && A2===1'b0 && B1===1'b1 && B2===1'b0
(IOPATH C ZN (0.331:0.337:0.342) (0.225:0.236:0.246)))
(COND A1===1'b0 && A2===1'b0 && B1===1'b0 && B2===1'b1
(IOPATH C ZN (0.292:0.297:0.303) (0.215:0.226:0.236)))
(COND A1===1'b0 && A2===1'b0 && B1===1'b0 && B2===1'b0
(IOPATH C ZN (0.249:0.254:0.260) (0.214:0.225:0.235)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand2_1")
(INSTANCE _0663_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.231:0.233:0.234) (0.189:0.189:0.189))
(IOPATH A2 ZN (0.242:0.243:0.243) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xor2_1")
(INSTANCE _0664_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.403:0.403:0.403) (0.478:0.478:0.478))
(IOPATH A1 Z (0.231:0.231:0.231) (0.137:0.137:0.137))
(IOPATH A2 Z (0.339:0.340:0.341) (0.462:0.468:0.474))
(IOPATH A2 Z (0.249:0.255:0.261) (0.121:0.121:0.122))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0665_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.264:0.264:0.264) (0.135:0.135:0.135))
(IOPATH A2 ZN (0.354:0.354:0.354) (0.120:0.120:0.120))
(IOPATH B ZN (0.261:0.267:0.273) (0.199:0.205:0.211))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.261:0.267:0.273) (0.188:0.194:0.200)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.222:0.228:0.234) (0.176:0.182:0.187)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.190:0.197:0.205) (0.199:0.205:0.211)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0666_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.241:0.241:0.241) (0.228:0.228:0.228))
(IOPATH A2 ZN (0.291:0.293:0.295) (0.231:0.231:0.231))
(IOPATH A3 ZN (0.299:0.299:0.300) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xor2_1")
(INSTANCE _0667_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.401:0.401:0.401) (0.467:0.467:0.467))
(IOPATH A1 Z (0.215:0.215:0.215) (0.137:0.137:0.137))
(IOPATH A2 Z (0.345:0.349:0.353) (0.485:0.490:0.495))
(IOPATH A2 Z (0.273:0.278:0.282) (0.124:0.125:0.126))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0668_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.241:0.241:0.242) (0.118:0.118:0.118))
(IOPATH A2 ZN (0.284:0.284:0.284) (0.106:0.106:0.106))
(IOPATH B ZN (0.240:0.246:0.251) (0.186:0.192:0.198))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.240:0.246:0.251) (0.175:0.181:0.186)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.202:0.207:0.213) (0.163:0.168:0.173)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.175:0.181:0.188) (0.186:0.192:0.198)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0669_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.235:0.235:0.235) (0.123:0.123:0.123))
(IOPATH A2 ZN (0.295:0.300:0.305) (0.113:0.111:0.110))
(IOPATH B ZN (0.291:0.291:0.291) (0.216:0.216:0.216))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.291:0.291:0.291) (0.203:0.203:0.203)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.252:0.252:0.252) (0.188:0.188:0.188)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.231:0.231:0.231) (0.216:0.216:0.216)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__or2_1")
(INSTANCE _0670_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.278:0.278:0.278) (0.470:0.470:0.470))
(IOPATH A2 Z (0.300:0.306:0.312) (0.482:0.487:0.491))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi22_1")
(INSTANCE _0671_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.258:0.258:0.258) (0.130:0.130:0.130))
(COND B1===1'b1 && B2===1'b0
(IOPATH A1 ZN (0.258:0.258:0.258) (0.140:0.140:0.140)))
(COND B1===1'b0 && B2===1'b1
(IOPATH A1 ZN (0.222:0.222:0.222) (0.130:0.130:0.130)))
(COND B1===1'b0 && B2===1'b0
(IOPATH A1 ZN (0.193:0.193:0.193) (0.129:0.129:0.129)))
(IOPATH A2 ZN (0.265:0.265:0.265) (0.117:0.117:0.117))
(COND B1===1'b1 && B2===1'b0
(IOPATH A2 ZN (0.265:0.265:0.265) (0.125:0.125:0.125)))
(COND B1===1'b0 && B2===1'b1
(IOPATH A2 ZN (0.228:0.228:0.229) (0.118:0.118:0.118)))
(COND B1===1'b0 && B2===1'b0
(IOPATH A2 ZN (0.193:0.193:0.194) (0.117:0.117:0.117)))
(IOPATH B1 ZN (0.329:0.329:0.329) (0.221:0.221:0.221))
(COND A1===1'b1 && A2===1'b0
(IOPATH B1 ZN (0.329:0.329:0.329) (0.206:0.206:0.206)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B1 ZN (0.290:0.290:0.290) (0.192:0.192:0.192)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B1 ZN (0.261:0.261:0.261) (0.221:0.221:0.221)))
(IOPATH B2 ZN (0.384:0.384:0.384) (0.202:0.202:0.202))
(COND A1===1'b1 && A2===1'b0
(IOPATH B2 ZN (0.384:0.384:0.384) (0.186:0.186:0.186)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B2 ZN (0.347:0.347:0.347) (0.173:0.173:0.173)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B2 ZN (0.313:0.313:0.313) (0.202:0.202:0.202)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0672_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.402:0.402:0.402) (0.396:0.396:0.396))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0673_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.743:0.743:0.743) (0.496:0.496:0.496))
(IOPATH A2 Z (0.763:0.763:0.763) (0.528:0.528:0.528))
(IOPATH A3 Z (0.778:0.778:0.778) (0.574:0.574:0.574))
(IOPATH A4 Z (0.775:0.775:0.775) (0.557:0.557:0.557))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and2_1")
(INSTANCE _0674_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.516:0.516:0.516) (0.413:0.413:0.413))
(IOPATH A2 Z (0.520:0.520:0.520) (0.453:0.453:0.453))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_2")
(INSTANCE _0675_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.256:0.256:0.256) (0.253:0.253:0.253))
(IOPATH A2 ZN (0.305:0.305:0.305) (0.275:0.275:0.275))
(IOPATH A3 ZN (0.358:0.360:0.362) (0.278:0.278:0.278))
(IOPATH A4 ZN (0.362:0.362:0.363) (0.269:0.269:0.269))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__oai211_1")
(INSTANCE _0676_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.339:0.339:0.339) (0.230:0.230:0.230))
(IOPATH A2 ZN (0.358:0.361:0.365) (0.265:0.276:0.286))
(IOPATH B ZN (0.352:0.353:0.355) (0.322:0.322:0.322))
(COND A1===1'b1 && A2===1'b1
(IOPATH B ZN (0.352:0.353:0.355) (0.267:0.267:0.267)))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.334:0.335:0.337) (0.285:0.285:0.285)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.343:0.344:0.346) (0.322:0.322:0.322)))
(IOPATH C ZN (0.344:0.345:0.346) (0.305:0.305:0.305))
(COND A1===1'b1 && A2===1'b1
(IOPATH C ZN (0.344:0.345:0.346) (0.240:0.240:0.240)))
(COND A1===1'b1 && A2===1'b0
(IOPATH C ZN (0.324:0.325:0.326) (0.270:0.270:0.270)))
(COND A1===1'b0 && A2===1'b1
(IOPATH C ZN (0.334:0.334:0.335) (0.305:0.305:0.305)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0677_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.414:0.421:0.427) (0.415:0.423:0.431))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0678_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.247:0.247:0.247) (0.129:0.129:0.129))
(IOPATH A2 ZN (0.229:0.229:0.230) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0679_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.390:0.390:0.390) (0.398:0.398:0.398))
(IOPATH A1 ZN (0.274:0.274:0.274) (0.148:0.148:0.148))
(IOPATH A2 ZN (0.413:0.413:0.413) (0.393:0.393:0.393))
(IOPATH A2 ZN (0.305:0.305:0.305) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0680_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.212:0.212:0.212) (0.107:0.107:0.107))
(IOPATH A2 ZN (0.169:0.176:0.182) (0.130:0.134:0.138))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0681_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.477:0.477:0.477) (0.379:0.379:0.379))
(IOPATH A2 Z (0.491:0.491:0.491) (0.426:0.426:0.426))
(IOPATH A3 Z (0.479:0.479:0.479) (0.487:0.487:0.487))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0682_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.434:0.440:0.446) (0.434:0.442:0.450))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0683_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.253:0.253:0.253) (0.156:0.156:0.156))
(IOPATH A2 ZN (0.327:0.327:0.327) (0.141:0.141:0.141))
(IOPATH B ZN (0.297:0.297:0.297) (0.215:0.215:0.215))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.297:0.297:0.297) (0.205:0.205:0.205)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.259:0.259:0.259) (0.194:0.194:0.194)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.221:0.221:0.221) (0.215:0.215:0.215)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0684_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.285:0.287:0.289) (0.164:0.164:0.164))
(IOPATH A2 ZN (0.362:0.362:0.362) (0.211:0.211:0.211))
(IOPATH A3 ZN (0.344:0.347:0.351) (0.205:0.214:0.223))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0685_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.473:0.473:0.473) (0.460:0.461:0.463))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0686_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.193:0.193:0.193) (0.124:0.124:0.124))
(IOPATH A2 ZN (0.216:0.217:0.219) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0687_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.294:0.294:0.294) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.301:0.301:0.301) (0.179:0.179:0.179))
(IOPATH A3 ZN (0.276:0.279:0.281) (0.174:0.175:0.176))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0688_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.383:0.383:0.383) (0.382:0.382:0.382))
(IOPATH A1 ZN (0.257:0.257:0.257) (0.144:0.144:0.144))
(IOPATH A2 ZN (0.431:0.431:0.431) (0.382:0.384:0.386))
(IOPATH A2 ZN (0.295:0.297:0.298) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0689_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.227:0.227:0.227) (0.119:0.119:0.119))
(IOPATH A2 ZN (0.183:0.194:0.204) (0.139:0.143:0.148))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0690_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.215:0.215:0.215) (0.137:0.137:0.137))
(IOPATH A2 ZN (0.311:0.311:0.311) (0.125:0.125:0.125))
(IOPATH B ZN (0.268:0.268:0.268) (0.190:0.190:0.190))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.268:0.268:0.268) (0.179:0.179:0.179)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.229:0.229:0.229) (0.168:0.168:0.168)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.196:0.196:0.196) (0.190:0.190:0.190)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi211_1")
(INSTANCE _0691_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.342:0.342:0.342) (0.168:0.168:0.168))
(IOPATH A2 ZN (0.346:0.347:0.347) (0.151:0.151:0.151))
(IOPATH B ZN (0.425:0.425:0.425) (0.247:0.247:0.247))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.425:0.425:0.425) (0.234:0.234:0.234)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.379:0.379:0.379) (0.222:0.222:0.222)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.349:0.349:0.349) (0.247:0.247:0.247)))
(IOPATH C ZN (0.409:0.411:0.414) (0.232:0.243:0.254))
(COND A1===1'b1 && A2===1'b0
(IOPATH C ZN (0.409:0.411:0.414) (0.215:0.226:0.238)))
(COND A1===1'b0 && A2===1'b1
(IOPATH C ZN (0.362:0.364:0.367) (0.204:0.215:0.226)))
(COND A1===1'b0 && A2===1'b0
(IOPATH C ZN (0.323:0.326:0.329) (0.232:0.243:0.254)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand2_1")
(INSTANCE _0692_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.241:0.243:0.244) (0.202:0.202:0.202))
(IOPATH A2 ZN (0.248:0.249:0.249) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xor2_1")
(INSTANCE _0693_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.410:0.410:0.410) (0.480:0.480:0.480))
(IOPATH A1 Z (0.235:0.235:0.235) (0.143:0.143:0.143))
(IOPATH A2 Z (0.349:0.350:0.351) (0.469:0.475:0.482))
(IOPATH A2 Z (0.259:0.266:0.272) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0694_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.233:0.233:0.233) (0.117:0.117:0.117))
(IOPATH A2 ZN (0.178:0.184:0.190) (0.139:0.142:0.146))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkinv_1")
(INSTANCE _0695_)
(DELAY
(ABSOLUTE
(IOPATH I ZN (0.135:0.135:0.135) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0696_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.228:0.228:0.228) (0.215:0.215:0.215))
(IOPATH A2 ZN (0.295:0.295:0.295) (0.210:0.210:0.210))
(IOPATH A3 ZN (0.283:0.284:0.284) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand3_1")
(INSTANCE _0697_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.190:0.191:0.191) (0.183:0.184:0.184))
(IOPATH A2 ZN (0.242:0.244:0.245) (0.192:0.192:0.192))
(IOPATH A3 ZN (0.285:0.290:0.296) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0698_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.181:0.181:0.181) (0.109:0.109:0.109))
(IOPATH A2 ZN (0.251:0.252:0.253) (0.113:0.114:0.115))
(IOPATH B ZN (0.264:0.266:0.269) (0.181:0.191:0.201))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.264:0.266:0.269) (0.170:0.180:0.189)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.225:0.228:0.230) (0.159:0.168:0.177)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.199:0.202:0.205) (0.181:0.191:0.201)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0699_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.206:0.206:0.206) (0.116:0.116:0.116))
(IOPATH A2 ZN (0.272:0.278:0.283) (0.111:0.110:0.108))
(IOPATH B ZN (0.279:0.279:0.279) (0.207:0.207:0.207))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.279:0.279:0.279) (0.194:0.194:0.194)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.240:0.240:0.240) (0.180:0.180:0.180)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.218:0.218:0.218) (0.207:0.207:0.207)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0700_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.408:0.408:0.408) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkinv_1")
(INSTANCE _0701_)
(DELAY
(ABSOLUTE
(IOPATH I ZN (0.233:0.233:0.233) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and4_1")
(INSTANCE _0702_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.618:0.618:0.618) (0.415:0.415:0.415))
(IOPATH A2 Z (0.625:0.625:0.625) (0.430:0.430:0.430))
(IOPATH A3 Z (0.645:0.645:0.645) (0.483:0.483:0.483))
(IOPATH A4 Z (0.643:0.643:0.643) (0.472:0.472:0.472))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nand4_2")
(INSTANCE _0703_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.298:0.298:0.298) (0.297:0.297:0.297))
(IOPATH A2 ZN (0.349:0.349:0.349) (0.320:0.320:0.320))
(IOPATH A3 ZN (0.353:0.353:0.353) (0.311:0.311:0.311))
(IOPATH A4 ZN (0.379:0.381:0.383) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__oai211_2")
(INSTANCE _0704_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.466:0.466:0.466) (0.291:0.291:0.291))
(IOPATH A2 ZN (0.491:0.493:0.496) (0.329:0.340:0.351))
(IOPATH B ZN (0.376:0.377:0.379) (0.353:0.353:0.354))
(COND A1===1'b1 && A2===1'b1
(IOPATH B ZN (0.376:0.377:0.379) (0.294:0.295:0.295)))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.360:0.361:0.363) (0.318:0.318:0.318)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.368:0.369:0.371) (0.353:0.353:0.354)))
(IOPATH C ZN (0.382:0.382:0.383) (0.341:0.341:0.341))
(COND A1===1'b1 && A2===1'b1
(IOPATH C ZN (0.382:0.382:0.383) (0.272:0.272:0.272)))
(COND A1===1'b1 && A2===1'b0
(IOPATH C ZN (0.364:0.365:0.366) (0.306:0.306:0.306)))
(COND A1===1'b0 && A2===1'b1
(IOPATH C ZN (0.373:0.373:0.374) (0.341:0.341:0.341)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0705_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.512:0.523:0.534) (0.517:0.527:0.537))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0706_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.225:0.225:0.225) (0.111:0.111:0.111))
(IOPATH A2 ZN (0.233:0.233:0.233) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0707_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.387:0.387:0.387) (0.393:0.393:0.393))
(IOPATH A1 ZN (0.268:0.268:0.268) (0.145:0.145:0.145))
(IOPATH A2 ZN (0.411:0.411:0.411) (0.391:0.391:0.391))
(IOPATH A2 ZN (0.302:0.302:0.302) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0708_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.247:0.247:0.247) (0.107:0.107:0.107))
(IOPATH A2 ZN (0.167:0.174:0.180) (0.128:0.132:0.136))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0709_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.458:0.458:0.458) (0.364:0.364:0.364))
(IOPATH A2 Z (0.473:0.473:0.473) (0.411:0.411:0.411))
(IOPATH A3 Z (0.462:0.462:0.462) (0.474:0.474:0.474))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0710_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.251:0.251:0.251) (0.156:0.156:0.156))
(IOPATH A2 ZN (0.328:0.328:0.328) (0.141:0.141:0.141))
(IOPATH B ZN (0.297:0.297:0.297) (0.213:0.213:0.213))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.297:0.297:0.297) (0.203:0.203:0.203)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.259:0.259:0.259) (0.192:0.192:0.192)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.220:0.220:0.220) (0.213:0.213:0.213)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0711_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.248:0.250:0.252) (0.145:0.145:0.145))
(IOPATH A2 ZN (0.323:0.335:0.347) (0.197:0.204:0.211))
(IOPATH A3 ZN (0.310:0.314:0.317) (0.189:0.198:0.206))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_1")
(INSTANCE _0712_)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.438:0.438:0.438) (0.425:0.427:0.429))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0713_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.177:0.177:0.177) (0.114:0.114:0.114))
(IOPATH A2 ZN (0.199:0.200:0.202) (0.144:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0714_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.287:0.287:0.287) (0.142:0.142:0.142))
(IOPATH A2 ZN (0.294:0.305:0.317) (0.181:0.186:0.191))
(IOPATH A3 ZN (0.273:0.275:0.277) (0.168:0.169:0.170))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__xnor2_1")
(INSTANCE _0715_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.395:0.395:0.395) (0.409:0.409:0.409))
(IOPATH A1 ZN (0.286:0.286:0.286) (0.152:0.152:0.152))
(IOPATH A2 ZN (0.415:0.415:0.415) (0.396:0.396:0.396))
(IOPATH A2 ZN (0.310:0.310:0.310) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0716_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.250:0.250:0.250) (0.109:0.109:0.109))
(IOPATH A2 ZN (0.170:0.177:0.184) (0.131:0.135:0.139))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__and3_1")
(INSTANCE _0717_)
(DELAY
(ABSOLUTE
(IOPATH A1 Z (0.501:0.501:0.501) (0.406:0.406:0.406))
(IOPATH A2 Z (0.476:0.476:0.476) (0.408:0.408:0.408))
(IOPATH A3 Z (0.470:0.470:0.470) (0.483:0.483:0.483))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0718_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.251:0.251:0.251) (0.149:0.149:0.149))
(IOPATH A2 ZN (0.317:0.317:0.317) (0.133:0.133:0.133))
(IOPATH B ZN (0.292:0.292:0.292) (0.224:0.224:0.224))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.292:0.292:0.292) (0.212:0.212:0.212)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.254:0.254:0.254) (0.200:0.200:0.200)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.221:0.221:0.221) (0.224:0.224:0.224)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0719_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.247:0.250:0.252) (0.145:0.145:0.145))
(IOPATH A2 ZN (0.320:0.332:0.344) (0.196:0.202:0.209))
(IOPATH A3 ZN (0.307:0.311:0.315) (0.186:0.194:0.202))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkinv_1")
(INSTANCE _0720_)
(DELAY
(ABSOLUTE
(IOPATH I ZN (0.178:0.180:0.182) (0.150:0.156:0.162))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0721_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.204:0.204:0.204) (0.132:0.132:0.132))
(IOPATH A2 ZN (0.208:0.209:0.210) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor3_1")
(INSTANCE _0722_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.237:0.237:0.237) (0.130:0.132:0.134))
(IOPATH A2 ZN (0.256:0.259:0.261) (0.148:0.148:0.149))
(IOPATH A3 ZN (0.274:0.275:0.276) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__aoi21_1")
(INSTANCE _0723_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.214:0.214:0.214) (0.116:0.116:0.116))
(IOPATH A2 ZN (0.287:0.291:0.295) (0.107:0.106:0.104))
(IOPATH B ZN (0.300:0.300:0.300) (0.223:0.223:0.223))
(COND A1===1'b1 && A2===1'b0
(IOPATH B ZN (0.300:0.300:0.300) (0.209:0.209:0.209)))
(COND A1===1'b0 && A2===1'b1
(IOPATH B ZN (0.259:0.260:0.260) (0.192:0.192:0.192)))
(COND A1===1'b0 && A2===1'b0
(IOPATH B ZN (0.240:0.240:0.240) (0.223:0.223:0.223)))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__nor2_1")
(INSTANCE _0724_)
(DELAY
(ABSOLUTE
(IOPATH A1 ZN (0.178:0.178:0.178) (0.111:0.111:0.111))
(IOPATH A2 ZN (0.214:0.214:0.215) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0725_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.812:0.812:0.812) (0.713:0.713:0.713))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.240:0.241:0.242))
(SETUP (negedge D) (posedge CLK) (0.244:0.252:0.259))
(HOLD (posedge D) (posedge CLK) (0.042:0.042:0.042))
(HOLD (negedge D) (posedge CLK) (0.070:0.063:0.056))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0726_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.855:0.855:0.855) (0.745:0.745:0.745))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.229:0.230:0.232))
(SETUP (negedge D) (posedge CLK) (0.245:0.249:0.253))
(HOLD (posedge D) (posedge CLK) (0.042:0.042:0.042))
(HOLD (negedge D) (posedge CLK) (0.069:0.066:0.062))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0727_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.768:0.768:0.768) (0.680:0.680:0.680))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.242:0.243:0.244))
(SETUP (negedge D) (posedge CLK) (0.249:0.258:0.267))
(HOLD (posedge D) (posedge CLK) (0.038:0.039:0.039))
(HOLD (negedge D) (posedge CLK) (0.066:0.058:0.049))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0728_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.813:0.813:0.813) (0.713:0.713:0.713))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.244:0.245:0.247))
(SETUP (negedge D) (posedge CLK) (0.261:0.265:0.268))
(HOLD (posedge D) (posedge CLK) (0.039:0.039:0.039))
(HOLD (negedge D) (posedge CLK) (0.055:0.051:0.048))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0729_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.866:0.866:0.866) (0.750:0.750:0.750))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.249:0.250:0.252))
(SETUP (negedge D) (posedge CLK) (0.249:0.261:0.273))
(HOLD (posedge D) (posedge CLK) (0.035:0.035:0.035))
(HOLD (negedge D) (posedge CLK) (0.067:0.055:0.044))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0730_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.834:0.834:0.834) (0.727:0.727:0.727))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.237:0.238:0.239))
(SETUP (negedge D) (posedge CLK) (0.250:0.254:0.258))
(HOLD (posedge D) (posedge CLK) (0.036:0.036:0.036))
(HOLD (negedge D) (posedge CLK) (0.066:0.062:0.059))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0731_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0732_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.724:0.724:0.724) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0733_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0734_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.648:0.648:0.648))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0735_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.748:0.748:0.748) (0.663:0.663:0.663))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0736_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.752:0.752:0.752) (0.667:0.667:0.667))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0737_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0738_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.740:0.740:0.740) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0739_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.724:0.724:0.724) (0.644:0.644:0.644))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0740_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0741_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.717:0.717:0.717) (0.637:0.637:0.637))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0742_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.719:0.719:0.719) (0.639:0.639:0.639))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0743_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.719:0.719:0.719) (0.639:0.639:0.639))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0744_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.727:0.727:0.727) (0.646:0.646:0.646))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0745_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.772:0.772:0.772) (0.683:0.683:0.683))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0746_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.771:0.771:0.771) (0.682:0.682:0.682))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0747_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.770:0.770:0.770) (0.681:0.681:0.681))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0748_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0749_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.738:0.738:0.738) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0750_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.730:0.730:0.730) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0751_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.717:0.717:0.717) (0.637:0.637:0.637))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0752_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.747:0.747:0.747) (0.664:0.664:0.664))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.244:0.244:0.244))
(SETUP (negedge D) (posedge CLK) (0.261:0.266:0.272))
(HOLD (posedge D) (posedge CLK) (0.042:0.042:0.042))
(HOLD (negedge D) (posedge CLK) (0.054:0.049:0.045))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0753_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.865:0.865:0.865) (0.751:0.751:0.751))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.227:0.230:0.233))
(SETUP (negedge D) (posedge CLK) (0.236:0.250:0.265))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.041))
(HOLD (negedge D) (posedge CLK) (0.078:0.065:0.051))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0754_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.809:0.809:0.809) (0.710:0.710:0.710))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.243:0.245:0.246))
(SETUP (negedge D) (posedge CLK) (0.248:0.262:0.276))
(HOLD (posedge D) (posedge CLK) (0.038:0.038:0.039))
(HOLD (negedge D) (posedge CLK) (0.067:0.054:0.040))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0755_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.840:0.840:0.840) (0.732:0.732:0.732))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.232:0.234:0.237))
(SETUP (negedge D) (posedge CLK) (0.245:0.251:0.258))
(HOLD (posedge D) (posedge CLK) (0.038:0.037:0.037))
(HOLD (negedge D) (posedge CLK) (0.070:0.064:0.058))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0756_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.761:0.761:0.761) (0.675:0.675:0.675))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.241:0.241:0.242))
(SETUP (negedge D) (posedge CLK) (0.248:0.255:0.262))
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.067:0.060:0.054))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0757_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.810:0.810:0.810) (0.711:0.711:0.711))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.246:0.246:0.246))
(SETUP (negedge D) (posedge CLK) (0.251:0.258:0.265))
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.064:0.057:0.051))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0758_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.866:0.866:0.866) (0.753:0.753:0.753))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.230:0.233:0.236))
(SETUP (negedge D) (posedge CLK) (0.243:0.251:0.259))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.040))
(HOLD (negedge D) (posedge CLK) (0.071:0.064:0.057))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0759_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0760_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0761_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.741:0.741:0.741) (0.658:0.658:0.658))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0762_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0763_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.728:0.728:0.728) (0.647:0.647:0.647))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0764_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.747:0.747:0.747) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0765_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.647:0.647:0.647))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0766_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.730:0.730:0.730) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0767_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.647:0.647:0.647))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0768_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0769_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.751:0.751:0.751) (0.666:0.666:0.666))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0770_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0771_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0772_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.647:0.647:0.647))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0773_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0774_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0775_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.721:0.721:0.721) (0.642:0.642:0.642))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0776_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.727:0.727:0.727) (0.646:0.646:0.646))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0777_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0778_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.741:0.741:0.741) (0.658:0.658:0.658))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0779_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.773:0.773:0.773) (0.684:0.684:0.684))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0780_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.765:0.765:0.765) (0.677:0.677:0.677))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.061:0.061:0.061))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0781_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.744:0.744:0.744) (0.661:0.661:0.661))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.234:0.235:0.236))
(SETUP (negedge D) (posedge CLK) (0.241:0.250:0.259))
(HOLD (posedge D) (posedge CLK) (0.041:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.073:0.065:0.056))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0782_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.861:0.861:0.861) (0.748:0.748:0.748))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.244:0.244:0.245))
(SETUP (negedge D) (posedge CLK) (0.247:0.253:0.258))
(HOLD (posedge D) (posedge CLK) (0.038:0.038:0.038))
(HOLD (negedge D) (posedge CLK) (0.068:0.063:0.057))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0783_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.865:0.865:0.865) (0.765:0.765:0.765))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.193))
(SETUP (negedge D) (posedge CLK) (0.194:0.200:0.206))
(HOLD (posedge D) (posedge CLK) (0.083:0.083:0.083))
(HOLD (negedge D) (posedge CLK) (0.118:0.112:0.107))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0784_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.767:0.767:0.767) (0.678:0.678:0.678))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.245:0.246:0.246))
(SETUP (negedge D) (posedge CLK) (0.249:0.255:0.261))
(HOLD (posedge D) (posedge CLK) (0.036:0.036:0.036))
(HOLD (negedge D) (posedge CLK) (0.067:0.061:0.055))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0785_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.784:0.784:0.784) (0.693:0.693:0.693))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.239:0.240:0.240))
(SETUP (negedge D) (posedge CLK) (0.249:0.252:0.256))
(HOLD (posedge D) (posedge CLK) (0.042:0.042:0.042))
(HOLD (negedge D) (posedge CLK) (0.066:0.062:0.059))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0786_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.852:0.852:0.852) (0.741:0.741:0.741))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.246:0.247:0.247))
(SETUP (negedge D) (posedge CLK) (0.250:0.256:0.261))
(HOLD (posedge D) (posedge CLK) (0.036:0.036:0.036))
(HOLD (negedge D) (posedge CLK) (0.066:0.060:0.055))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0787_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0788_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0789_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0790_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0791_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.730:0.730:0.730) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0792_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.745:0.745:0.745) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0793_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.058:0.058:0.058))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0794_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.647:0.647:0.647))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0795_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0796_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0797_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.733:0.733:0.733) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0798_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0799_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0800_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.741:0.741:0.741) (0.658:0.658:0.658))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0801_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.726:0.726:0.726) (0.646:0.646:0.646))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0802_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.724:0.724:0.724) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0803_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.749:0.749:0.749) (0.665:0.665:0.665))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0804_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.058:0.058:0.058))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0805_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0806_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.746:0.746:0.746) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0807_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0808_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.756:0.756:0.756) (0.671:0.671:0.671))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0809_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0810_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.759:0.759:0.759) (0.672:0.672:0.672))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.230:0.233:0.237))
(SETUP (negedge D) (posedge CLK) (0.235:0.253:0.270))
(HOLD (posedge D) (posedge CLK) (0.040:0.039:0.039))
(HOLD (negedge D) (posedge CLK) (0.080:0.063:0.046))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0811_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.821:0.821:0.821) (0.718:0.718:0.718))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.229:0.231:0.233))
(SETUP (negedge D) (posedge CLK) (0.236:0.244:0.253))
(HOLD (posedge D) (posedge CLK) (0.040:0.039:0.039))
(HOLD (negedge D) (posedge CLK) (0.079:0.071:0.062))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0812_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.788:0.788:0.788) (0.694:0.694:0.694))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.244:0.244:0.244))
(SETUP (negedge D) (posedge CLK) (0.251:0.255:0.259))
(HOLD (posedge D) (posedge CLK) (0.038:0.038:0.038))
(HOLD (negedge D) (posedge CLK) (0.064:0.061:0.057))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0813_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.770:0.770:0.770) (0.682:0.682:0.682))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.241:0.242:0.242))
(SETUP (negedge D) (posedge CLK) (0.249:0.254:0.259))
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.066:0.061:0.056))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0814_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.827:0.827:0.827) (0.724:0.724:0.724))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.241:0.242:0.242))
(SETUP (negedge D) (posedge CLK) (0.240:0.248:0.256))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.041))
(HOLD (negedge D) (posedge CLK) (0.074:0.066:0.059))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0815_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.745:0.745:0.745) (0.661:0.661:0.661))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0816_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0817_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0818_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.733:0.733:0.733) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0819_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.748:0.748:0.748) (0.664:0.664:0.664))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0820_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0821_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.748:0.748:0.748) (0.664:0.664:0.664))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0822_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0823_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0824_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0825_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0826_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.744:0.744:0.744) (0.661:0.661:0.661))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0827_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.756:0.756:0.756) (0.670:0.670:0.670))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0828_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.733:0.733:0.733) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0829_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.740:0.740:0.740) (0.658:0.658:0.658))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0830_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.727:0.727:0.727) (0.647:0.647:0.647))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0831_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0832_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.066:0.066:0.066))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0833_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.765:0.765:0.765) (0.678:0.678:0.678))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.066:0.066:0.066))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0834_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.730:0.730:0.730) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.066:0.066:0.066))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0835_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.730:0.730:0.730) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0836_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.744:0.744:0.744) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0837_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0838_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.746:0.746:0.746) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0839_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.768:0.768:0.768) (0.680:0.680:0.680))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.227:0.229:0.230))
(SETUP (negedge D) (posedge CLK) (0.239:0.246:0.253))
(HOLD (posedge D) (posedge CLK) (0.043:0.043:0.043))
(HOLD (negedge D) (posedge CLK) (0.075:0.068:0.061))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0840_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.868:0.868:0.868) (0.753:0.753:0.753))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.230:0.233:0.236))
(SETUP (negedge D) (posedge CLK) (0.240:0.254:0.269))
(HOLD (posedge D) (posedge CLK) (0.040:0.039:0.039))
(HOLD (negedge D) (posedge CLK) (0.075:0.061:0.047))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0841_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.812:0.812:0.812) (0.712:0.712:0.712))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.228:0.231:0.234))
(SETUP (negedge D) (posedge CLK) (0.242:0.249:0.255))
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.039))
(HOLD (negedge D) (posedge CLK) (0.072:0.066:0.060))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0842_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.809:0.809:0.809) (0.710:0.710:0.710))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.227:0.230:0.234))
(SETUP (negedge D) (posedge CLK) (0.241:0.248:0.254))
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.039))
(HOLD (negedge D) (posedge CLK) (0.074:0.067:0.061))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0843_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0844_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0845_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.784:0.784:0.784) (0.692:0.692:0.692))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0846_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.787:0.787:0.787) (0.694:0.694:0.694))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0847_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.778:0.778:0.778) (0.688:0.688:0.688))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0848_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.773:0.773:0.773) (0.684:0.684:0.684))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0849_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.767:0.767:0.767) (0.679:0.679:0.679))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0850_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.777:0.777:0.777) (0.687:0.687:0.687))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0851_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.788:0.788:0.788) (0.695:0.695:0.695))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0852_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.774:0.774:0.774) (0.684:0.684:0.684))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0853_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0854_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0855_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.738:0.738:0.738) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0856_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.749:0.749:0.749) (0.664:0.664:0.664))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0857_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0858_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.744:0.744:0.744) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0859_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0860_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.740:0.740:0.740) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0861_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0862_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.730:0.730:0.730) (0.648:0.648:0.648))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0863_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.721:0.721:0.721) (0.640:0.640:0.640))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0864_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0865_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0866_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0867_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.738:0.738:0.738) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0868_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.239:0.239:0.240))
(SETUP (negedge D) (posedge CLK) (0.250:0.257:0.264))
(HOLD (posedge D) (posedge CLK) (0.039:0.039:0.039))
(HOLD (negedge D) (posedge CLK) (0.065:0.059:0.052))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0869_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.875:0.875:0.875) (0.760:0.760:0.760))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.224:0.226:0.228))
(SETUP (negedge D) (posedge CLK) (0.234:0.242:0.250))
(HOLD (posedge D) (posedge CLK) (0.043:0.043:0.043))
(HOLD (negedge D) (posedge CLK) (0.079:0.072:0.065))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0870_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.886:0.886:0.886) (0.767:0.767:0.767))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.223:0.225:0.227))
(SETUP (negedge D) (posedge CLK) (0.231:0.236:0.241))
(HOLD (posedge D) (posedge CLK) (0.044:0.043:0.043))
(HOLD (negedge D) (posedge CLK) (0.082:0.077:0.072))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0871_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.782:0.782:0.782) (0.691:0.691:0.691))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0872_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.785:0.785:0.785) (0.694:0.694:0.694))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0873_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.794:0.794:0.794) (0.700:0.700:0.700))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0874_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.782:0.782:0.782) (0.692:0.692:0.692))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0875_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.774:0.774:0.774) (0.684:0.684:0.684))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0876_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.772:0.772:0.772) (0.682:0.682:0.682))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0877_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.778:0.778:0.778) (0.688:0.688:0.688))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0878_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.768:0.768:0.768) (0.680:0.680:0.680))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0879_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.764:0.764:0.764) (0.677:0.677:0.677))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0880_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.769:0.769:0.769) (0.681:0.681:0.681))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0881_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.768:0.768:0.768) (0.680:0.680:0.680))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0882_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.782:0.782:0.782) (0.692:0.692:0.692))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0883_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.658:0.658:0.658))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0884_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.725:0.725:0.725) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0885_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.741:0.741:0.741) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.059:0.059:0.059))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0886_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0887_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0888_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.740:0.740:0.740) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0889_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.195:0.195:0.195))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.062:0.062:0.062))
(HOLD (negedge D) (posedge CLK) (0.101:0.101:0.101))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0890_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.755:0.755:0.755) (0.669:0.669:0.669))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0891_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.751:0.751:0.751) (0.666:0.666:0.666))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0892_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0893_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0894_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0895_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0896_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0897_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.746:0.746:0.746) (0.661:0.661:0.661))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.229:0.229:0.229))
(SETUP (negedge D) (posedge CLK) (0.254:0.254:0.254))
(HOLD (posedge D) (posedge CLK) (0.038:0.038:0.038))
(HOLD (negedge D) (posedge CLK) (0.062:0.062:0.062))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0898_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.753:0.753:0.753) (0.667:0.667:0.667))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.235:0.235:0.236))
(SETUP (negedge D) (posedge CLK) (0.242:0.250:0.257))
(HOLD (posedge D) (posedge CLK) (0.038:0.038:0.038))
(HOLD (negedge D) (posedge CLK) (0.073:0.066:0.059))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0899_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.750:0.750:0.750) (0.665:0.665:0.665))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0900_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.058:0.058:0.058))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0901_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.058:0.058:0.058))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0902_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0903_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0904_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0905_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.741:0.741:0.741) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0906_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0907_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0908_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.740:0.740:0.740) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.209:0.209:0.209))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0909_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.738:0.738:0.738) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0910_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.744:0.744:0.744) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0911_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.745:0.745:0.745) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0912_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.747:0.747:0.747) (0.663:0.663:0.663))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0913_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.745:0.745:0.745) (0.661:0.661:0.661))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0914_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.647:0.647:0.647))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0915_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0916_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.648:0.648:0.648))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0917_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.723:0.723:0.723) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0918_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.726:0.726:0.726) (0.645:0.645:0.645))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.099:0.099:0.099))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0919_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0920_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.762:0.762:0.762) (0.675:0.675:0.675))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0921_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.741:0.741:0.741) (0.658:0.658:0.658))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0922_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.733:0.733:0.733) (0.650:0.650:0.650))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0923_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0924_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.197:0.197:0.197))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0925_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.727:0.727:0.727) (0.645:0.645:0.645))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.058:0.058:0.058))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0926_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.216:0.216:0.216))
(SETUP (negedge D) (posedge CLK) (0.231:0.231:0.231))
(HOLD (posedge D) (posedge CLK) (0.045:0.045:0.045))
(HOLD (negedge D) (posedge CLK) (0.085:0.085:0.085))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0927_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.769:0.769:0.769) (0.680:0.680:0.680))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.236:0.239:0.243))
(SETUP (negedge D) (posedge CLK) (0.255:0.267:0.279))
(HOLD (posedge D) (posedge CLK) (0.037:0.037:0.036))
(HOLD (negedge D) (posedge CLK) (0.061:0.049:0.038))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0928_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.888:0.888:0.888) (0.766:0.766:0.766))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.233:0.239:0.245))
(SETUP (negedge D) (posedge CLK) (0.256:0.266:0.276))
(HOLD (posedge D) (posedge CLK) (0.037:0.036:0.035))
(HOLD (negedge D) (posedge CLK) (0.060:0.050:0.041))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0929_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.810:0.810:0.810) (0.710:0.710:0.710))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.249:0.252:0.255))
(SETUP (negedge D) (posedge CLK) (0.252:0.266:0.280))
(HOLD (posedge D) (posedge CLK) (0.036:0.036:0.036))
(HOLD (negedge D) (posedge CLK) (0.064:0.051:0.037))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0930_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.768:0.768:0.768) (0.679:0.679:0.679))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.253:0.257:0.260))
(SETUP (negedge D) (posedge CLK) (0.258:0.281:0.303))
(HOLD (posedge D) (posedge CLK) (0.037:0.037:0.037))
(HOLD (negedge D) (posedge CLK) (0.058:0.037:0.016))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0931_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.838:0.838:0.838) (0.730:0.730:0.730))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.232:0.238:0.245))
(SETUP (negedge D) (posedge CLK) (0.252:0.263:0.274))
(HOLD (posedge D) (posedge CLK) (0.038:0.037:0.036))
(HOLD (negedge D) (posedge CLK) (0.064:0.053:0.043))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0932_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.762:0.762:0.762) (0.675:0.675:0.675))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.240:0.249:0.258))
(SETUP (negedge D) (posedge CLK) (0.258:0.288:0.318))
(HOLD (posedge D) (posedge CLK) (0.040:0.041:0.041))
(HOLD (negedge D) (posedge CLK) (0.057:0.031:0.004))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0933_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.918:0.918:0.918) (0.787:0.787:0.787))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.229:0.235:0.241))
(SETUP (negedge D) (posedge CLK) (0.250:0.260:0.270))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.040))
(HOLD (negedge D) (posedge CLK) (0.065:0.055:0.046))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0934_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.854:0.854:0.854) (0.744:0.744:0.744))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.226:0.231:0.237))
(SETUP (negedge D) (posedge CLK) (0.242:0.254:0.267))
(HOLD (posedge D) (posedge CLK) (0.042:0.041:0.040))
(HOLD (negedge D) (posedge CLK) (0.072:0.061:0.049))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0935_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.231:0.235:0.239))
(SETUP (negedge D) (posedge CLK) (0.240:0.259:0.278))
(HOLD (posedge D) (posedge CLK) (0.041:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.074:0.057:0.039))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0936_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.724:0.724:0.724) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.233:0.242:0.251))
(SETUP (negedge D) (posedge CLK) (0.240:0.266:0.292))
(HOLD (posedge D) (posedge CLK) (0.037:0.036:0.036))
(HOLD (negedge D) (posedge CLK) (0.076:0.051:0.026))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0937_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0938_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.749:0.749:0.749) (0.665:0.665:0.665))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0939_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.725:0.725:0.725) (0.645:0.645:0.645))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0940_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0941_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0942_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.066:0.066:0.066))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0943_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0944_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.066:0.066:0.066))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0945_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.758:0.758:0.758) (0.672:0.672:0.672))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.066:0.066:0.066))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0946_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0947_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.747:0.747:0.747) (0.663:0.663:0.663))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.066:0.066:0.066))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0948_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.749:0.749:0.749) (0.665:0.665:0.665))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.191:0.191:0.191))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.105:0.105:0.105))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0949_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.723:0.723:0.723) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0950_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.745:0.745:0.745) (0.661:0.661:0.661))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0951_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.727:0.727:0.727) (0.646:0.646:0.646))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0952_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.723:0.723:0.723) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0953_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.799:0.799:0.799) (0.703:0.703:0.703))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0954_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.778:0.778:0.778) (0.688:0.688:0.688))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.207:0.207:0.207))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0955_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.765:0.765:0.765) (0.678:0.678:0.678))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.228:0.228:0.229))
(SETUP (negedge D) (posedge CLK) (0.232:0.238:0.244))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.041))
(HOLD (negedge D) (posedge CLK) (0.083:0.077:0.070))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0956_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.773:0.773:0.773) (0.685:0.685:0.685))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.230:0.230:0.230))
(SETUP (negedge D) (posedge CLK) (0.242:0.246:0.250))
(HOLD (posedge D) (posedge CLK) (0.043:0.043:0.043))
(HOLD (negedge D) (posedge CLK) (0.071:0.068:0.064))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0957_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.905:0.905:0.905) (0.779:0.779:0.779))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.223:0.224:0.225))
(SETUP (negedge D) (posedge CLK) (0.234:0.238:0.242))
(HOLD (posedge D) (posedge CLK) (0.044:0.044:0.043))
(HOLD (negedge D) (posedge CLK) (0.079:0.075:0.072))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0958_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.816:0.816:0.816) (0.716:0.716:0.716))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.245:0.245:0.246))
(SETUP (negedge D) (posedge CLK) (0.246:0.259:0.272))
(HOLD (posedge D) (posedge CLK) (0.043:0.043:0.043))
(HOLD (negedge D) (posedge CLK) (0.069:0.056:0.044))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0959_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.782:0.782:0.782) (0.691:0.691:0.691))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.239:0.240:0.240))
(SETUP (negedge D) (posedge CLK) (0.248:0.252:0.257))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.042))
(HOLD (negedge D) (posedge CLK) (0.067:0.062:0.058))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0960_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.855:0.855:0.855) (0.745:0.745:0.745))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.226:0.227:0.228))
(SETUP (negedge D) (posedge CLK) (0.238:0.242:0.246))
(HOLD (posedge D) (posedge CLK) (0.043:0.043:0.042))
(HOLD (negedge D) (posedge CLK) (0.075:0.072:0.069))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0961_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.775:0.775:0.775) (0.684:0.684:0.684))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.252:0.255:0.259))
(SETUP (negedge D) (posedge CLK) (0.263:0.276:0.290))
(HOLD (posedge D) (posedge CLK) (0.037:0.037:0.038))
(HOLD (negedge D) (posedge CLK) (0.054:0.040:0.027))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0962_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.900:0.900:0.900) (0.774:0.774:0.774))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.232:0.233:0.235))
(SETUP (negedge D) (posedge CLK) (0.247:0.249:0.251))
(HOLD (posedge D) (posedge CLK) (0.038:0.038:0.038))
(HOLD (negedge D) (posedge CLK) (0.069:0.066:0.064))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0963_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.822:0.822:0.822) (0.719:0.719:0.719))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.233:0.237:0.241))
(SETUP (negedge D) (posedge CLK) (0.236:0.253:0.271))
(HOLD (posedge D) (posedge CLK) (0.038:0.037:0.037))
(HOLD (negedge D) (posedge CLK) (0.080:0.063:0.046))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0964_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.730:0.730:0.730) (0.648:0.648:0.648))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.234:0.238:0.241))
(SETUP (negedge D) (posedge CLK) (0.240:0.258:0.276))
(HOLD (posedge D) (posedge CLK) (0.038:0.037:0.037))
(HOLD (negedge D) (posedge CLK) (0.075:0.058:0.041))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0965_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.733:0.733:0.733) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0966_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.743:0.743:0.743) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0967_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.210:0.210:0.210))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0968_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0969_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.648:0.648:0.648))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.210:0.210:0.210))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0970_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.744:0.744:0.744) (0.660:0.660:0.660))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.210:0.210:0.210))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0971_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0972_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.744:0.744:0.744) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0973_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.658:0.658:0.658))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0974_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0975_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.738:0.738:0.738) (0.656:0.656:0.656))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0976_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.723:0.723:0.723) (0.642:0.642:0.642))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.210:0.210:0.210))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0977_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.746:0.746:0.746) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0978_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.731:0.731:0.731) (0.649:0.649:0.649))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.196:0.196:0.196))
(SETUP (negedge D) (posedge CLK) (0.211:0.211:0.211))
(HOLD (posedge D) (posedge CLK) (0.060:0.060:0.060))
(HOLD (negedge D) (posedge CLK) (0.100:0.100:0.100))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0979_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.751:0.751:0.751) (0.666:0.666:0.666))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0980_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.724:0.724:0.724) (0.643:0.643:0.643))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.057:0.057:0.057))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0981_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.720:0.720:0.720) (0.640:0.640:0.640))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.057:0.057:0.057))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0982_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.057:0.057:0.057))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0983_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.189:0.189:0.189))
(SETUP (negedge D) (posedge CLK) (0.204:0.204:0.204))
(HOLD (posedge D) (posedge CLK) (0.068:0.068:0.068))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0984_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.790:0.790:0.790) (0.695:0.695:0.695))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.238:0.242:0.245))
(SETUP (negedge D) (posedge CLK) (0.247:0.264:0.281))
(HOLD (posedge D) (posedge CLK) (0.036:0.036:0.035))
(HOLD (negedge D) (posedge CLK) (0.068:0.052:0.036))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0985_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.789:0.789:0.789) (0.696:0.696:0.696))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.230:0.231:0.233))
(SETUP (negedge D) (posedge CLK) (0.241:0.251:0.260))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.040))
(HOLD (negedge D) (posedge CLK) (0.073:0.064:0.055))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0986_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.894:0.894:0.894) (0.771:0.771:0.771))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.226:0.228:0.231))
(SETUP (negedge D) (posedge CLK) (0.239:0.243:0.247))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.041))
(HOLD (negedge D) (posedge CLK) (0.075:0.071:0.067))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0987_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.802:0.802:0.802) (0.705:0.705:0.705))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.246:0.247:0.248))
(SETUP (negedge D) (posedge CLK) (0.243:0.264:0.285))
(HOLD (posedge D) (posedge CLK) (0.038:0.039:0.039))
(HOLD (negedge D) (posedge CLK) (0.071:0.052:0.033))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0988_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.773:0.773:0.773) (0.684:0.684:0.684))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.241:0.242:0.243))
(SETUP (negedge D) (posedge CLK) (0.249:0.263:0.277))
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.066:0.053:0.039))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0989_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.929:0.929:0.929) (0.793:0.793:0.793))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.232:0.235:0.237))
(SETUP (negedge D) (posedge CLK) (0.246:0.250:0.254))
(HOLD (posedge D) (posedge CLK) (0.037:0.037:0.036))
(HOLD (negedge D) (posedge CLK) (0.070:0.066:0.062))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0990_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.859:0.859:0.859) (0.747:0.747:0.747))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.244:0.246:0.247))
(SETUP (negedge D) (posedge CLK) (0.242:0.263:0.283))
(HOLD (posedge D) (posedge CLK) (0.039:0.039:0.040))
(HOLD (negedge D) (posedge CLK) (0.072:0.053:0.034))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0991_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.846:0.846:0.846) (0.738:0.738:0.738))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.240:0.241:0.241))
(SETUP (negedge D) (posedge CLK) (0.236:0.246:0.255))
(HOLD (posedge D) (posedge CLK) (0.039:0.039:0.040))
(HOLD (negedge D) (posedge CLK) (0.077:0.069:0.060))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0992_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.787:0.787:0.787) (0.694:0.694:0.694))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.230:0.235:0.239))
(SETUP (negedge D) (posedge CLK) (0.238:0.262:0.286))
(HOLD (posedge D) (posedge CLK) (0.041:0.040:0.039))
(HOLD (negedge D) (posedge CLK) (0.076:0.054:0.032))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0993_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.747:0.747:0.747) (0.663:0.663:0.663))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0994_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.727:0.727:0.727) (0.646:0.646:0.646))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0995_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.745:0.745:0.745) (0.661:0.661:0.661))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0996_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.725:0.725:0.725) (0.644:0.644:0.644))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0997_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.729:0.729:0.729) (0.648:0.648:0.648))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0998_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.735:0.735:0.735) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _0999_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.198:0.198:0.198))
(SETUP (negedge D) (posedge CLK) (0.212:0.212:0.212))
(HOLD (posedge D) (posedge CLK) (0.058:0.058:0.058))
(HOLD (negedge D) (posedge CLK) (0.098:0.098:0.098))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1000_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.736:0.736:0.736) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1001_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.655:0.655:0.655))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1002_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.746:0.746:0.746) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1003_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.737:0.737:0.737) (0.654:0.654:0.654))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.193:0.193:0.193))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.064:0.064:0.064))
(HOLD (negedge D) (posedge CLK) (0.103:0.103:0.103))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1004_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.732:0.732:0.732) (0.651:0.651:0.651))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.192:0.192:0.192))
(SETUP (negedge D) (posedge CLK) (0.206:0.206:0.206))
(HOLD (posedge D) (posedge CLK) (0.065:0.065:0.065))
(HOLD (negedge D) (posedge CLK) (0.104:0.104:0.104))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1005_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.733:0.733:0.733) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1006_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.739:0.739:0.739) (0.657:0.657:0.657))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1007_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.747:0.747:0.747) (0.662:0.662:0.662))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1008_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1009_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.652:0.652:0.652))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1010_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.747:0.747:0.747) (0.663:0.663:0.663))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.194:0.194:0.194))
(SETUP (negedge D) (posedge CLK) (0.208:0.208:0.208))
(HOLD (posedge D) (posedge CLK) (0.063:0.063:0.063))
(HOLD (negedge D) (posedge CLK) (0.102:0.102:0.102))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1011_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.742:0.742:0.742) (0.659:0.659:0.659))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1012_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.734:0.734:0.734) (0.653:0.653:0.653))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.190:0.190:0.190))
(SETUP (negedge D) (posedge CLK) (0.205:0.205:0.205))
(HOLD (posedge D) (posedge CLK) (0.067:0.067:0.067))
(HOLD (negedge D) (posedge CLK) (0.106:0.106:0.106))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1013_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.751:0.751:0.751) (0.666:0.666:0.666))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.231:0.233:0.235))
(SETUP (negedge D) (posedge CLK) (0.241:0.251:0.262))
(HOLD (posedge D) (posedge CLK) (0.041:0.041:0.040))
(HOLD (negedge D) (posedge CLK) (0.073:0.064:0.054))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__dffq_1")
(INSTANCE _1014_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.853:0.853:0.853) (0.742:0.742:0.742))
)
)
(TIMINGCHECK
(SETUP (posedge D) (posedge CLK) (0.229:0.230:0.232))
(SETUP (negedge D) (posedge CLK) (0.237:0.245:0.253))
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.077:0.070:0.062))
(WIDTH (posedge CLK) (0.495:0.495:0.495))
(WIDTH (negedge CLK) (0.553:0.553:0.553))
(PERIOD CLK (1.107:1.107:1.107))
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_0_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.280:0.280:0.280) (0.294:0.294:0.294))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output1)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.417:0.417:0.417) (0.419:0.419:0.419))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output2)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.419:0.419:0.419) (0.421:0.421:0.421))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output3)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.424:0.424:0.424) (0.424:0.424:0.424))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output4)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.423:0.423:0.423) (0.424:0.424:0.424))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output5)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.414:0.414:0.414) (0.416:0.416:0.416))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output6)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.417:0.417:0.417) (0.419:0.419:0.419))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output7)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.418:0.418:0.418) (0.420:0.420:0.420))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output8)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.435:0.435:0.435) (0.432:0.432:0.432))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output9)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.424:0.424:0.424) (0.424:0.424:0.424))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_3")
(INSTANCE output10)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.418:0.418:0.418) (0.420:0.420:0.420))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_1_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.303:0.303:0.303) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_2_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.305:0.305:0.305) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_3_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.308:0.308:0.308) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_4_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.310:0.310:0.310) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_5_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.305:0.305:0.305) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_6_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.305:0.305:0.305) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_7_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.299:0.299:0.299) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_8_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.294:0.294:0.294) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_9_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.293:0.293:0.293) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_10_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.292:0.292:0.292) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_11_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.296:0.296:0.296) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_12_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.277:0.277:0.277) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_13_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.296:0.296:0.296) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_14_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.310:0.310:0.310) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_15_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.301:0.301:0.301) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_16_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.278:0.278:0.278) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_17_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.299:0.299:0.299) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_18_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.299:0.299:0.299) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_19_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.298:0.298:0.298) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_20_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.295:0.295:0.295) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_21_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.281:0.281:0.281) (0.294:0.294:0.294))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_22_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.293:0.293:0.293) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_23_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.299:0.299:0.299) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_24_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.292:0.292:0.292) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_25_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.311:0.311:0.311) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_26_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.292:0.292:0.292) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_27_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.279:0.279:0.279) (0.292:0.292:0.292))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_29_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.276:0.276:0.276) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_30_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.311:0.311:0.311) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_31_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.287:0.287:0.287) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_32_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.284:0.284:0.284) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_33_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.309:0.309:0.309) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_34_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.284:0.284:0.284) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_35_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.283:0.283:0.283) (0.295:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_36_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.307:0.307:0.307) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_37_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.283:0.283:0.283) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_38_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.297:0.297:0.297) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_39_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.275:0.275:0.275) (0.287:0.287:0.287))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_40_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.292:0.292:0.292) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_41_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.292:0.292:0.292) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_42_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.292:0.292:0.292) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_43_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.301:0.301:0.301) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_44_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.283:0.283:0.283) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_45_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.299:0.299:0.299) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_46_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.293:0.293:0.293) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_47_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.284:0.284:0.284) (0.298:0.298:0.298))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_48_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.304:0.304:0.304) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_49_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.304:0.304:0.304) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_leaf_50_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.284:0.284:0.284) (0.299:0.299:0.299))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_0_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.333:0.333:0.333) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_2_0__f_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.450:0.450:0.450) (0.464:0.464:0.464))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_2_1__f_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.443:0.443:0.443) (0.458:0.458:0.458))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_2_2__f_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.430:0.430:0.430) (0.444:0.444:0.444))
)
)
)
(CELL
(CELLTYPE "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16")
(INSTANCE clkbuf_2_3__f_clk)
(DELAY
(ABSOLUTE
(IOPATH I Z (0.437:0.437:0.437) (0.452:0.452:0.452))
)
)
)
)