This is a squashed commit of gfmpw-0c tagged caravel.

This corresponds with the contents of
https://github.com/efabless/caravel_user_project.git,
tag gfmpw-0c, commit 17bbec3

It has been made with the following commands:

% git clone -o efabless_caravel --depth 1 --branch gf180mcu \
  https://github.com/efabless/caravel_user_project.git gfmpw-caravel
% cd gfmpw-caravel
% git checkout --orphan efabless-caravel
% git commit
<write this message>

committer: staf.verhaegen@chipflow.io
See upstream project for authors of this commit:
https://github.com/efabless/caravel_user_project/commits/gfmpw-0c
70 files changed
tree: 9c43b2921a5dc3afac4bd597e0f597cef22e51ce
  1. .github/
  2. def/
  3. docs/
  4. gds/
  5. lef/
  6. lib/
  7. mag/
  8. maglef/
  9. openlane/
  10. sdc/
  11. sdf/
  12. signoff/
  13. spef/
  14. spi/
  15. verilog/
  16. .gitignore
  17. LICENSE
  18. Makefile
  19. README.md
README.md

Caravel User Project

License UPRJ_CI Caravel Build

:exclamation: Important Note

Please fill in your project documentation in this README.md file

Refer to README for this sample project documentation.