blob: 5595d8d47206671ac4845ecf47e52343eb1b408e [file] [log] [blame]
./yosys_e -p "read_verilog as2650.v; synth -top as2650; write_verilog synthesized.v;" && clear