Add files via upload
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION b/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION
new file mode 100644
index 0000000..fabca1a
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION
@@ -0,0 +1 @@
+OpenLane cb59d1f84deb5cedbb5b0a3e3f3b4129a967c988
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/PDK_SOURCES b/openlane/user_project_wrapper/runs/user_project_wrapper/PDK_SOURCES
new file mode 100644
index 0000000..59f6ae6
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/PDK_SOURCES
@@ -0,0 +1 @@
+open_pdks 0059588eebfc704681dc2368bd1d33d96281d10f
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log b/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log
new file mode 100644
index 0000000..3cc63d5
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log
@@ -0,0 +1,118 @@
+Sat Dec 03 21:43:47 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef -i /home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/techlef/gf180mcu_fd_sc_mcu7t5v0.tlef /home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/lef/gf180mcu_fd_sc_mcu7t5v0.lef |& tee /dev/null"
+
+Sat Dec 03 21:43:47 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef -i /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../lef/cntr_example.lef |& tee /dev/null"
+
+Sat Dec 03 21:43:47 UTC 2022 - Executing "python3 /openlane/scripts/mergeLib.py --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/merged.lib --name gf180mcuC_merged /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib"
+
+Sat Dec 03 21:43:48 UTC 2022 - Executing "python3 /openlane/scripts/libtrim.py --cell-file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/trimmed.lib.exclude.list --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/trimmed.lib /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/merged.lib"
+
+Sat Dec 03 21:43:48 UTC 2022 - Executing "python3 /openlane/scripts/libtrim.py --cell-file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/cts/cts.lib.exclude.list --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/cts/cts.lib /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib"
+
+Sat Dec 03 21:43:49 UTC 2022 - Executing "python3 /openlane/scripts/new_tracks.py -i /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/tracks.info -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/config.tracks"
+
+Sat Dec 03 21:43:49 UTC 2022 - Executing "echo {OpenLane cb59d1f84deb5cedbb5b0a3e3f3b4129a967c988} > /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/OPENLANE_VERSION"
+
+Sat Dec 03 21:43:49 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib > /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/1-gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.no_pg.lib"
+
+Sat Dec 03 21:43:49 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/trimmed.lib > /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/1-trimmed.no_pg.lib"
+
+Sat Dec 03 21:43:49 UTC 2022 - Executing "yosys -c /openlane/scripts/yosys/elaborate.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/synthesis/1-synthesis.log"
+
+Sat Dec 03 21:43:50 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/htf6ry\/gf180-demo\/openlane\/user_project_wrapper\/runs\/22_12_03_16_43\/results\/synthesis\/user_project_wrapper.v/} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl"
+
+Sat Dec 03 21:43:50 UTC 2022 - Executing "sed -i /defparam/d /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/synthesis/user_project_wrapper.v"
+
+Sat Dec 03 21:43:50 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/synthesis/2-sta.log"
+
+Sat Dec 03 21:43:51 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib > /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/2-gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.no_pg.lib"
+
+Sat Dec 03 21:43:51 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/trimmed.lib > /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/2-trimmed.no_pg.lib"
+
+Sat Dec 03 21:43:51 UTC 2022 - Executing "yosys -c /openlane/scripts/yosys/elaborate.tcl |& tee /dev/null /dev/null"
+
+Sat Dec 03 21:43:52 UTC 2022 - Executing "sed -i /defparam/d /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/synthesis/user_project_wrapper.v"
+
+Sat Dec 03 21:43:52 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/floorplan.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan/3-initial_fp.log"
+
+Sat Dec 03 21:43:53 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/floorplan.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan/3-initial_fp.log"
+
+Sat Dec 03 21:43:53 UTC 2022 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/defutil.py extract_core_dims --output-data /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/dimensions.txt --input-lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/3-initial_fp.def"
+
+Sat Dec 03 21:43:54 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/ioplacer.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan/4-io.log"
+
+Sat Dec 03 21:43:54 UTC 2022 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/apply_def_template.py --def-template /home/htf6ry/gf180-demo/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def --input-lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef --output-def /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.def --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.odb /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.odb |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan/4-apply_def_template.log"
+
+Sat Dec 03 21:43:55 UTC 2022 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/manual_macro_place.py --config /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/placement/macro_placement.cfg --fixed --input-lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef --output-def /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.macro_placement.def --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.macro_placement.odb /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.odb |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement/5-macro_placement.log"
+
+Sat Dec 03 21:43:55 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/pdn.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan/6-pdn.log"
+
+Sat Dec 03 21:43:56 UTC 2022 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/random_place.py --input-lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef --output-def /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/placement/7-global.def --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/placement/7-global.odb /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/6-pdn.odb |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement/7-global.log"
+
+Sat Dec 03 21:43:56 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/dpl.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement/8-detailed.log"
+
+Sat Dec 03 21:43:57 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/htf6ry\/gf180-demo\/openlane\/user_project_wrapper\/runs\/22_12_03_16_43\/results\/placement\/user_project_wrapper.nl.v/} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl"
+
+Sat Dec 03 21:43:57 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/resizer_routing_timing.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/9-resizer.log"
+
+Sat Dec 03 21:43:59 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/htf6ry\/gf180-demo\/openlane\/user_project_wrapper\/runs\/22_12_03_16_43\/tmp\/9-user_project_wrapper.nl.v/} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl"
+
+Sat Dec 03 21:43:59 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/dpl.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/10-diode_legalization.log"
+
+Sat Dec 03 21:44:00 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/htf6ry\/gf180-demo\/openlane\/user_project_wrapper\/runs\/22_12_03_16_43\/tmp\/routing\/diode.nl.v/} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl"
+
+Sat Dec 03 21:44:00 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/groute.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/11-global.log"
+
+Sat Dec 03 21:44:02 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_views.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/11-global_write_netlist.log"
+
+Sat Dec 03 21:44:02 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/htf6ry\/gf180-demo\/openlane\/user_project_wrapper\/runs\/22_12_03_16_43\/tmp\/routing\/global.nl.v/} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl"
+
+Sat Dec 03 21:44:03 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/droute.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/13-detailed.log"
+
+Sat Dec 03 21:44:18 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/htf6ry\/gf180-demo\/openlane\/user_project_wrapper\/runs\/22_12_03_16_43\/results\/routing\/user_project_wrapper.nl.v/} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl"
+
+Sat Dec 03 21:44:18 UTC 2022 - Executing "python3 /openlane/scripts/drc_rosetta.py tr to_klayout -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/routing/drt.klayout.xml --design-name user_project_wrapper /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/routing/drt.drc"
+
+Sat Dec 03 21:44:18 UTC 2022 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/wire_lengths.py --report-out /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/routing/14-wire_lengths.csv --input-lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef --output-def /dev/null --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.odb /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.odb |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/14-wire_lengths.log"
+
+Sat Dec 03 21:44:19 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/15-parasitics_extraction.nom.log"
+
+Sat Dec 03 21:44:20 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta_multi_corner.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/16-rcx_mcsta.nom.log"
+
+Sat Dec 03 21:44:22 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/17-rcx_sta.log"
+
+Sat Dec 03 21:44:23 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc < /openlane/scripts/magic/def/mag_gds.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/18-gdsii.log"
+
+Sat Dec 03 21:44:27 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc < /openlane/scripts/magic/gds/mag_with_pointers.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/18-gds_ptrs.log"
+
+Sat Dec 03 21:44:31 UTC 2022 - Executing "sed -i -n {/^<< properties >>/,/^<< end >>/p} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/gds_ptrs.mag"
+
+Sat Dec 03 21:44:31 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc < /openlane/scripts/magic/mag/lef.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/18-lef.log"
+
+Sat Dec 03 21:44:32 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc < /openlane/scripts/magic/lef/maglef.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/18-maglef.log"
+
+Sat Dec 03 21:44:32 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc < /openlane/scripts/magic/extract_spice.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/19-spice.log"
+
+Sat Dec 03 21:44:36 UTC 2022 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/power_utils.py write_powered_def --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.p.def --input-lef /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef --power-port vdd --ground-port vss --powered-netlist /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/pg_define.v /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/20-write_powered_def.log"
+
+Sat Dec 03 21:44:38 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_views.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/20-write_powered_verilog.log"
+
+Sat Dec 03 21:44:39 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/htf6ry\/gf180-demo\/openlane\/user_project_wrapper\/runs\/22_12_03_16_43\/tmp\/signoff\/19-user_project_wrapper.nl.v/} /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl"
+
+Sat Dec 03 21:44:39 UTC 2022 - Executing "netgen -batch source /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/22-setup_file.lef.lvs |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/22-lvs.lef.log"
+
+Sat Dec 03 21:44:39 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc < /openlane/scripts/magic/drc.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/23-drc.log"
+
+Sat Dec 03 21:47:17 UTC 2022 - Executing "python3 /openlane/scripts/drc_rosetta.py magic to_tcl -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.tcl /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.rpt"
+
+Sat Dec 03 21:47:17 UTC 2022 - Executing "python3 /openlane/scripts/drc_rosetta.py magic to_tr -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.tr /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.rpt"
+
+Sat Dec 03 21:47:17 UTC 2022 - Executing "python3 /openlane/scripts/drc_rosetta.py tr to_klayout -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.klayout.xml --design-name user_project_wrapper /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.tr"
+
+Sat Dec 03 21:47:17 UTC 2022 - Executing "python3 /openlane/scripts/drc_rosetta.py magic to_rdb -o /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.rdb /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.rpt"
+
+Sat Dec 03 21:47:17 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/antenna_check.tcl |& tee /dev/null /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/24-antenna.log"
+
+Sat Dec 03 21:47:18 UTC 2022 - Executing "python3 /openlane/scripts/extract_antenna_violators.py --output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/24-antenna_violators.rpt /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/24-antenna.log"
+
+Sat Dec 03 21:47:19 UTC 2022 - Executing "python3 /openlane/scripts/generate_reports.py -d /home/htf6ry/gf180-demo/openlane/user_project_wrapper --design_name user_project_wrapper --tag 22_12_03_16_43 --output_file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/metrics.csv --man_report /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/manufacturability.rpt --run_path /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43"
+
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
new file mode 100644
index 0000000..6bc2f2c
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
@@ -0,0 +1,739 @@
+# Run configs
+set ::env(PDK_ROOT) {/home/htf6ry/GF180PDK/}
+set ::env(BASE_SDC_FILE) {/openlane/scripts/base.sdc}
+set ::env(BOTTOM_MARGIN_MULT) {4}
+set ::env(CARRY_SELECT_ADDER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/csa_map.v}
+set ::env(CELLS_LEF) {/home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/lef/gf180mcu_fd_sc_mcu7t5v0.lef}
+set ::env(CELL_PAD_EXCLUDE) {gf180mcu_fd_sc_mcu7t5v0__filltie_* gf180mcu_fd_sc_mcu7t5v0__filldecap_* gf180mcu_fd_sc_mcu7t5v0__fill_* gf180mcu_fd_sc_mcu7t5v0__endcap_*}
+set ::env(CHECK_ASSIGN_STATEMENTS) {0}
+set ::env(CHECK_UNMAPPED_CELLS) {1}
+set ::env(CLOCK_BUFFER_FANOUT) {16}
+set ::env(CLOCK_NET) {cntr_example_1.clk}
+set ::env(CLOCK_PERIOD) {65}
+set ::env(CLOCK_PORT) {user_clock2}
+set ::env(CLOCK_TREE_SYNTH) {0}
+set ::env(CLOCK_WIRE_RC_LAYER) {Metal4}
+set ::env(CONFIGS) {general.tcl checkers.tcl synthesis.tcl floorplan.tcl cts.tcl placement.tcl routing.tcl extraction.tcl}
+set ::env(CORE_AREA) {21.5 21.5 2978.5 2978.5}
+set ::env(CTS_CLK_BUFFER_LIST) {gf180mcu_fd_sc_mcu7t5v0__clkbuf_2 gf180mcu_fd_sc_mcu7t5v0__clkbuf_4 gf180mcu_fd_sc_mcu7t5v0__clkbuf_8}
+set ::env(CTS_CLK_MAX_WIRE_LENGTH) {0}
+set ::env(CTS_DISABLE_POST_PROCESSING) {0}
+set ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {0}
+set ::env(CTS_MAX_CAP) {0.5}
+set ::env(CTS_REPORT_TIMING) {1}
+set ::env(CTS_ROOT_BUFFER) {gf180mcu_fd_sc_mcu7t5v0__clkbuf_16}
+set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {50}
+set ::env(CTS_SINK_CLUSTERING_SIZE) {25}
+set ::env(CTS_TARGET_SKEW) {200}
+set ::env(CTS_TOLERANCE) {100}
+set ::env(DATA_WIRE_RC_LAYER) {Metal2}
+set ::env(DECAP_CELL) {gf180mcu_fd_sc_mcu7t5v0__fillcap_*}
+set ::env(DEFAULT_MAX_TRAN) {3}
+set ::env(DEF_UNITS_PER_MICRON) {2000}
+set ::env(DESIGN_CONFIG) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/config.tcl}
+set ::env(DESIGN_IS_CORE) {1}
+set ::env(DESIGN_NAME) {user_project_wrapper}
+set ::env(DETAILED_ROUTER) {tritonroute}
+set ::env(DIE_AREA) {0 0 3000 3000}
+set ::env(DIODE_CELL) {gf180mcu_fd_sc_mcu7t5v0__antenna}
+set ::env(DIODE_CELL_PIN) {I}
+set ::env(DIODE_INSERTION_STRATEGY) {0}
+set ::env(DIODE_PADDING) {2}
+set ::env(DPL_CELL_PADDING) {2}
+set ::env(DRC_EXCLUDE_CELL_LIST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/drc_exclude.cells}
+set ::env(DRC_EXCLUDE_CELL_LIST_OPT) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/drc_exclude.cells}
+set ::env(DRT_MIN_LAYER) {Metal1}
+set ::env(DRT_OPT_ITERS) {64}
+set ::env(ECO_ENABLE) {0}
+set ::env(ECO_FINISH) {0}
+set ::env(ECO_ITER) {0}
+set ::env(ECO_SKIP_PIN) {1}
+set ::env(EXTRA_GDS_FILES) { /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../gds/cntr_example.gds}
+set ::env(EXTRA_LEFS) { /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../lef/cntr_example.lef}
+set ::env(FILL_CELL) {gf180mcu_fd_sc_mcu7t5v0__fill_*}
+set ::env(FP_ASPECT_RATIO) {1}
+set ::env(FP_CORE_UTIL) {50}
+set ::env(FP_DEF_TEMPLATE) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def}
+set ::env(FP_ENDCAP_CELL) {gf180mcu_fd_sc_mcu7t5v0__endcap}
+set ::env(FP_IO_HEXTEND) {4.8}
+set ::env(FP_IO_HLAYER) {Metal3}
+set ::env(FP_IO_HLENGTH) {2.4}
+set ::env(FP_IO_HTHICKNESS_MULT) {4}
+set ::env(FP_IO_MIN_DISTANCE) {3}
+set ::env(FP_IO_MODE) {1}
+set ::env(FP_IO_UNMATCHED_ERROR) {1}
+set ::env(FP_IO_VEXTEND) {4.8}
+set ::env(FP_IO_VLAYER) {Metal2}
+set ::env(FP_IO_VLENGTH) {2.4}
+set ::env(FP_IO_VTHICKNESS_MULT) {4}
+set ::env(FP_PDN_AUTO_ADJUST) {1}
+set ::env(FP_PDN_CHECK_NODES) {0}
+set ::env(FP_PDN_CORE_RING) {1}
+set ::env(FP_PDN_CORE_RING_HOFFSET) {14}
+set ::env(FP_PDN_CORE_RING_HSPACING) {1.7}
+set ::env(FP_PDN_CORE_RING_HWIDTH) {3.1}
+set ::env(FP_PDN_CORE_RING_VOFFSET) {14}
+set ::env(FP_PDN_CORE_RING_VSPACING) {1.7}
+set ::env(FP_PDN_CORE_RING_VWIDTH) {3.1}
+set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) {1}
+set ::env(FP_PDN_ENABLE_MACROS_GRID) {1}
+set ::env(FP_PDN_ENABLE_RAILS) {0}
+set ::env(FP_PDN_HOFFSET) {5}
+set ::env(FP_PDN_HORIZONTAL_HALO) {10}
+set ::env(FP_PDN_HPITCH) {90}
+set ::env(FP_PDN_HPITCH_MULT) {1}
+set ::env(FP_PDN_HSPACING) {26.9}
+set ::env(FP_PDN_HWIDTH) {3.1}
+set ::env(FP_PDN_IRDROP) {1}
+set ::env(FP_PDN_LOWER_LAYER) {Metal4}
+set ::env(FP_PDN_MACRO_HOOKS) { cntr_example_1 vdd vss vdd vss}
+set ::env(FP_PDN_RAILS_LAYER) {Metal1}
+set ::env(FP_PDN_RAIL_OFFSET) {0}
+set ::env(FP_PDN_RAIL_WIDTH) {0.6}
+set ::env(FP_PDN_SKIPTRIM) {0}
+set ::env(FP_PDN_UPPER_LAYER) {Metal5}
+set ::env(FP_PDN_VERTICAL_HALO) {10}
+set ::env(FP_PDN_VOFFSET) {5}
+set ::env(FP_PDN_VPITCH) {90}
+set ::env(FP_PDN_VSPACING) {15.5}
+set ::env(FP_PDN_VWIDTH) {3.1}
+set ::env(FP_SIZING) {absolute}
+set ::env(FP_TAPCELL_DIST) {20}
+set ::env(FP_TAP_HORIZONTAL_HALO) {10}
+set ::env(FP_TAP_VERTICAL_HALO) {10}
+set ::env(FP_WELLTAP_CELL) {gf180mcu_fd_sc_mcu7t5v0__filltie}
+set ::env(FULL_ADDER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/fa_map.v}
+set ::env(GDS_FILES) {/home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/gds/gf180mcu_fd_sc_mcu7t5v0.gds}
+set ::env(GENERATE_FINAL_SUMMARY_REPORT) {1}
+set ::env(GLB_CFG_FILE) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl}
+set ::env(GLB_OPTIMIZE_MIRRORING) {1}
+set ::env(GLB_RESIZER_ALLOW_SETUP_VIOS) {0}
+set ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50}
+set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.05}
+set ::env(GLB_RESIZER_MAX_CAP_MARGIN) {10}
+set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) {10}
+set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) {0}
+set ::env(GLB_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50}
+set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) {0.025}
+set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) {1}
+set ::env(GLOBAL_ROUTER) {fastroute}
+set ::env(GND_NETS) {vss}
+set ::env(GND_PIN) {VSS}
+set ::env(GPIO_PADS_LEF) { /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_io/lef/GF018green_ipio_5p0c_75_5lm.lef
+}
+set ::env(GPIO_PADS_VERILOG) { /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_io/verilog/GF018green_ipio_5p0c_75_5lm.v
+}
+set ::env(GPL_CELL_PADDING) {0}
+set ::env(GRT_ADJUSTMENT) {0.3}
+set ::env(GRT_ALLOW_CONGESTION) {0}
+set ::env(GRT_ANT_ITERS) {3}
+set ::env(GRT_ESTIMATE_PARASITICS) {1}
+set ::env(GRT_LAYER_ADJUSTMENTS) {0,0,0,0,0}
+set ::env(GRT_MACRO_EXTENSION) {0}
+set ::env(GRT_MAX_DIODE_INS_ITERS) {1}
+set ::env(GRT_OVERFLOW_ITERS) {50}
+set ::env(IO_PCT) {0.2}
+set ::env(KLAYOUT_DRC_KLAYOUT_GDS) {0}
+set ::env(KLAYOUT_DRC_TECH_SCRIPT) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/klayout/gf180mcuC_mr.drc}
+set ::env(KLAYOUT_PROPERTIES) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/klayout/gf180mcuC.lyp}
+set ::env(KLAYOUT_TECH) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/klayout/gf180mcuC.lyt}
+set ::env(KLAYOUT_XOR_GDS) {1}
+set ::env(KLAYOUT_XOR_XML) {1}
+set ::env(LEC_ENABLE) {0}
+set ::env(LEFT_MARGIN_MULT) {12}
+set ::env(LIB_FASTEST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__ff_n40C_5v50.lib}
+set ::env(LIB_SLOWEST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__ss_125C_1v62.lib}
+set ::env(LIB_SYNTH) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib}
+set ::env(LIB_TYPICAL) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib}
+set ::env(LOGS_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs}
+set ::env(LVS_CONNECT_BY_LABEL) {0}
+set ::env(LVS_INSERT_POWER_PINS) {1}
+set ::env(MACRO_BLOCKAGES_LAYER) {Metal1 Metal2 Metal3 Metal4 Metal5}
+set ::env(MACRO_PLACEMENT_CFG) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/macro.cfg}
+set ::env(MAGIC_CONVERT_DRC_TO_RDB) {1}
+set ::env(MAGIC_DEF_LABELS) {1}
+set ::env(MAGIC_DEF_NO_BLOCKAGES) {1}
+set ::env(MAGIC_DISABLE_HIER_GDS) {1}
+set ::env(MAGIC_DRC_USE_GDS) {1}
+set ::env(MAGIC_EXT_USE_GDS) {0}
+set ::env(MAGIC_GENERATE_GDS) {1}
+set ::env(MAGIC_GENERATE_LEF) {1}
+set ::env(MAGIC_GENERATE_MAGLEF) {1}
+set ::env(MAGIC_INCLUDE_GDS_POINTERS) {0}
+set ::env(MAGIC_MAGICRC) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc}
+set ::env(MAGIC_PAD) {0}
+set ::env(MAGIC_TECH_FILE) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.tech}
+set ::env(MAGIC_WRITE_FULL_LEF) {0}
+set ::env(MAGIC_ZEROIZE_ORIGIN) {0}
+set ::env(METAL_LAYER_NAMES) {Metal1 Metal2 Metal3 Metal4 Metal5}
+set ::env(NETGEN_SETUP_FILE) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/netgen/gf180mcuC_setup.tcl}
+set ::env(NO_SYNTH_CELL_LIST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/no_synth.cells}
+set ::env(OPENLANE_VERBOSE) {0}
+set ::env(PDKPATH) {/home/htf6ry/GF180PDK//gf180mcuC}
+set ::env(PDN_CFG) {/openlane/scripts/openroad/common/pdn_cfg.tcl}
+set ::env(PLACE_SITE) {GF018hv5v_mcu_sc7}
+set ::env(PLACE_SITE_HEIGHT) {3.92}
+set ::env(PLACE_SITE_WIDTH) {0.56}
+set ::env(PL_BASIC_PLACEMENT) {0}
+set ::env(PL_ESTIMATE_PARASITICS) {1}
+set ::env(PL_LIB) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib}
+set ::env(PL_MACRO_CHANNEL) {0 0}
+set ::env(PL_MACRO_HALO) {0 0}
+set ::env(PL_MAX_DISPLACEMENT_X) {500}
+set ::env(PL_MAX_DISPLACEMENT_Y) {100}
+set ::env(PL_OPTIMIZE_MIRRORING) {1}
+set ::env(PL_RANDOM_GLB_PLACEMENT) {1}
+set ::env(PL_RANDOM_INITIAL_PLACEMENT) {0}
+set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) {0}
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) {0}
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) {0}
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) {0}
+set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50}
+set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}
+set ::env(PL_RESIZER_MAX_CAP_MARGIN) {20}
+set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {20}
+set ::env(PL_RESIZER_MAX_WIRE_LENGTH) {0}
+set ::env(PL_RESIZER_REPAIR_TIE_FANOUT) {1}
+set ::env(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50}
+set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) {0.05}
+set ::env(PL_RESIZER_TIE_SEPERATION) {0}
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) {0}
+set ::env(PL_ROUTABILITY_DRIVEN) {1}
+set ::env(PL_SKIP_INITIAL_PLACEMENT) {0}
+set ::env(PL_TARGET_DENSITY) {0.55}
+set ::env(PL_TIME_DRIVEN) {1}
+set ::env(PRIMARY_SIGNOFF_TOOL) {magic}
+set ::env(PROCESS) {180}
+set ::env(QUIT_ON_HOLD_VIOLATIONS) {1}
+set ::env(QUIT_ON_ILLEGAL_OVERLAPS) {1}
+set ::env(QUIT_ON_LONG_WIRE) {0}
+set ::env(QUIT_ON_LVS_ERROR) {1}
+set ::env(QUIT_ON_MAGIC_DRC) {1}
+set ::env(QUIT_ON_SETUP_VIOLATIONS) {1}
+set ::env(QUIT_ON_TIMING_VIOLATIONS) {1}
+set ::env(QUIT_ON_TR_DRC) {1}
+set ::env(RCX_CC_MODEL) {10}
+set ::env(RCX_CONTEXT_DEPTH) {5}
+set ::env(RCX_CORNER_COUNT) {1}
+set ::env(RCX_COUPLING_THRESHOLD) {0.1}
+set ::env(RCX_MAX_RESISTANCE) {50}
+set ::env(RCX_MERGE_VIA_WIRE_RES) {1}
+set ::env(RCX_RULES) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.nom.magic}
+set ::env(RCX_RULES_MAX) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.max.magic}
+set ::env(RCX_RULES_MIN) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.min.magic}
+set ::env(REPORTS_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports}
+set ::env(RESULTS_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results}
+set ::env(RIGHT_MARGIN_MULT) {12}
+set ::env(RIPPLE_CARRY_ADDER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/rca_map.v}
+set ::env(ROUTING_CORES) {2}
+set ::env(RSZ_DONT_TOUCH_RX) {$^}
+set ::env(RSZ_USE_OLD_REMOVER) {0}
+set ::env(RT_MAX_LAYER) {Metal4}
+set ::env(RT_MIN_LAYER) {Metal2}
+set ::env(RUN_CVC) {0}
+set ::env(RUN_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43}
+set ::env(RUN_DRT) {1}
+set ::env(RUN_FILL_INSERTION) {0}
+set ::env(RUN_IRDROP_REPORT) {0}
+set ::env(RUN_KLAYOUT) {0}
+set ::env(RUN_KLAYOUT_DRC) {0}
+set ::env(RUN_KLAYOUT_XOR) {0}
+set ::env(RUN_LVS) {1}
+set ::env(RUN_MAGIC) {1}
+set ::env(RUN_MAGIC_DRC) {1}
+set ::env(RUN_SPEF_EXTRACTION) {1}
+set ::env(RUN_TAG) {22_12_03_16_43}
+set ::env(RUN_TAP_DECAP_INSERTION) {0}
+set ::env(SCLPATH) {/home/htf6ry/GF180PDK//gf180mcuC/gf180mcu_fd_sc_mcu7t5v0}
+set ::env(SPEF_EXTRACTOR) {openrcx}
+set ::env(START_TIME) {2022.12.03_21.43.47}
+set ::env(STA_REPORT_POWER) {1}
+set ::env(STA_WRITE_LIB) {1}
+set ::env(STD_CELL_GROUND_PINS) {VSS}
+set ::env(STD_CELL_LIBRARY) {gf180mcu_fd_sc_mcu7t5v0}
+set ::env(STD_CELL_LIBRARY_CDL) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/cdl/gf180mcu_fd_sc_mcu7t5v0.cdl}
+set ::env(STD_CELL_LIBRARY_OPT) {gf180mcu_fd_sc_mcu7t5v0}
+set ::env(STD_CELL_POWER_PINS) {VDD}
+set ::env(SYNTH_ADDER_TYPE) {YOSYS}
+set ::env(SYNTH_BIN) {yosys}
+set ::env(SYNTH_BUFFERING) {1}
+set ::env(SYNTH_CAP_LOAD) {72.91}
+set ::env(SYNTH_CLK_DRIVING_CELL) {gf180mcu_fd_sc_mcu7t5v0__inv_4}
+set ::env(SYNTH_CLK_DRIVING_CELL_PIN) {ZN}
+set ::env(SYNTH_CLOCK_TRANSITION) {0.15}
+set ::env(SYNTH_CLOCK_UNCERTAINTY) {0.25}
+set ::env(SYNTH_DRIVING_CELL) {gf180mcu_fd_sc_mcu7t5v0__inv_1}
+set ::env(SYNTH_DRIVING_CELL_PIN) {ZN}
+set ::env(SYNTH_ELABORATE_ONLY) {1}
+set ::env(SYNTH_EXTRA_MAPPING_FILE) {}
+set ::env(SYNTH_FLAT_TOP) {0}
+set ::env(SYNTH_LATCH_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/latch_map.v}
+set ::env(SYNTH_MAX_FANOUT) {10}
+set ::env(SYNTH_MIN_BUF_PORT) {gf180mcu_fd_sc_mcu7t5v0__buf_1 I Z}
+set ::env(SYNTH_NO_FLAT) {0}
+set ::env(SYNTH_READ_BLACKBOX_LIB) {0}
+set ::env(SYNTH_SCRIPT) {/openlane/scripts/yosys/synth.tcl}
+set ::env(SYNTH_SHARE_RESOURCES) {1}
+set ::env(SYNTH_SIZING) {0}
+set ::env(SYNTH_STRATEGY) {AREA 0}
+set ::env(SYNTH_TIEHI_PORT) {gf180mcu_fd_sc_mcu7t5v0__tieh Z}
+set ::env(SYNTH_TIELO_PORT) {gf180mcu_fd_sc_mcu7t5v0__tiel ZN}
+set ::env(SYNTH_TIMING_DERATE) {0.05}
+set ::env(SYNTH_USE_PG_PINS_DEFINES) {USE_POWER_PINS}
+set ::env(TAKE_LAYOUT_SCROT) {0}
+set ::env(TECH_LEF) {/home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/techlef/gf180mcu_fd_sc_mcu7t5v0.tlef}
+set ::env(TERMINAL_OUTPUT) {/dev/null}
+set ::env(TMP_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp}
+set ::env(TOP_MARGIN_MULT) {4}
+set ::env(TRACKS_INFO_FILE) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/tracks.info}
+set ::env(TRISTATE_BUFFER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/tribuff_map.v}
+set ::env(USE_ARC_ANTENNA_CHECK) {1}
+set ::env(USE_GPIO_PADS) {0}
+set ::env(VDD_NETS) {vdd}
+set ::env(VDD_PIN) {VDD}
+set ::env(VERILOG_FILES) { /home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v}
+set ::env(VERILOG_FILES_BLACKBOX) { /home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/cntr_example.v}
+set ::env(WIRE_RC_LAYER) {Metal2}
+set ::env(YOSYS_REWRITE_VERILOG) {0}
+set ::env(cts_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/cts}
+set ::env(cts_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/cts}
+set ::env(cts_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/cts}
+set ::env(cts_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/cts}
+set ::env(eco_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/eco}
+set ::env(eco_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/eco}
+set ::env(eco_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/eco}
+set ::env(eco_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/eco}
+set ::env(floorplan_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan}
+set ::env(floorplan_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/floorplan}
+set ::env(floorplan_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/floorplan}
+set ::env(floorplan_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan}
+set ::env(placement_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement}
+set ::env(placement_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/placement}
+set ::env(placement_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement}
+set ::env(placement_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/placement}
+set ::env(routing_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing}
+set ::env(routing_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/routing}
+set ::env(routing_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing}
+set ::env(routing_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing}
+set ::env(signoff_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff}
+set ::env(signoff_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff}
+set ::env(signoff_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff}
+set ::env(signoff_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff}
+set ::env(synthesis_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/synthesis}
+set ::env(synthesis_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/synthesis}
+set ::env(synthesis_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/synthesis}
+set ::env(synthesis_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis}
+set ::env(SYNTH_MAX_TRAN) {3}
+set ::env(CURRENT_INDEX) 24
+set ::env(CURRENT_DEF) /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def
+set ::env(CURRENT_GUIDE) /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.guide
+set ::env(CURRENT_NETLIST) /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.nl.v
+set ::env(CURRENT_POWERED_NETLIST) {0}
+set ::env(CURRENT_ODB) /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.odb
+set ::env(PDK_ROOT) {/home/htf6ry/GF180PDK/}
+set ::env(ANTENNA_CHECK_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.p.def}
+set ::env(ANTENNA_VIOLATOR_LIST) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/24-antenna_violators.rpt}
+set ::env(BASE_SDC_FILE) {/openlane/scripts/base.sdc}
+set ::env(BASIC_PREP_COMPLETE) {1}
+set ::env(BOTTOM_MARGIN_MULT) {4}
+set ::env(CARAVEL_ROOT) {/home/htf6ry/gf180-demo/caravel}
+set ::env(CARRY_SELECT_ADDER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/csa_map.v}
+set ::env(CELLS_LEF) {/home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/lef/gf180mcu_fd_sc_mcu7t5v0.lef}
+set ::env(CELL_PAD_EXCLUDE) {gf180mcu_fd_sc_mcu7t5v0__filltie_* gf180mcu_fd_sc_mcu7t5v0__filldecap_* gf180mcu_fd_sc_mcu7t5v0__fill_* gf180mcu_fd_sc_mcu7t5v0__endcap_*}
+set ::env(CHECK_ASSIGN_STATEMENTS) {0}
+set ::env(CHECK_UNMAPPED_CELLS) {1}
+set ::env(CLOCK_BUFFER_FANOUT) {16}
+set ::env(CLOCK_NET) {cntr_example_1.clk}
+set ::env(CLOCK_PERIOD) {65}
+set ::env(CLOCK_PORT) {user_clock2}
+set ::env(CLOCK_TREE_SYNTH) {0}
+set ::env(CLOCK_WIRE_RC_LAYER) {Metal4}
+set ::env(CONFIGS) {general.tcl checkers.tcl synthesis.tcl floorplan.tcl cts.tcl placement.tcl routing.tcl extraction.tcl}
+set ::env(CORE_AREA) {21.84 23.52 2978.08 2975.28}
+set ::env(CORE_HEIGHT) {2951.76}
+set ::env(CORE_WIDTH) {2956.24}
+set ::env(CTS_CLK_BUFFER_LIST) {gf180mcu_fd_sc_mcu7t5v0__clkbuf_2 gf180mcu_fd_sc_mcu7t5v0__clkbuf_4 gf180mcu_fd_sc_mcu7t5v0__clkbuf_8}
+set ::env(CTS_CLK_MAX_WIRE_LENGTH) {0}
+set ::env(CTS_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement/user_project_wrapper.def}
+set ::env(CTS_DISABLE_POST_PROCESSING) {0}
+set ::env(CTS_DISTANCE_BETWEEN_BUFFERS) {0}
+set ::env(CTS_MAX_CAP) {0.5}
+set ::env(CTS_REPORT_TIMING) {1}
+set ::env(CTS_ROOT_BUFFER) {gf180mcu_fd_sc_mcu7t5v0__clkbuf_16}
+set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) {50}
+set ::env(CTS_SINK_CLUSTERING_SIZE) {25}
+set ::env(CTS_TARGET_SKEW) {200}
+set ::env(CTS_TOLERANCE) {100}
+set ::env(CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.p.def}
+set ::env(CURRENT_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing}
+set ::env(CURRENT_GDS) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff/user_project_wrapper.gds}
+set ::env(CURRENT_GUIDE) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.guide}
+set ::env(CURRENT_INDEX) {24}
+set ::env(CURRENT_LIB) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.lib}
+set ::env(CURRENT_NETLIST) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.nl.v}
+set ::env(CURRENT_ODB) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.odb}
+set ::env(CURRENT_POWERED_NETLIST) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.pnl.v}
+set ::env(CURRENT_SDC) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.sdc}
+set ::env(CURRENT_SDF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.sdf}
+set ::env(CURRENT_SPEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.spef}
+set ::env(CURRENT_STEP) {}
+set ::env(DATA_WIRE_RC_LAYER) {Metal2}
+set ::env(DECAP_CELL) {gf180mcu_fd_sc_mcu7t5v0__fillcap_*}
+set ::env(DEFAULT_MAX_TRAN) {3}
+set ::env(DEF_UNITS_PER_MICRON) {2000}
+set ::env(DESIGN_CONFIG) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/config.tcl}
+set ::env(DESIGN_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper}
+set ::env(DESIGN_IS_CORE) {1}
+set ::env(DESIGN_NAME) {user_project_wrapper}
+set ::env(DETAILED_ROUTER) {tritonroute}
+set ::env(DIE_AREA) {0.0 0.0 3000.0 3000.0}
+set ::env(DIODE_CELL) {gf180mcu_fd_sc_mcu7t5v0__antenna}
+set ::env(DIODE_CELL_PIN) {I}
+set ::env(DIODE_INSERTION_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def}
+set ::env(DIODE_INSERTION_STRATEGY) {0}
+set ::env(DIODE_PADDING) {2}
+set ::env(DONT_USE_CELLS) {gf180mcu_fd_sc_mcu7t5v0__mux2_1 gf180mcu_fd_sc_mcu7t5v0__oai33_2 }
+set ::env(DPL_CELL_PADDING) {2}
+set ::env(DRC_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.p.def}
+set ::env(DRC_EXCLUDE_CELL_LIST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/drc_exclude.cells}
+set ::env(DRC_EXCLUDE_CELL_LIST_OPT) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/drc_exclude.cells}
+set ::env(DRT_MIN_LAYER) {Metal1}
+set ::env(DRT_OPT_ITERS) {64}
+set ::env(ECO_ENABLE) {0}
+set ::env(ECO_FINISH) {0}
+set ::env(ECO_ITER) {0}
+set ::env(ECO_SKIP_PIN) {1}
+set ::env(EXTRA_GDS_FILES) { /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../gds/cntr_example.gds}
+set ::env(EXTRA_LEFS) { /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../lef/cntr_example.lef}
+set ::env(EXT_NETLIST) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff/user_project_wrapper.spice}
+set ::env(FILL_CELL) {gf180mcu_fd_sc_mcu7t5v0__fill_*}
+set ::env(FP_ASPECT_RATIO) {1}
+set ::env(FP_CORE_UTIL) {50}
+set ::env(FP_DEF_TEMPLATE) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def}
+set ::env(FP_ENDCAP_CELL) {gf180mcu_fd_sc_mcu7t5v0__endcap}
+set ::env(FP_IO_HEXTEND) {4.8}
+set ::env(FP_IO_HLAYER) {Metal3}
+set ::env(FP_IO_HLENGTH) {2.4}
+set ::env(FP_IO_HTHICKNESS_MULT) {4}
+set ::env(FP_IO_MIN_DISTANCE) {3}
+set ::env(FP_IO_MODE) {1}
+set ::env(FP_IO_UNMATCHED_ERROR) {1}
+set ::env(FP_IO_VEXTEND) {4.8}
+set ::env(FP_IO_VLAYER) {Metal2}
+set ::env(FP_IO_VLENGTH) {2.4}
+set ::env(FP_IO_VTHICKNESS_MULT) {4}
+set ::env(FP_PDN_AUTO_ADJUST) {1}
+set ::env(FP_PDN_CHECK_NODES) {0}
+set ::env(FP_PDN_CORE_RING) {1}
+set ::env(FP_PDN_CORE_RING_HOFFSET) {14}
+set ::env(FP_PDN_CORE_RING_HSPACING) {1.7}
+set ::env(FP_PDN_CORE_RING_HWIDTH) {3.1}
+set ::env(FP_PDN_CORE_RING_VOFFSET) {14}
+set ::env(FP_PDN_CORE_RING_VSPACING) {1.7}
+set ::env(FP_PDN_CORE_RING_VWIDTH) {3.1}
+set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) {1}
+set ::env(FP_PDN_ENABLE_MACROS_GRID) {1}
+set ::env(FP_PDN_ENABLE_RAILS) {0}
+set ::env(FP_PDN_HOFFSET) {5}
+set ::env(FP_PDN_HORIZONTAL_HALO) {10}
+set ::env(FP_PDN_HPITCH) {90}
+set ::env(FP_PDN_HPITCH_MULT) {1}
+set ::env(FP_PDN_HSPACING) {26.9}
+set ::env(FP_PDN_HWIDTH) {3.1}
+set ::env(FP_PDN_IRDROP) {1}
+set ::env(FP_PDN_LOWER_LAYER) {Metal4}
+set ::env(FP_PDN_MACRO_HOOKS) { cntr_example_1 vdd vss vdd vss}
+set ::env(FP_PDN_RAILS_LAYER) {Metal1}
+set ::env(FP_PDN_RAIL_OFFSET) {0}
+set ::env(FP_PDN_RAIL_WIDTH) {0.6}
+set ::env(FP_PDN_SKIPTRIM) {0}
+set ::env(FP_PDN_UPPER_LAYER) {Metal5}
+set ::env(FP_PDN_VERTICAL_HALO) {10}
+set ::env(FP_PDN_VOFFSET) {5}
+set ::env(FP_PDN_VPITCH) {90}
+set ::env(FP_PDN_VSPACING) {15.5}
+set ::env(FP_PDN_VWIDTH) {3.1}
+set ::env(FP_SIZING) {absolute}
+set ::env(FP_TAPCELL_DIST) {20}
+set ::env(FP_TAP_HORIZONTAL_HALO) {10}
+set ::env(FP_TAP_VERTICAL_HALO) {10}
+set ::env(FP_WELLTAP_CELL) {gf180mcu_fd_sc_mcu7t5v0__filltie}
+set ::env(FULL_ADDER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/fa_map.v}
+set ::env(GDS_FILES) {/home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/gds/gf180mcu_fd_sc_mcu7t5v0.gds}
+set ::env(GENERATE_FINAL_SUMMARY_REPORT) {1}
+set ::env(GLB_CFG_FILE) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/config.tcl}
+set ::env(GLB_OPTIMIZE_MIRRORING) {1}
+set ::env(GLB_RESIZER_ALLOW_SETUP_VIOS) {0}
+set ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50}
+set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) {0.05}
+set ::env(GLB_RESIZER_MAX_CAP_MARGIN) {10}
+set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) {10}
+set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) {0}
+set ::env(GLB_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50}
+set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) {0.025}
+set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) {1}
+set ::env(GLOBAL_ROUTER) {fastroute}
+set ::env(GND_NET) {vss}
+set ::env(GND_NETS) {vss}
+set ::env(GND_PIN) {vss}
+set ::env(GPIO_PADS_LEF) { /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_io/lef/GF018green_ipio_5p0c_75_5lm.lef
+}
+set ::env(GPIO_PADS_VERILOG) { /home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_io/verilog/GF018green_ipio_5p0c_75_5lm.v
+}
+set ::env(GPL_CELL_PADDING) {0}
+set ::env(GRT_ADJUSTMENT) {0.3}
+set ::env(GRT_ALLOW_CONGESTION) {0}
+set ::env(GRT_ANT_ITERS) {3}
+set ::env(GRT_ESTIMATE_PARASITICS) {1}
+set ::env(GRT_LAYER_ADJUSTMENTS) {0,0,0,0,0}
+set ::env(GRT_MACRO_EXTENSION) {0}
+set ::env(GRT_MAX_DIODE_INS_ITERS) {1}
+set ::env(GRT_OVERFLOW_ITERS) {50}
+set ::env(HOME) {/}
+set ::env(HOSTNAME) {ecee3aca8f0d}
+set ::env(IO_PCT) {0.2}
+set ::env(KLAYOUT_DRC_KLAYOUT_GDS) {0}
+set ::env(KLAYOUT_DRC_TECH_SCRIPT) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/klayout/gf180mcuC_mr.drc}
+set ::env(KLAYOUT_PROPERTIES) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/klayout/gf180mcuC.lyp}
+set ::env(KLAYOUT_TECH) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/klayout/gf180mcuC.lyt}
+set ::env(KLAYOUT_XOR_GDS) {1}
+set ::env(KLAYOUT_XOR_XML) {1}
+set ::env(LANG) {en_US.UTF-8}
+set ::env(LAST_TIMING_REPORT_TAG) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/17-rcx_sta}
+set ::env(LC_ALL) {en_US.UTF-8}
+set ::env(LC_CTYPE) {en_US.UTF-8}
+set ::env(LD_LIBRARY_PATH) {/build//lib:/build//lib/Linux-x86_64:}
+set ::env(LEC_ENABLE) {0}
+set ::env(LEFT_MARGIN_MULT) {12}
+set ::env(LIB_CTS) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/cts/cts.lib}
+set ::env(LIB_FASTEST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__ff_n40C_5v50.lib}
+set ::env(LIB_SLOWEST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__ss_125C_1v62.lib}
+set ::env(LIB_SYNTH) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/trimmed.lib}
+set ::env(LIB_SYNTH_COMPLETE) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib}
+set ::env(LIB_SYNTH_COMPLETE_NO_PG) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/2-gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.no_pg.lib}
+set ::env(LIB_SYNTH_MERGED) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/merged.lib}
+set ::env(LIB_SYNTH_NO_PG) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/2-trimmed.no_pg.lib}
+set ::env(LIB_TYPICAL) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib}
+set ::env(LOGS_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs}
+set ::env(LVS_CONNECT_BY_LABEL) {0}
+set ::env(LVS_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def}
+set ::env(LVS_INSERT_POWER_PINS) {1}
+set ::env(MACRO_BLOCKAGES_LAYER) {Metal1 Metal2 Metal3 Metal4 Metal5}
+set ::env(MACRO_PLACEMENT_CFG) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/macro.cfg}
+set ::env(MAGIC_CONVERT_DRC_TO_RDB) {1}
+set ::env(MAGIC_DEF_LABELS) {1}
+set ::env(MAGIC_DEF_NO_BLOCKAGES) {1}
+set ::env(MAGIC_DISABLE_HIER_GDS) {1}
+set ::env(MAGIC_DRC_USE_GDS) {1}
+set ::env(MAGIC_EXT_USE_GDS) {0}
+set ::env(MAGIC_GDS) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff/user_project_wrapper.magic.gds}
+set ::env(MAGIC_GENERATE_GDS) {1}
+set ::env(MAGIC_GENERATE_LEF) {1}
+set ::env(MAGIC_GENERATE_MAGLEF) {1}
+set ::env(MAGIC_INCLUDE_GDS_POINTERS) {0}
+set ::env(MAGIC_MAGICRC) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.magicrc}
+set ::env(MAGIC_PAD) {0}
+set ::env(MAGIC_TECH_FILE) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/magic/gf180mcuC.tech}
+set ::env(MAGIC_WRITE_FULL_LEF) {0}
+set ::env(MAGIC_ZEROIZE_ORIGIN) {0}
+set ::env(MAGTYPE) {maglef}
+set ::env(MANPATH) {/build//share/man:}
+set ::env(MAX_METAL_LAYER) {5}
+set ::env(MCW_ROOT) {/home/htf6ry/gf180-demo/mgmt_core_wrapper}
+set ::env(MC_SDF_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/sdf}
+set ::env(MC_SPEF_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/spef}
+set ::env(MERGED_LEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef}
+set ::env(METAL_LAYER_NAMES) {Metal1 Metal2 Metal3 Metal4 Metal5}
+set ::env(MISMATCHES_OK) {1}
+set ::env(NETGEN_SETUP_FILE) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/netgen/gf180mcuC_setup.tcl}
+set ::env(NO_SYNTH_CELL_LIST) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/no_synth.cells}
+set ::env(OPENLANE_ROOT) {/openlane}
+set ::env(OPENLANE_RUN_TAG) {22_12_03_16_43}
+set ::env(OPENLANE_VERBOSE) {0}
+set ::env(OPENLANE_VERSION) {cb59d1f84deb5cedbb5b0a3e3f3b4129a967c988}
+set ::env(OPENROAD) {/build/}
+set ::env(OPENROAD_BIN) {openroad}
+set ::env(PARSITICS_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def}
+set ::env(PATH) {/openlane:/openlane/scripts:/build//bin:/build//bin/Linux-x86_64:/build//pdn/scripts:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin}
+set ::env(PDK) {gf180mcuC}
+set ::env(PDKPATH) {/home/htf6ry/GF180PDK//gf180mcuC}
+set ::env(PDK_ROOT) {/home/htf6ry/GF180PDK/}
+set ::env(PDN_CFG) {/openlane/scripts/openroad/common/pdn_cfg.tcl}
+set ::env(PLACEMENT_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/6-pdn.def}
+set ::env(PLACE_SITE) {GF018hv5v_mcu_sc7}
+set ::env(PLACE_SITE_HEIGHT) {3.92}
+set ::env(PLACE_SITE_WIDTH) {0.56}
+set ::env(PL_BASIC_PLACEMENT) {0}
+set ::env(PL_ESTIMATE_PARASITICS) {1}
+set ::env(PL_INIT_COEFF) {0.00002}
+set ::env(PL_IO_ITER) {5}
+set ::env(PL_LIB) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/liberty/gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib}
+set ::env(PL_MACRO_CHANNEL) {0 0}
+set ::env(PL_MACRO_HALO) {0 0}
+set ::env(PL_MAX_DISPLACEMENT_X) {500}
+set ::env(PL_MAX_DISPLACEMENT_Y) {100}
+set ::env(PL_OPTIMIZE_MIRRORING) {1}
+set ::env(PL_RANDOM_GLB_PLACEMENT) {1}
+set ::env(PL_RANDOM_INITIAL_PLACEMENT) {0}
+set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) {0}
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) {0}
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) {0}
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) {0}
+set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50}
+set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}
+set ::env(PL_RESIZER_MAX_CAP_MARGIN) {20}
+set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {20}
+set ::env(PL_RESIZER_MAX_WIRE_LENGTH) {0}
+set ::env(PL_RESIZER_REPAIR_TIE_FANOUT) {1}
+set ::env(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) {50}
+set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) {0.05}
+set ::env(PL_RESIZER_TIE_SEPERATION) {0}
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) {0}
+set ::env(PL_ROUTABILITY_DRIVEN) {1}
+set ::env(PL_SKIP_INITIAL_PLACEMENT) {0}
+set ::env(PL_TARGET_DENSITY) {0.55}
+set ::env(PL_TIME_DRIVEN) {1}
+set ::env(PRIMARY_SIGNOFF_TOOL) {magic}
+set ::env(PROCESS) {180}
+set ::env(PWD) {/openlane}
+set ::env(QUIT_ON_HOLD_VIOLATIONS) {1}
+set ::env(QUIT_ON_ILLEGAL_OVERLAPS) {1}
+set ::env(QUIT_ON_LONG_WIRE) {0}
+set ::env(QUIT_ON_LVS_ERROR) {1}
+set ::env(QUIT_ON_MAGIC_DRC) {1}
+set ::env(QUIT_ON_SETUP_VIOLATIONS) {1}
+set ::env(QUIT_ON_TIMING_VIOLATIONS) {1}
+set ::env(QUIT_ON_TR_DRC) {1}
+set ::env(RCX_CC_MODEL) {10}
+set ::env(RCX_CONTEXT_DEPTH) {5}
+set ::env(RCX_CORNER_COUNT) {1}
+set ::env(RCX_COUPLING_THRESHOLD) {0.1}
+set ::env(RCX_MAX_RESISTANCE) {50}
+set ::env(RCX_MERGE_VIA_WIRE_RES) {1}
+set ::env(RCX_RULES) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.nom.magic}
+set ::env(RCX_RULES_MAX) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.max.magic}
+set ::env(RCX_RULES_MIN) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.min.magic}
+set ::env(RCX_SDC_FILE) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.sdc}
+set ::env(REPORTS_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports}
+set ::env(RESULTS_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results}
+set ::env(RIGHT_MARGIN_MULT) {12}
+set ::env(RIPPLE_CARRY_ADDER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/rca_map.v}
+set ::env(ROUTING_CORES) {2}
+set ::env(ROUTING_CURRENT_DEF) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement/user_project_wrapper.def}
+set ::env(RSZ_DONT_TOUCH_RX) {\$^}
+set ::env(RSZ_LIB) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/resizer_gf180mcu_fd_sc_mcu7t5v0__tt_025C_3v30.lib}
+set ::env(RSZ_USE_OLD_REMOVER) {0}
+set ::env(RT_MAX_LAYER) {Metal4}
+set ::env(RT_MIN_LAYER) {Metal2}
+set ::env(RUN_CVC) {0}
+set ::env(RUN_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43}
+set ::env(RUN_DRT) {1}
+set ::env(RUN_FILL_INSERTION) {0}
+set ::env(RUN_IRDROP_REPORT) {0}
+set ::env(RUN_KLAYOUT) {0}
+set ::env(RUN_KLAYOUT_DRC) {0}
+set ::env(RUN_KLAYOUT_XOR) {0}
+set ::env(RUN_LVS) {1}
+set ::env(RUN_MAGIC) {1}
+set ::env(RUN_MAGIC_DRC) {1}
+set ::env(RUN_SPEF_EXTRACTION) {1}
+set ::env(RUN_STANDALONE) {1}
+set ::env(RUN_TAG) {22_12_03_16_43}
+set ::env(RUN_TAP_DECAP_INSERTION) {0}
+set ::env(SCLPATH) {/home/htf6ry/GF180PDK//gf180mcuC/gf180mcu_fd_sc_mcu7t5v0}
+set ::env(SCRIPTS_DIR) {/openlane/scripts}
+set ::env(SHLVL) {1}
+set ::env(SPEF_EXTRACTOR) {openrcx}
+set ::env(START_TIME) {2022.12.03_21.43.47}
+set ::env(STA_PRE_CTS) {0}
+set ::env(STA_REPORT_POWER) {1}
+set ::env(STA_WRITE_LIB) {1}
+set ::env(STD_CELL_GROUND_PINS) {VSS}
+set ::env(STD_CELL_LIBRARY) {gf180mcu_fd_sc_mcu7t5v0}
+set ::env(STD_CELL_LIBRARY_CDL) {/home/htf6ry/GF180PDK//gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/cdl/gf180mcu_fd_sc_mcu7t5v0.cdl}
+set ::env(STD_CELL_LIBRARY_OPT) {gf180mcu_fd_sc_mcu7t5v0}
+set ::env(STD_CELL_POWER_PINS) {VDD}
+set ::env(SYNTH_ADDER_TYPE) {YOSYS}
+set ::env(SYNTH_BIN) {yosys}
+set ::env(SYNTH_BUFFERING) {1}
+set ::env(SYNTH_CAP_LOAD) {72.91}
+set ::env(SYNTH_CLK_DRIVING_CELL) {gf180mcu_fd_sc_mcu7t5v0__inv_4}
+set ::env(SYNTH_CLK_DRIVING_CELL_PIN) {ZN}
+set ::env(SYNTH_CLOCK_TRANSITION) {0.15}
+set ::env(SYNTH_CLOCK_UNCERTAINTY) {0.25}
+set ::env(SYNTH_DEFINES) {USE_POWER_PINS}
+set ::env(SYNTH_DRIVING_CELL) {gf180mcu_fd_sc_mcu7t5v0__inv_1}
+set ::env(SYNTH_DRIVING_CELL_PIN) {ZN}
+set ::env(SYNTH_ELABORATE_ONLY) {1}
+set ::env(SYNTH_EXTRA_MAPPING_FILE) {}
+set ::env(SYNTH_FLAT_TOP) {0}
+set ::env(SYNTH_LATCH_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/latch_map.v}
+set ::env(SYNTH_MAX_FANOUT) {10}
+set ::env(SYNTH_MAX_TRAN) {3}
+set ::env(SYNTH_MIN_BUF_PORT) {gf180mcu_fd_sc_mcu7t5v0__buf_1 I Z}
+set ::env(SYNTH_NO_FLAT) {0}
+set ::env(SYNTH_OPT) {0}
+set ::env(SYNTH_READ_BLACKBOX_LIB) {0}
+set ::env(SYNTH_SCRIPT) {/openlane/scripts/yosys/elaborate.tcl}
+set ::env(SYNTH_SHARE_RESOURCES) {1}
+set ::env(SYNTH_SIZING) {0}
+set ::env(SYNTH_STRATEGY) {AREA 0}
+set ::env(SYNTH_TIEHI_PORT) {gf180mcu_fd_sc_mcu7t5v0__tieh Z}
+set ::env(SYNTH_TIELO_PORT) {gf180mcu_fd_sc_mcu7t5v0__tiel ZN}
+set ::env(SYNTH_TIMING_DERATE) {0.05}
+set ::env(SYNTH_USE_PG_PINS_DEFINES) {USE_POWER_PINS}
+set ::env(TAKE_LAYOUT_SCROT) {0}
+set ::env(TECH_LEF) {/home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/techlef/gf180mcu_fd_sc_mcu7t5v0.tlef}
+set ::env(TECH_METAL_LAYERS) {Metal1 Metal2 Metal3 Metal4 Metal5}
+set ::env(TERM) {xterm}
+set ::env(TERMINAL_OUTPUT) {/dev/null}
+set ::env(TMP_DIR) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp}
+set ::env(TOP_MARGIN_MULT) {4}
+set ::env(TRACKS_INFO_FILE) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/tracks.info}
+set ::env(TRACKS_INFO_FILE_PROCESSED) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/config.tracks}
+set ::env(TRISTATE_BUFFER_MAP) {/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/gf180mcu_fd_sc_mcu7t5v0/tribuff_map.v}
+set ::env(USE_ARC_ANTENNA_CHECK) {1}
+set ::env(USE_GPIO_PADS) {0}
+set ::env(VCHECK_OUTPUT) {}
+set ::env(VDD_NET) {vdd}
+set ::env(VDD_NETS) {vdd}
+set ::env(VDD_PIN) {vdd}
+set ::env(VERILOG_FILES) { /home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v}
+set ::env(VERILOG_FILES_BLACKBOX) { /home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/cntr_example.v}
+set ::env(WIRE_RC_LAYER) {Metal2}
+set ::env(YOSYS_REWRITE_VERILOG) {0}
+set ::env(_) {/openlane/flow.tcl}
+set ::env(cts_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/cts}
+set ::env(cts_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/cts}
+set ::env(cts_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/cts}
+set ::env(cts_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/cts}
+set ::env(drc_prefix) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc}
+set ::env(eco_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/eco}
+set ::env(eco_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/eco}
+set ::env(eco_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/eco}
+set ::env(eco_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/eco}
+set ::env(floorplan_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan}
+set ::env(floorplan_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/floorplan}
+set ::env(floorplan_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/floorplan}
+set ::env(floorplan_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan}
+set ::env(fp_report_prefix) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/floorplan/3-initial_fp}
+set ::env(placement_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement}
+set ::env(placement_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/placement}
+set ::env(placement_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement}
+set ::env(placement_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/placement}
+set ::env(routing_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing}
+set ::env(routing_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/routing}
+set ::env(routing_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing}
+set ::env(routing_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing}
+set ::env(signoff_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff}
+set ::env(signoff_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff}
+set ::env(signoff_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff}
+set ::env(signoff_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff}
+set ::env(synth_report_prefix) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/synthesis/2-synthesis}
+set ::env(synthesis_logs) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/synthesis}
+set ::env(synthesis_reports) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/synthesis}
+set ::env(synthesis_results) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/synthesis}
+set ::env(synthesis_tmpfiles) {/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis}
+set ::env(timer_end) {1670104039}
+set ::env(timer_routed) {1670103859}
+set ::env(timer_start) {1670103827}
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/config_in.tcl b/openlane/user_project_wrapper/runs/user_project_wrapper/config_in.tcl
new file mode 100644
index 0000000..eae6e69
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/config_in.tcl
@@ -0,0 +1,98 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+# Base Configurations. Don't Touch
+# section begin
+
+set ::env(PDK) "gf180mcuC"
+set ::env(STD_CELL_LIBRARY) "gf180mcu_fd_sc_mcu7t5v0"
+
+# YOU CAN CHANGE ANY VARIABLES DEFINED IN THE DEFAULT WRAPPER CFGS BY OVERRIDING THEM IN THIS CONFIG.TCL
+source $::env(DESIGN_DIR)/fixed_dont_change/default_wrapper_cfgs.tcl
+
+set ::env(DESIGN_NAME) user_project_wrapper
+#section end
+
+# User Configurations
+
+## Source Verilog Files
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$::env(DESIGN_DIR)/../../verilog/rtl/user_project_wrapper.v"
+
+## Clock configurations
+set ::env(CLOCK_PORT) "user_clock2"
+#set ::env(CLOCK_NET) "mprj.clk"
+set ::env(CLOCK_NET) "cntr_example_1.clk"
+
+#set ::env(CLOCK_PERIOD) "50"
+set ::env(CLOCK_PERIOD) "65"
+
+## Internal Macros
+### Macro PDN Connections
+#set ::env(FP_PDN_MACRO_HOOKS) "\
+#	mprj vdd vss vdd vss"
+set ::env(FP_PDN_MACRO_HOOKS) "\
+	cntr_example_1 vdd vss vdd vss"
+	#cntr_example_2 vdd vss vdd vss"
+
+### Macro Placement
+set ::env(MACRO_PLACEMENT_CFG) $::env(DESIGN_DIR)/macro.cfg
+
+### Black-box verilog and views
+###set ::env(VERILOG_FILES_BLACKBOX) "\
+#	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+#	$::env(DESIGN_DIR)/../../verilog/rtl/user_proj_example.v"
+
+set ::env(VERILOG_FILES_BLACKBOX) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$::env(DESIGN_DIR)/../../verilog/rtl/cntr_example.v"
+
+#set ::env(EXTRA_LEFS) "\
+#	$::env(DESIGN_DIR)/../../lef/user_proj_example.lef"
+
+set ::env(EXTRA_LEFS) "\
+	$::env(DESIGN_DIR)/../../lef/cntr_example.lef"
+
+#set ::env(EXTRA_GDS_FILES) "\
+#	$::env(DESIGN_DIR)/../../gds/user_proj_example.gds"
+
+set ::env(EXTRA_GDS_FILES) "\
+	$::env(DESIGN_DIR)/../../gds/cntr_example.gds"
+
+set ::env(RT_MAX_LAYER) {Metal4}
+
+# disable pdn check nodes becuase it hangs with multiple power domains.
+# any issue with pdn connections will be flagged with LVS so it is not a critical check.
+set ::env(FP_PDN_CHECK_NODES) 0
+
+# The following is because there are no std cells in the example wrapper project.
+set ::env(SYNTH_ELABORATE_ONLY) 1
+set ::env(PL_RANDOM_GLB_PLACEMENT) 1
+
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) 0
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) 0
+
+set ::env(FP_PDN_ENABLE_RAILS) 0
+
+set ::env(DIODE_INSERTION_STRATEGY) 0
+set ::env(RUN_FILL_INSERTION) 0
+set ::env(RUN_TAP_DECAP_INSERTION) 0
+set ::env(CLOCK_TREE_SYNTH) 0
+
+# YOU ARE NOT ALLOWED TO CHANGE ANY VARIABLES DEFINED IN THE FIXED WRAPPER CFGS 
+source $::env(DESIGN_DIR)/fixed_dont_change/fixed_wrapper_cfgs.tcl
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/3-initial_fp.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/3-initial_fp.log
new file mode 100644
index 0000000..2cd218c
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/3-initial_fp.log
@@ -0,0 +1,19 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0223]     Created 13 technology layers
+[INFO ODB-0224]     Created 60 technology vias
+[INFO ODB-0225]     Created 230 library cells
+[INFO ODB-0226] Finished LEF file:  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+Reading netlist...
+[WARNING ORD-1011] LEF master cntr_example has no liberty cell.
+[INFO] Using the set CORE_AREA; ignoring core margin parameters
+[WARNING IFP-0028] Core area lower left (21.500, 21.500) snapped to (21.840, 23.520).
+[INFO IFP-0001] Added 753 rows of 5279 sites.
+[INFO IFP-0030] Inserted 0 tiecells using gf180mcu_fd_sc_mcu7t5v0__tiel/ZN.
+[INFO IFP-0030] Inserted 0 tiecells using gf180mcu_fd_sc_mcu7t5v0__tieh/Z.
+[INFO] Extracting DIE_AREA and CORE_AREA from the floorplan
+[INFO] Floorplanned on a die area of 0.0 0.0 3000.0 3000.0 (microns). Saving to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/floorplan/3-initial_fp_die_area.rpt.
+[INFO] Floorplanned on a core area of 21.84 23.52 2978.08 2975.28 (microns). Saving to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/floorplan/3-initial_fp_core_area.rpt.
+[WARNING] Did not save OpenROAD database!
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-apply_def_template.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-apply_def_template.log
new file mode 100644
index 0000000..c3efd75
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-apply_def_template.log
@@ -0,0 +1,860 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0223]     Created 13 technology layers
+[INFO ODB-0224]     Created 60 technology vias
+[INFO ODB-0225]     Created 230 library cells
+[INFO ODB-0226] Finished LEF file:  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0127] Reading DEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def
+[INFO ODB-0128] Design: user_project_wrapper
+[INFO ODB-0130]     Created 418 pins.
+[INFO ODB-0132]     Created 2 special nets and 0 connections.
+[INFO ODB-0134] Finished DEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def
+Using manufacturing grid: 10 Using dbu per mircons: 2000
+Found 416 block terminals in existing database...
+Found 418 template_bterms:
+  * io_in[0]: [('Metal3', 5995200, 66640, 6009600, 68880)]
+  * io_in[10]: [('Metal3', 5995200, 4065040, 6009600, 4067280)]
+  * io_in[11]: [('Metal3', 5995200, 4464880, 6009600, 4467120)]
+  * io_in[12]: [('Metal3', 5995200, 4864720, 6009600, 4866960)]
+  * io_in[13]: [('Metal3', 5995200, 5264560, 6009600, 5266800)]
+  * io_in[14]: [('Metal3', 5995200, 5664400, 6009600, 5666640)]
+  * io_in[15]: [('Metal2', 5881680, 5995200, 5883920, 6009600)]
+  * io_in[16]: [('Metal2', 5216400, 5995200, 5218640, 6009600)]
+  * io_in[17]: [('Metal2', 4551120, 5995200, 4553360, 6009600)]
+  * io_in[18]: [('Metal2', 3885840, 5995200, 3888080, 6009600)]
+  * io_in[19]: [('Metal2', 3220560, 5995200, 3222800, 6009600)]
+  * io_in[1]: [('Metal3', 5995200, 466480, 6009600, 468720)]
+  * io_in[20]: [('Metal2', 2555280, 5995200, 2557520, 6009600)]
+  * io_in[21]: [('Metal2', 1890000, 5995200, 1892240, 6009600)]
+  * io_in[22]: [('Metal2', 1224720, 5995200, 1226960, 6009600)]
+  * io_in[23]: [('Metal2', 559440, 5995200, 561680, 6009600)]
+  * io_in[24]: [('Metal3', -9600, 5914160, 4800, 5916400)]
+  * io_in[25]: [('Metal3', -9600, 5487440, 4800, 5489680)]
+  * io_in[26]: [('Metal3', -9600, 5060720, 4800, 5062960)]
+  * io_in[27]: [('Metal3', -9600, 4634000, 4800, 4636240)]
+  * io_in[28]: [('Metal3', -9600, 4207280, 4800, 4209520)]
+  * io_in[29]: [('Metal3', -9600, 3780560, 4800, 3782800)]
+  * io_in[2]: [('Metal3', 5995200, 866320, 6009600, 868560)]
+  * io_in[30]: [('Metal3', -9600, 3353840, 4800, 3356080)]
+  * io_in[31]: [('Metal3', -9600, 2927120, 4800, 2929360)]
+  * io_in[32]: [('Metal3', -9600, 2500400, 4800, 2502640)]
+  * io_in[33]: [('Metal3', -9600, 2073680, 4800, 2075920)]
+  * io_in[34]: [('Metal3', -9600, 1646960, 4800, 1649200)]
+  * io_in[35]: [('Metal3', -9600, 1220240, 4800, 1222480)]
+  * io_in[36]: [('Metal3', -9600, 793520, 4800, 795760)]
+  * io_in[37]: [('Metal3', -9600, 366800, 4800, 369040)]
+  * io_in[3]: [('Metal3', 5995200, 1266160, 6009600, 1268400)]
+  * io_in[4]: [('Metal3', 5995200, 1666000, 6009600, 1668240)]
+  * io_in[5]: [('Metal3', 5995200, 2065840, 6009600, 2068080)]
+  * io_in[6]: [('Metal3', 5995200, 2465680, 6009600, 2467920)]
+  * io_in[7]: [('Metal3', 5995200, 2865520, 6009600, 2867760)]
+  * io_in[8]: [('Metal3', 5995200, 3265360, 6009600, 3267600)]
+  * io_in[9]: [('Metal3', 5995200, 3665200, 6009600, 3667440)]
+  * io_oeb[0]: [('Metal3', 5995200, 333200, 6009600, 335440)]
+  * io_oeb[10]: [('Metal3', 5995200, 4331600, 6009600, 4333840)]
+  * io_oeb[11]: [('Metal3', 5995200, 4731440, 6009600, 4733680)]
+  * io_oeb[12]: [('Metal3', 5995200, 5131280, 6009600, 5133520)]
+  * io_oeb[13]: [('Metal3', 5995200, 5531120, 6009600, 5533360)]
+  * io_oeb[14]: [('Metal3', 5995200, 5930960, 6009600, 5933200)]
+  * io_oeb[15]: [('Metal2', 5438160, 5995200, 5440400, 6009600)]
+  * io_oeb[16]: [('Metal2', 4772880, 5995200, 4775120, 6009600)]
+  * io_oeb[17]: [('Metal2', 4107600, 5995200, 4109840, 6009600)]
+  * io_oeb[18]: [('Metal2', 3442320, 5995200, 3444560, 6009600)]
+  * io_oeb[19]: [('Metal2', 2777040, 5995200, 2779280, 6009600)]
+  * io_oeb[1]: [('Metal3', 5995200, 733040, 6009600, 735280)]
+  * io_oeb[20]: [('Metal2', 2111760, 5995200, 2114000, 6009600)]
+  * io_oeb[21]: [('Metal2', 1446480, 5995200, 1448720, 6009600)]
+  * io_oeb[22]: [('Metal2', 781200, 5995200, 783440, 6009600)]
+  * io_oeb[23]: [('Metal2', 115920, 5995200, 118160, 6009600)]
+  * io_oeb[24]: [('Metal3', -9600, 5629680, 4800, 5631920)]
+  * io_oeb[25]: [('Metal3', -9600, 5202960, 4800, 5205200)]
+  * io_oeb[26]: [('Metal3', -9600, 4776240, 4800, 4778480)]
+  * io_oeb[27]: [('Metal3', -9600, 4349520, 4800, 4351760)]
+  * io_oeb[28]: [('Metal3', -9600, 3922800, 4800, 3925040)]
+  * io_oeb[29]: [('Metal3', -9600, 3496080, 4800, 3498320)]
+  * io_oeb[2]: [('Metal3', 5995200, 1132880, 6009600, 1135120)]
+  * io_oeb[30]: [('Metal3', -9600, 3069360, 4800, 3071600)]
+  * io_oeb[31]: [('Metal3', -9600, 2642640, 4800, 2644880)]
+  * io_oeb[32]: [('Metal3', -9600, 2215920, 4800, 2218160)]
+  * io_oeb[33]: [('Metal3', -9600, 1789200, 4800, 1791440)]
+  * io_oeb[34]: [('Metal3', -9600, 1362480, 4800, 1364720)]
+  * io_oeb[35]: [('Metal3', -9600, 935760, 4800, 938000)]
+  * io_oeb[36]: [('Metal3', -9600, 509040, 4800, 511280)]
+  * io_oeb[37]: [('Metal3', -9600, 82320, 4800, 84560)]
+  * io_oeb[3]: [('Metal3', 5995200, 1532720, 6009600, 1534960)]
+  * io_oeb[4]: [('Metal3', 5995200, 1932560, 6009600, 1934800)]
+  * io_oeb[5]: [('Metal3', 5995200, 2332400, 6009600, 2334640)]
+  * io_oeb[6]: [('Metal3', 5995200, 2732240, 6009600, 2734480)]
+  * io_oeb[7]: [('Metal3', 5995200, 3132080, 6009600, 3134320)]
+  * io_oeb[8]: [('Metal3', 5995200, 3531920, 6009600, 3534160)]
+  * io_oeb[9]: [('Metal3', 5995200, 3931760, 6009600, 3934000)]
+  * io_out[0]: [('Metal3', 5995200, 199920, 6009600, 202160)]
+  * io_out[10]: [('Metal3', 5995200, 4198320, 6009600, 4200560)]
+  * io_out[11]: [('Metal3', 5995200, 4598160, 6009600, 4600400)]
+  * io_out[12]: [('Metal3', 5995200, 4998000, 6009600, 5000240)]
+  * io_out[13]: [('Metal3', 5995200, 5397840, 6009600, 5400080)]
+  * io_out[14]: [('Metal3', 5995200, 5797680, 6009600, 5799920)]
+  * io_out[15]: [('Metal2', 5659920, 5995200, 5662160, 6009600)]
+  * io_out[16]: [('Metal2', 4994640, 5995200, 4996880, 6009600)]
+  * io_out[17]: [('Metal2', 4329360, 5995200, 4331600, 6009600)]
+  * io_out[18]: [('Metal2', 3664080, 5995200, 3666320, 6009600)]
+  * io_out[19]: [('Metal2', 2998800, 5995200, 3001040, 6009600)]
+  * io_out[1]: [('Metal3', 5995200, 599760, 6009600, 602000)]
+  * io_out[20]: [('Metal2', 2333520, 5995200, 2335760, 6009600)]
+  * io_out[21]: [('Metal2', 1668240, 5995200, 1670480, 6009600)]
+  * io_out[22]: [('Metal2', 1002960, 5995200, 1005200, 6009600)]
+  * io_out[23]: [('Metal2', 337680, 5995200, 339920, 6009600)]
+  * io_out[24]: [('Metal3', -9600, 5771920, 4800, 5774160)]
+  * io_out[25]: [('Metal3', -9600, 5345200, 4800, 5347440)]
+  * io_out[26]: [('Metal3', -9600, 4918480, 4800, 4920720)]
+  * io_out[27]: [('Metal3', -9600, 4491760, 4800, 4494000)]
+  * io_out[28]: [('Metal3', -9600, 4065040, 4800, 4067280)]
+  * io_out[29]: [('Metal3', -9600, 3638320, 4800, 3640560)]
+  * io_out[2]: [('Metal3', 5995200, 999600, 6009600, 1001840)]
+  * io_out[30]: [('Metal3', -9600, 3211600, 4800, 3213840)]
+  * io_out[31]: [('Metal3', -9600, 2784880, 4800, 2787120)]
+  * io_out[32]: [('Metal3', -9600, 2358160, 4800, 2360400)]
+  * io_out[33]: [('Metal3', -9600, 1931440, 4800, 1933680)]
+  * io_out[34]: [('Metal3', -9600, 1504720, 4800, 1506960)]
+  * io_out[35]: [('Metal3', -9600, 1078000, 4800, 1080240)]
+  * io_out[36]: [('Metal3', -9600, 651280, 4800, 653520)]
+  * io_out[37]: [('Metal3', -9600, 224560, 4800, 226800)]
+  * io_out[3]: [('Metal3', 5995200, 1399440, 6009600, 1401680)]
+  * io_out[4]: [('Metal3', 5995200, 1799280, 6009600, 1801520)]
+  * io_out[5]: [('Metal3', 5995200, 2199120, 6009600, 2201360)]
+  * io_out[6]: [('Metal3', 5995200, 2598960, 6009600, 2601200)]
+  * io_out[7]: [('Metal3', 5995200, 2998800, 6009600, 3001040)]
+  * io_out[8]: [('Metal3', 5995200, 3398640, 6009600, 3400880)]
+  * io_out[9]: [('Metal3', 5995200, 3798480, 6009600, 3800720)]
+  * la_data_in[0]: [('Metal2', 2150960, -9600, 2153200, 4800)]
+  * la_data_in[10]: [('Metal2', 2722160, -9600, 2724400, 4800)]
+  * la_data_in[11]: [('Metal2', 2779280, -9600, 2781520, 4800)]
+  * la_data_in[12]: [('Metal2', 2836400, -9600, 2838640, 4800)]
+  * la_data_in[13]: [('Metal2', 2893520, -9600, 2895760, 4800)]
+  * la_data_in[14]: [('Metal2', 2950640, -9600, 2952880, 4800)]
+  * la_data_in[15]: [('Metal2', 3007760, -9600, 3010000, 4800)]
+  * la_data_in[16]: [('Metal2', 3064880, -9600, 3067120, 4800)]
+  * la_data_in[17]: [('Metal2', 3122000, -9600, 3124240, 4800)]
+  * la_data_in[18]: [('Metal2', 3179120, -9600, 3181360, 4800)]
+  * la_data_in[19]: [('Metal2', 3236240, -9600, 3238480, 4800)]
+  * la_data_in[1]: [('Metal2', 2208080, -9600, 2210320, 4800)]
+  * la_data_in[20]: [('Metal2', 3293360, -9600, 3295600, 4800)]
+  * la_data_in[21]: [('Metal2', 3350480, -9600, 3352720, 4800)]
+  * la_data_in[22]: [('Metal2', 3407600, -9600, 3409840, 4800)]
+  * la_data_in[23]: [('Metal2', 3464720, -9600, 3466960, 4800)]
+  * la_data_in[24]: [('Metal2', 3521840, -9600, 3524080, 4800)]
+  * la_data_in[25]: [('Metal2', 3578960, -9600, 3581200, 4800)]
+  * la_data_in[26]: [('Metal2', 3636080, -9600, 3638320, 4800)]
+  * la_data_in[27]: [('Metal2', 3693200, -9600, 3695440, 4800)]
+  * la_data_in[28]: [('Metal2', 3750320, -9600, 3752560, 4800)]
+  * la_data_in[29]: [('Metal2', 3807440, -9600, 3809680, 4800)]
+  * la_data_in[2]: [('Metal2', 2265200, -9600, 2267440, 4800)]
+  * la_data_in[30]: [('Metal2', 3864560, -9600, 3866800, 4800)]
+  * la_data_in[31]: [('Metal2', 3921680, -9600, 3923920, 4800)]
+  * la_data_in[32]: [('Metal2', 3978800, -9600, 3981040, 4800)]
+  * la_data_in[33]: [('Metal2', 4035920, -9600, 4038160, 4800)]
+  * la_data_in[34]: [('Metal2', 4093040, -9600, 4095280, 4800)]
+  * la_data_in[35]: [('Metal2', 4150160, -9600, 4152400, 4800)]
+  * la_data_in[36]: [('Metal2', 4207280, -9600, 4209520, 4800)]
+  * la_data_in[37]: [('Metal2', 4264400, -9600, 4266640, 4800)]
+  * la_data_in[38]: [('Metal2', 4321520, -9600, 4323760, 4800)]
+  * la_data_in[39]: [('Metal2', 4378640, -9600, 4380880, 4800)]
+  * la_data_in[3]: [('Metal2', 2322320, -9600, 2324560, 4800)]
+  * la_data_in[40]: [('Metal2', 4435760, -9600, 4438000, 4800)]
+  * la_data_in[41]: [('Metal2', 4492880, -9600, 4495120, 4800)]
+  * la_data_in[42]: [('Metal2', 4550000, -9600, 4552240, 4800)]
+  * la_data_in[43]: [('Metal2', 4607120, -9600, 4609360, 4800)]
+  * la_data_in[44]: [('Metal2', 4664240, -9600, 4666480, 4800)]
+  * la_data_in[45]: [('Metal2', 4721360, -9600, 4723600, 4800)]
+  * la_data_in[46]: [('Metal2', 4778480, -9600, 4780720, 4800)]
+  * la_data_in[47]: [('Metal2', 4835600, -9600, 4837840, 4800)]
+  * la_data_in[48]: [('Metal2', 4892720, -9600, 4894960, 4800)]
+  * la_data_in[49]: [('Metal2', 4949840, -9600, 4952080, 4800)]
+  * la_data_in[4]: [('Metal2', 2379440, -9600, 2381680, 4800)]
+  * la_data_in[50]: [('Metal2', 5006960, -9600, 5009200, 4800)]
+  * la_data_in[51]: [('Metal2', 5064080, -9600, 5066320, 4800)]
+  * la_data_in[52]: [('Metal2', 5121200, -9600, 5123440, 4800)]
+  * la_data_in[53]: [('Metal2', 5178320, -9600, 5180560, 4800)]
+  * la_data_in[54]: [('Metal2', 5235440, -9600, 5237680, 4800)]
+  * la_data_in[55]: [('Metal2', 5292560, -9600, 5294800, 4800)]
+  * la_data_in[56]: [('Metal2', 5349680, -9600, 5351920, 4800)]
+  * la_data_in[57]: [('Metal2', 5406800, -9600, 5409040, 4800)]
+  * la_data_in[58]: [('Metal2', 5463920, -9600, 5466160, 4800)]
+  * la_data_in[59]: [('Metal2', 5521040, -9600, 5523280, 4800)]
+  * la_data_in[5]: [('Metal2', 2436560, -9600, 2438800, 4800)]
+  * la_data_in[60]: [('Metal2', 5578160, -9600, 5580400, 4800)]
+  * la_data_in[61]: [('Metal2', 5635280, -9600, 5637520, 4800)]
+  * la_data_in[62]: [('Metal2', 5692400, -9600, 5694640, 4800)]
+  * la_data_in[63]: [('Metal2', 5749520, -9600, 5751760, 4800)]
+  * la_data_in[6]: [('Metal2', 2493680, -9600, 2495920, 4800)]
+  * la_data_in[7]: [('Metal2', 2550800, -9600, 2553040, 4800)]
+  * la_data_in[8]: [('Metal2', 2607920, -9600, 2610160, 4800)]
+  * la_data_in[9]: [('Metal2', 2665040, -9600, 2667280, 4800)]
+  * la_data_out[0]: [('Metal2', 2170000, -9600, 2172240, 4800)]
+  * la_data_out[10]: [('Metal2', 2741200, -9600, 2743440, 4800)]
+  * la_data_out[11]: [('Metal2', 2798320, -9600, 2800560, 4800)]
+  * la_data_out[12]: [('Metal2', 2855440, -9600, 2857680, 4800)]
+  * la_data_out[13]: [('Metal2', 2912560, -9600, 2914800, 4800)]
+  * la_data_out[14]: [('Metal2', 2969680, -9600, 2971920, 4800)]
+  * la_data_out[15]: [('Metal2', 3026800, -9600, 3029040, 4800)]
+  * la_data_out[16]: [('Metal2', 3083920, -9600, 3086160, 4800)]
+  * la_data_out[17]: [('Metal2', 3141040, -9600, 3143280, 4800)]
+  * la_data_out[18]: [('Metal2', 3198160, -9600, 3200400, 4800)]
+  * la_data_out[19]: [('Metal2', 3255280, -9600, 3257520, 4800)]
+  * la_data_out[1]: [('Metal2', 2227120, -9600, 2229360, 4800)]
+  * la_data_out[20]: [('Metal2', 3312400, -9600, 3314640, 4800)]
+  * la_data_out[21]: [('Metal2', 3369520, -9600, 3371760, 4800)]
+  * la_data_out[22]: [('Metal2', 3426640, -9600, 3428880, 4800)]
+  * la_data_out[23]: [('Metal2', 3483760, -9600, 3486000, 4800)]
+  * la_data_out[24]: [('Metal2', 3540880, -9600, 3543120, 4800)]
+  * la_data_out[25]: [('Metal2', 3598000, -9600, 3600240, 4800)]
+  * la_data_out[26]: [('Metal2', 3655120, -9600, 3657360, 4800)]
+  * la_data_out[27]: [('Metal2', 3712240, -9600, 3714480, 4800)]
+  * la_data_out[28]: [('Metal2', 3769360, -9600, 3771600, 4800)]
+  * la_data_out[29]: [('Metal2', 3826480, -9600, 3828720, 4800)]
+  * la_data_out[2]: [('Metal2', 2284240, -9600, 2286480, 4800)]
+  * la_data_out[30]: [('Metal2', 3883600, -9600, 3885840, 4800)]
+  * la_data_out[31]: [('Metal2', 3940720, -9600, 3942960, 4800)]
+  * la_data_out[32]: [('Metal2', 3997840, -9600, 4000080, 4800)]
+  * la_data_out[33]: [('Metal2', 4054960, -9600, 4057200, 4800)]
+  * la_data_out[34]: [('Metal2', 4112080, -9600, 4114320, 4800)]
+  * la_data_out[35]: [('Metal2', 4169200, -9600, 4171440, 4800)]
+  * la_data_out[36]: [('Metal2', 4226320, -9600, 4228560, 4800)]
+  * la_data_out[37]: [('Metal2', 4283440, -9600, 4285680, 4800)]
+  * la_data_out[38]: [('Metal2', 4340560, -9600, 4342800, 4800)]
+  * la_data_out[39]: [('Metal2', 4397680, -9600, 4399920, 4800)]
+  * la_data_out[3]: [('Metal2', 2341360, -9600, 2343600, 4800)]
+  * la_data_out[40]: [('Metal2', 4454800, -9600, 4457040, 4800)]
+  * la_data_out[41]: [('Metal2', 4511920, -9600, 4514160, 4800)]
+  * la_data_out[42]: [('Metal2', 4569040, -9600, 4571280, 4800)]
+  * la_data_out[43]: [('Metal2', 4626160, -9600, 4628400, 4800)]
+  * la_data_out[44]: [('Metal2', 4683280, -9600, 4685520, 4800)]
+  * la_data_out[45]: [('Metal2', 4740400, -9600, 4742640, 4800)]
+  * la_data_out[46]: [('Metal2', 4797520, -9600, 4799760, 4800)]
+  * la_data_out[47]: [('Metal2', 4854640, -9600, 4856880, 4800)]
+  * la_data_out[48]: [('Metal2', 4911760, -9600, 4914000, 4800)]
+  * la_data_out[49]: [('Metal2', 4968880, -9600, 4971120, 4800)]
+  * la_data_out[4]: [('Metal2', 2398480, -9600, 2400720, 4800)]
+  * la_data_out[50]: [('Metal2', 5026000, -9600, 5028240, 4800)]
+  * la_data_out[51]: [('Metal2', 5083120, -9600, 5085360, 4800)]
+  * la_data_out[52]: [('Metal2', 5140240, -9600, 5142480, 4800)]
+  * la_data_out[53]: [('Metal2', 5197360, -9600, 5199600, 4800)]
+  * la_data_out[54]: [('Metal2', 5254480, -9600, 5256720, 4800)]
+  * la_data_out[55]: [('Metal2', 5311600, -9600, 5313840, 4800)]
+  * la_data_out[56]: [('Metal2', 5368720, -9600, 5370960, 4800)]
+  * la_data_out[57]: [('Metal2', 5425840, -9600, 5428080, 4800)]
+  * la_data_out[58]: [('Metal2', 5482960, -9600, 5485200, 4800)]
+  * la_data_out[59]: [('Metal2', 5540080, -9600, 5542320, 4800)]
+  * la_data_out[5]: [('Metal2', 2455600, -9600, 2457840, 4800)]
+  * la_data_out[60]: [('Metal2', 5597200, -9600, 5599440, 4800)]
+  * la_data_out[61]: [('Metal2', 5654320, -9600, 5656560, 4800)]
+  * la_data_out[62]: [('Metal2', 5711440, -9600, 5713680, 4800)]
+  * la_data_out[63]: [('Metal2', 5768560, -9600, 5770800, 4800)]
+  * la_data_out[6]: [('Metal2', 2512720, -9600, 2514960, 4800)]
+  * la_data_out[7]: [('Metal2', 2569840, -9600, 2572080, 4800)]
+  * la_data_out[8]: [('Metal2', 2626960, -9600, 2629200, 4800)]
+  * la_data_out[9]: [('Metal2', 2684080, -9600, 2686320, 4800)]
+  * la_oenb[0]: [('Metal2', 2189040, -9600, 2191280, 4800)]
+  * la_oenb[10]: [('Metal2', 2760240, -9600, 2762480, 4800)]
+  * la_oenb[11]: [('Metal2', 2817360, -9600, 2819600, 4800)]
+  * la_oenb[12]: [('Metal2', 2874480, -9600, 2876720, 4800)]
+  * la_oenb[13]: [('Metal2', 2931600, -9600, 2933840, 4800)]
+  * la_oenb[14]: [('Metal2', 2988720, -9600, 2990960, 4800)]
+  * la_oenb[15]: [('Metal2', 3045840, -9600, 3048080, 4800)]
+  * la_oenb[16]: [('Metal2', 3102960, -9600, 3105200, 4800)]
+  * la_oenb[17]: [('Metal2', 3160080, -9600, 3162320, 4800)]
+  * la_oenb[18]: [('Metal2', 3217200, -9600, 3219440, 4800)]
+  * la_oenb[19]: [('Metal2', 3274320, -9600, 3276560, 4800)]
+  * la_oenb[1]: [('Metal2', 2246160, -9600, 2248400, 4800)]
+  * la_oenb[20]: [('Metal2', 3331440, -9600, 3333680, 4800)]
+  * la_oenb[21]: [('Metal2', 3388560, -9600, 3390800, 4800)]
+  * la_oenb[22]: [('Metal2', 3445680, -9600, 3447920, 4800)]
+  * la_oenb[23]: [('Metal2', 3502800, -9600, 3505040, 4800)]
+  * la_oenb[24]: [('Metal2', 3559920, -9600, 3562160, 4800)]
+  * la_oenb[25]: [('Metal2', 3617040, -9600, 3619280, 4800)]
+  * la_oenb[26]: [('Metal2', 3674160, -9600, 3676400, 4800)]
+  * la_oenb[27]: [('Metal2', 3731280, -9600, 3733520, 4800)]
+  * la_oenb[28]: [('Metal2', 3788400, -9600, 3790640, 4800)]
+  * la_oenb[29]: [('Metal2', 3845520, -9600, 3847760, 4800)]
+  * la_oenb[2]: [('Metal2', 2303280, -9600, 2305520, 4800)]
+  * la_oenb[30]: [('Metal2', 3902640, -9600, 3904880, 4800)]
+  * la_oenb[31]: [('Metal2', 3959760, -9600, 3962000, 4800)]
+  * la_oenb[32]: [('Metal2', 4016880, -9600, 4019120, 4800)]
+  * la_oenb[33]: [('Metal2', 4074000, -9600, 4076240, 4800)]
+  * la_oenb[34]: [('Metal2', 4131120, -9600, 4133360, 4800)]
+  * la_oenb[35]: [('Metal2', 4188240, -9600, 4190480, 4800)]
+  * la_oenb[36]: [('Metal2', 4245360, -9600, 4247600, 4800)]
+  * la_oenb[37]: [('Metal2', 4302480, -9600, 4304720, 4800)]
+  * la_oenb[38]: [('Metal2', 4359600, -9600, 4361840, 4800)]
+  * la_oenb[39]: [('Metal2', 4416720, -9600, 4418960, 4800)]
+  * la_oenb[3]: [('Metal2', 2360400, -9600, 2362640, 4800)]
+  * la_oenb[40]: [('Metal2', 4473840, -9600, 4476080, 4800)]
+  * la_oenb[41]: [('Metal2', 4530960, -9600, 4533200, 4800)]
+  * la_oenb[42]: [('Metal2', 4588080, -9600, 4590320, 4800)]
+  * la_oenb[43]: [('Metal2', 4645200, -9600, 4647440, 4800)]
+  * la_oenb[44]: [('Metal2', 4702320, -9600, 4704560, 4800)]
+  * la_oenb[45]: [('Metal2', 4759440, -9600, 4761680, 4800)]
+  * la_oenb[46]: [('Metal2', 4816560, -9600, 4818800, 4800)]
+  * la_oenb[47]: [('Metal2', 4873680, -9600, 4875920, 4800)]
+  * la_oenb[48]: [('Metal2', 4930800, -9600, 4933040, 4800)]
+  * la_oenb[49]: [('Metal2', 4987920, -9600, 4990160, 4800)]
+  * la_oenb[4]: [('Metal2', 2417520, -9600, 2419760, 4800)]
+  * la_oenb[50]: [('Metal2', 5045040, -9600, 5047280, 4800)]
+  * la_oenb[51]: [('Metal2', 5102160, -9600, 5104400, 4800)]
+  * la_oenb[52]: [('Metal2', 5159280, -9600, 5161520, 4800)]
+  * la_oenb[53]: [('Metal2', 5216400, -9600, 5218640, 4800)]
+  * la_oenb[54]: [('Metal2', 5273520, -9600, 5275760, 4800)]
+  * la_oenb[55]: [('Metal2', 5330640, -9600, 5332880, 4800)]
+  * la_oenb[56]: [('Metal2', 5387760, -9600, 5390000, 4800)]
+  * la_oenb[57]: [('Metal2', 5444880, -9600, 5447120, 4800)]
+  * la_oenb[58]: [('Metal2', 5502000, -9600, 5504240, 4800)]
+  * la_oenb[59]: [('Metal2', 5559120, -9600, 5561360, 4800)]
+  * la_oenb[5]: [('Metal2', 2474640, -9600, 2476880, 4800)]
+  * la_oenb[60]: [('Metal2', 5616240, -9600, 5618480, 4800)]
+  * la_oenb[61]: [('Metal2', 5673360, -9600, 5675600, 4800)]
+  * la_oenb[62]: [('Metal2', 5730480, -9600, 5732720, 4800)]
+  * la_oenb[63]: [('Metal2', 5787600, -9600, 5789840, 4800)]
+  * la_oenb[6]: [('Metal2', 2531760, -9600, 2534000, 4800)]
+  * la_oenb[7]: [('Metal2', 2588880, -9600, 2591120, 4800)]
+  * la_oenb[8]: [('Metal2', 2646000, -9600, 2648240, 4800)]
+  * la_oenb[9]: [('Metal2', 2703120, -9600, 2705360, 4800)]
+  * user_clock2: [('Metal2', 5806640, -9600, 5808880, 4800)]
+  * user_irq[0]: [('Metal2', 5825680, -9600, 5827920, 4800)]
+  * user_irq[1]: [('Metal2', 5844720, -9600, 5846960, 4800)]
+  * user_irq[2]: [('Metal2', 5863760, -9600, 5866000, 4800)]
+  * vdd: [('Metal5', -120, 5813940, 5999960, 5820140), ('Metal5', -120, 5633940, 5999960, 5640140), ('Metal5', -120, 5453940, 5999960, 5460140), ('Metal5', -120, 5273940, 5999960, 5280140), ('Metal5', -120, 5093940, 5999960, 5100140), ('Metal5', -120, 4913940, 5999960, 4920140), ('Metal5', -120, 4733940, 5999960, 4740140), ('Metal5', -120, 4553940, 5999960, 4560140), ('Metal5', -120, 4373940, 5999960, 4380140), ('Metal5', -120, 4193940, 5999960, 4200140), ('Metal5', -120, 4013940, 5999960, 4020140), ('Metal5', -120, 3833940, 5999960, 3840140), ('Metal5', -120, 3653940, 5999960, 3660140), ('Metal5', -120, 3473940, 5999960, 3480140), ('Metal5', -120, 3293940, 5999960, 3300140), ('Metal5', -120, 3113940, 5999960, 3120140), ('Metal5', -120, 2933940, 5999960, 2940140), ('Metal5', -120, 2753940, 5999960, 2760140), ('Metal5', -120, 2573940, 5999960, 2580140), ('Metal5', -120, 2393940, 5999960, 2400140), ('Metal5', -120, 2213940, 5999960, 2220140), ('Metal5', -120, 2033940, 5999960, 2040140), ('Metal5', -120, 1853940, 5999960, 1860140), ('Metal5', -120, 1673940, 5999960, 1680140), ('Metal5', -120, 1493940, 5999960, 1500140), ('Metal5', -120, 1313940, 5999960, 1320140), ('Metal5', -120, 1133940, 5999960, 1140140), ('Metal5', -120, 953940, 5999960, 960140), ('Metal5', -120, 773940, 5999960, 780140), ('Metal5', -120, 593940, 5999960, 600140), ('Metal5', -120, 413940, 5999960, 420140), ('Metal5', -120, 233940, 5999960, 240140), ('Metal5', -120, 53940, 5999960, 60140), ('Metal4', 5810580, 3240, 5816780, 5994360), ('Metal4', 5630580, 3240, 5636780, 5994360), ('Metal4', 5450580, 3240, 5456780, 5994360), ('Metal4', 5270580, 3240, 5276780, 5994360), ('Metal4', 5090580, 3240, 5096780, 5994360), ('Metal4', 4910580, 3240, 4916780, 5994360), ('Metal4', 4730580, 3240, 4736780, 5994360), ('Metal4', 4550580, 3240, 4556780, 5994360), ('Metal4', 4370580, 3240, 4376780, 5994360), ('Metal4', 4190580, 3240, 4196780, 5994360), ('Metal4', 4010580, 3240, 4016780, 5994360), ('Metal4', 3830580, 3240, 3836780, 5994360), ('Metal4', 3650580, 3240, 3656780, 5994360), ('Metal4', 3470580, 3240, 3476780, 5994360), ('Metal4', 3290580, 3240, 3296780, 5994360), ('Metal4', 3110580, 3240, 3116780, 5994360), ('Metal4', 2930580, 3240, 2936780, 5994360), ('Metal4', 2750580, 3240, 2756780, 5994360), ('Metal4', 2570580, 3240, 2576780, 5994360), ('Metal4', 2390580, 3240, 2396780, 5994360), ('Metal4', 2210580, 3240, 2216780, 5994360), ('Metal4', 2030580, 3240, 2036780, 5994360), ('Metal4', 1850580, 3240, 1856780, 5994360), ('Metal4', 1670580, 3240, 1676780, 5994360), ('Metal4', 1490580, 3240, 1496780, 5994360), ('Metal4', 1310580, 3240, 1316780, 5994360), ('Metal4', 1130580, 3240, 1136780, 5994360), ('Metal4', 950580, 3240, 956780, 5994360), ('Metal4', 770580, 3240, 776780, 5994360), ('Metal4', 590580, 3240, 596780, 5994360), ('Metal4', 410580, 3240, 416780, 5994360), ('Metal4', 230580, 3240, 236780, 5994360), ('Metal4', 50580, 3240, 56780, 5994360), ('Metal4', 5984160, 12840, 5990360, 5984760), ('Metal5', 9480, 5978560, 5990360, 5984760), ('Metal5', 9480, 12840, 5990360, 19040), ('Metal4', 9480, 12840, 15680, 5984760)]
+  * vss: [('Metal5', -120, 5873940, 5999960, 5880140), ('Metal5', -120, 5693940, 5999960, 5700140), ('Metal5', -120, 5513940, 5999960, 5520140), ('Metal5', -120, 5333940, 5999960, 5340140), ('Metal5', -120, 5153940, 5999960, 5160140), ('Metal5', -120, 4973940, 5999960, 4980140), ('Metal5', -120, 4793940, 5999960, 4800140), ('Metal5', -120, 4613940, 5999960, 4620140), ('Metal5', -120, 4433940, 5999960, 4440140), ('Metal5', -120, 4253940, 5999960, 4260140), ('Metal5', -120, 4073940, 5999960, 4080140), ('Metal5', -120, 3893940, 5999960, 3900140), ('Metal5', -120, 3713940, 5999960, 3720140), ('Metal5', -120, 3533940, 5999960, 3540140), ('Metal5', -120, 3353940, 5999960, 3360140), ('Metal5', -120, 3173940, 5999960, 3180140), ('Metal5', -120, 2993940, 5999960, 3000140), ('Metal5', -120, 2813940, 5999960, 2820140), ('Metal5', -120, 2633940, 5999960, 2640140), ('Metal5', -120, 2453940, 5999960, 2460140), ('Metal5', -120, 2273940, 5999960, 2280140), ('Metal5', -120, 2093940, 5999960, 2100140), ('Metal5', -120, 1913940, 5999960, 1920140), ('Metal5', -120, 1733940, 5999960, 1740140), ('Metal5', -120, 1553940, 5999960, 1560140), ('Metal5', -120, 1373940, 5999960, 1380140), ('Metal5', -120, 1193940, 5999960, 1200140), ('Metal5', -120, 1013940, 5999960, 1020140), ('Metal5', -120, 833940, 5999960, 840140), ('Metal5', -120, 653940, 5999960, 660140), ('Metal5', -120, 473940, 5999960, 480140), ('Metal5', -120, 293940, 5999960, 300140), ('Metal5', -120, 113940, 5999960, 120140), ('Metal4', 5847780, 3240, 5853980, 5994360), ('Metal4', 5667780, 3240, 5673980, 5994360), ('Metal4', 5487780, 3240, 5493980, 5994360), ('Metal4', 5307780, 3240, 5313980, 5994360), ('Metal4', 5127780, 3240, 5133980, 5994360), ('Metal4', 4947780, 3240, 4953980, 5994360), ('Metal4', 4767780, 3240, 4773980, 5994360), ('Metal4', 4587780, 3240, 4593980, 5994360), ('Metal4', 4407780, 3240, 4413980, 5994360), ('Metal4', 4227780, 3240, 4233980, 5994360), ('Metal4', 4047780, 3240, 4053980, 5994360), ('Metal4', 3867780, 3240, 3873980, 5994360), ('Metal4', 3687780, 3240, 3693980, 5994360), ('Metal4', 3507780, 3240, 3513980, 5994360), ('Metal4', 3327780, 3240, 3333980, 5994360), ('Metal4', 3147780, 3240, 3153980, 5994360), ('Metal4', 2967780, 3240, 2973980, 5994360), ('Metal4', 2787780, 3240, 2793980, 5994360), ('Metal4', 2607780, 3240, 2613980, 5994360), ('Metal4', 2427780, 3240, 2433980, 5994360), ('Metal4', 2247780, 3240, 2253980, 5994360), ('Metal4', 2067780, 3240, 2073980, 5994360), ('Metal4', 1887780, 3240, 1893980, 5994360), ('Metal4', 1707780, 3240, 1713980, 5994360), ('Metal4', 1527780, 3240, 1533980, 5994360), ('Metal4', 1347780, 3240, 1353980, 5994360), ('Metal4', 1167780, 3240, 1173980, 5994360), ('Metal4', 987780, 3240, 993980, 5994360), ('Metal4', 807780, 3240, 813980, 5994360), ('Metal4', 627780, 3240, 633980, 5994360), ('Metal4', 447780, 3240, 453980, 5994360), ('Metal4', 267780, 3240, 273980, 5994360), ('Metal4', 87780, 3240, 93980, 5994360), ('Metal4', 5993760, 3240, 5999960, 5994360), ('Metal5', -120, 5988160, 5999960, 5994360), ('Metal5', -120, 3240, 5999960, 9440), ('Metal4', -120, 3240, 6080, 5994360)]
+  * wb_clk_i: [('Metal2', 132720, -9600, 134960, 4800)]
+  * wb_rst_i: [('Metal2', 151760, -9600, 154000, 4800)]
+  * wbs_ack_o: [('Metal2', 170800, -9600, 173040, 4800)]
+  * wbs_adr_i[0]: [('Metal2', 246960, -9600, 249200, 4800)]
+  * wbs_adr_i[10]: [('Metal2', 894320, -9600, 896560, 4800)]
+  * wbs_adr_i[11]: [('Metal2', 951440, -9600, 953680, 4800)]
+  * wbs_adr_i[12]: [('Metal2', 1008560, -9600, 1010800, 4800)]
+  * wbs_adr_i[13]: [('Metal2', 1065680, -9600, 1067920, 4800)]
+  * wbs_adr_i[14]: [('Metal2', 1122800, -9600, 1125040, 4800)]
+  * wbs_adr_i[15]: [('Metal2', 1179920, -9600, 1182160, 4800)]
+  * wbs_adr_i[16]: [('Metal2', 1237040, -9600, 1239280, 4800)]
+  * wbs_adr_i[17]: [('Metal2', 1294160, -9600, 1296400, 4800)]
+  * wbs_adr_i[18]: [('Metal2', 1351280, -9600, 1353520, 4800)]
+  * wbs_adr_i[19]: [('Metal2', 1408400, -9600, 1410640, 4800)]
+  * wbs_adr_i[1]: [('Metal2', 323120, -9600, 325360, 4800)]
+  * wbs_adr_i[20]: [('Metal2', 1465520, -9600, 1467760, 4800)]
+  * wbs_adr_i[21]: [('Metal2', 1522640, -9600, 1524880, 4800)]
+  * wbs_adr_i[22]: [('Metal2', 1579760, -9600, 1582000, 4800)]
+  * wbs_adr_i[23]: [('Metal2', 1636880, -9600, 1639120, 4800)]
+  * wbs_adr_i[24]: [('Metal2', 1694000, -9600, 1696240, 4800)]
+  * wbs_adr_i[25]: [('Metal2', 1751120, -9600, 1753360, 4800)]
+  * wbs_adr_i[26]: [('Metal2', 1808240, -9600, 1810480, 4800)]
+  * wbs_adr_i[27]: [('Metal2', 1865360, -9600, 1867600, 4800)]
+  * wbs_adr_i[28]: [('Metal2', 1922480, -9600, 1924720, 4800)]
+  * wbs_adr_i[29]: [('Metal2', 1979600, -9600, 1981840, 4800)]
+  * wbs_adr_i[2]: [('Metal2', 399280, -9600, 401520, 4800)]
+  * wbs_adr_i[30]: [('Metal2', 2036720, -9600, 2038960, 4800)]
+  * wbs_adr_i[31]: [('Metal2', 2093840, -9600, 2096080, 4800)]
+  * wbs_adr_i[3]: [('Metal2', 475440, -9600, 477680, 4800)]
+  * wbs_adr_i[4]: [('Metal2', 551600, -9600, 553840, 4800)]
+  * wbs_adr_i[5]: [('Metal2', 608720, -9600, 610960, 4800)]
+  * wbs_adr_i[6]: [('Metal2', 665840, -9600, 668080, 4800)]
+  * wbs_adr_i[7]: [('Metal2', 722960, -9600, 725200, 4800)]
+  * wbs_adr_i[8]: [('Metal2', 780080, -9600, 782320, 4800)]
+  * wbs_adr_i[9]: [('Metal2', 837200, -9600, 839440, 4800)]
+  * wbs_cyc_i: [('Metal2', 189840, -9600, 192080, 4800)]
+  * wbs_dat_i[0]: [('Metal2', 266000, -9600, 268240, 4800)]
+  * wbs_dat_i[10]: [('Metal2', 913360, -9600, 915600, 4800)]
+  * wbs_dat_i[11]: [('Metal2', 970480, -9600, 972720, 4800)]
+  * wbs_dat_i[12]: [('Metal2', 1027600, -9600, 1029840, 4800)]
+  * wbs_dat_i[13]: [('Metal2', 1084720, -9600, 1086960, 4800)]
+  * wbs_dat_i[14]: [('Metal2', 1141840, -9600, 1144080, 4800)]
+  * wbs_dat_i[15]: [('Metal2', 1198960, -9600, 1201200, 4800)]
+  * wbs_dat_i[16]: [('Metal2', 1256080, -9600, 1258320, 4800)]
+  * wbs_dat_i[17]: [('Metal2', 1313200, -9600, 1315440, 4800)]
+  * wbs_dat_i[18]: [('Metal2', 1370320, -9600, 1372560, 4800)]
+  * wbs_dat_i[19]: [('Metal2', 1427440, -9600, 1429680, 4800)]
+  * wbs_dat_i[1]: [('Metal2', 342160, -9600, 344400, 4800)]
+  * wbs_dat_i[20]: [('Metal2', 1484560, -9600, 1486800, 4800)]
+  * wbs_dat_i[21]: [('Metal2', 1541680, -9600, 1543920, 4800)]
+  * wbs_dat_i[22]: [('Metal2', 1598800, -9600, 1601040, 4800)]
+  * wbs_dat_i[23]: [('Metal2', 1655920, -9600, 1658160, 4800)]
+  * wbs_dat_i[24]: [('Metal2', 1713040, -9600, 1715280, 4800)]
+  * wbs_dat_i[25]: [('Metal2', 1770160, -9600, 1772400, 4800)]
+  * wbs_dat_i[26]: [('Metal2', 1827280, -9600, 1829520, 4800)]
+  * wbs_dat_i[27]: [('Metal2', 1884400, -9600, 1886640, 4800)]
+  * wbs_dat_i[28]: [('Metal2', 1941520, -9600, 1943760, 4800)]
+  * wbs_dat_i[29]: [('Metal2', 1998640, -9600, 2000880, 4800)]
+  * wbs_dat_i[2]: [('Metal2', 418320, -9600, 420560, 4800)]
+  * wbs_dat_i[30]: [('Metal2', 2055760, -9600, 2058000, 4800)]
+  * wbs_dat_i[31]: [('Metal2', 2112880, -9600, 2115120, 4800)]
+  * wbs_dat_i[3]: [('Metal2', 494480, -9600, 496720, 4800)]
+  * wbs_dat_i[4]: [('Metal2', 570640, -9600, 572880, 4800)]
+  * wbs_dat_i[5]: [('Metal2', 627760, -9600, 630000, 4800)]
+  * wbs_dat_i[6]: [('Metal2', 684880, -9600, 687120, 4800)]
+  * wbs_dat_i[7]: [('Metal2', 742000, -9600, 744240, 4800)]
+  * wbs_dat_i[8]: [('Metal2', 799120, -9600, 801360, 4800)]
+  * wbs_dat_i[9]: [('Metal2', 856240, -9600, 858480, 4800)]
+  * wbs_dat_o[0]: [('Metal2', 285040, -9600, 287280, 4800)]
+  * wbs_dat_o[10]: [('Metal2', 932400, -9600, 934640, 4800)]
+  * wbs_dat_o[11]: [('Metal2', 989520, -9600, 991760, 4800)]
+  * wbs_dat_o[12]: [('Metal2', 1046640, -9600, 1048880, 4800)]
+  * wbs_dat_o[13]: [('Metal2', 1103760, -9600, 1106000, 4800)]
+  * wbs_dat_o[14]: [('Metal2', 1160880, -9600, 1163120, 4800)]
+  * wbs_dat_o[15]: [('Metal2', 1218000, -9600, 1220240, 4800)]
+  * wbs_dat_o[16]: [('Metal2', 1275120, -9600, 1277360, 4800)]
+  * wbs_dat_o[17]: [('Metal2', 1332240, -9600, 1334480, 4800)]
+  * wbs_dat_o[18]: [('Metal2', 1389360, -9600, 1391600, 4800)]
+  * wbs_dat_o[19]: [('Metal2', 1446480, -9600, 1448720, 4800)]
+  * wbs_dat_o[1]: [('Metal2', 361200, -9600, 363440, 4800)]
+  * wbs_dat_o[20]: [('Metal2', 1503600, -9600, 1505840, 4800)]
+  * wbs_dat_o[21]: [('Metal2', 1560720, -9600, 1562960, 4800)]
+  * wbs_dat_o[22]: [('Metal2', 1617840, -9600, 1620080, 4800)]
+  * wbs_dat_o[23]: [('Metal2', 1674960, -9600, 1677200, 4800)]
+  * wbs_dat_o[24]: [('Metal2', 1732080, -9600, 1734320, 4800)]
+  * wbs_dat_o[25]: [('Metal2', 1789200, -9600, 1791440, 4800)]
+  * wbs_dat_o[26]: [('Metal2', 1846320, -9600, 1848560, 4800)]
+  * wbs_dat_o[27]: [('Metal2', 1903440, -9600, 1905680, 4800)]
+  * wbs_dat_o[28]: [('Metal2', 1960560, -9600, 1962800, 4800)]
+  * wbs_dat_o[29]: [('Metal2', 2017680, -9600, 2019920, 4800)]
+  * wbs_dat_o[2]: [('Metal2', 437360, -9600, 439600, 4800)]
+  * wbs_dat_o[30]: [('Metal2', 2074800, -9600, 2077040, 4800)]
+  * wbs_dat_o[31]: [('Metal2', 2131920, -9600, 2134160, 4800)]
+  * wbs_dat_o[3]: [('Metal2', 513520, -9600, 515760, 4800)]
+  * wbs_dat_o[4]: [('Metal2', 589680, -9600, 591920, 4800)]
+  * wbs_dat_o[5]: [('Metal2', 646800, -9600, 649040, 4800)]
+  * wbs_dat_o[6]: [('Metal2', 703920, -9600, 706160, 4800)]
+  * wbs_dat_o[7]: [('Metal2', 761040, -9600, 763280, 4800)]
+  * wbs_dat_o[8]: [('Metal2', 818160, -9600, 820400, 4800)]
+  * wbs_dat_o[9]: [('Metal2', 875280, -9600, 877520, 4800)]
+  * wbs_sel_i[0]: [('Metal2', 304080, -9600, 306320, 4800)]
+  * wbs_sel_i[1]: [('Metal2', 380240, -9600, 382480, 4800)]
+  * wbs_sel_i[2]: [('Metal2', 456400, -9600, 458640, 4800)]
+  * wbs_sel_i[3]: [('Metal2', 532560, -9600, 534800, 4800)]
+  * wbs_stb_i: [('Metal2', 208880, -9600, 211120, 4800)]
+  * wbs_we_i: [('Metal2', 227920, -9600, 230160, 4800)]
+Wrote pin io_in[0] at layer Metal3 at (5995200, 66640, 6009600, 68880)...
+Wrote pin io_in[10] at layer Metal3 at (5995200, 4065040, 6009600, 4067280)...
+Wrote pin io_in[11] at layer Metal3 at (5995200, 4464880, 6009600, 4467120)...
+Wrote pin io_in[12] at layer Metal3 at (5995200, 4864720, 6009600, 4866960)...
+Wrote pin io_in[13] at layer Metal3 at (5995200, 5264560, 6009600, 5266800)...
+Wrote pin io_in[14] at layer Metal3 at (5995200, 5664400, 6009600, 5666640)...
+Wrote pin io_in[15] at layer Metal2 at (5881680, 5995200, 5883920, 6009600)...
+Wrote pin io_in[16] at layer Metal2 at (5216400, 5995200, 5218640, 6009600)...
+Wrote pin io_in[17] at layer Metal2 at (4551120, 5995200, 4553360, 6009600)...
+Wrote pin io_in[18] at layer Metal2 at (3885840, 5995200, 3888080, 6009600)...
+Wrote pin io_in[19] at layer Metal2 at (3220560, 5995200, 3222800, 6009600)...
+Wrote pin io_in[1] at layer Metal3 at (5995200, 466480, 6009600, 468720)...
+Wrote pin io_in[20] at layer Metal2 at (2555280, 5995200, 2557520, 6009600)...
+Wrote pin io_in[21] at layer Metal2 at (1890000, 5995200, 1892240, 6009600)...
+Wrote pin io_in[22] at layer Metal2 at (1224720, 5995200, 1226960, 6009600)...
+Wrote pin io_in[23] at layer Metal2 at (559440, 5995200, 561680, 6009600)...
+Wrote pin io_in[24] at layer Metal3 at (-9600, 5914160, 4800, 5916400)...
+Wrote pin io_in[25] at layer Metal3 at (-9600, 5487440, 4800, 5489680)...
+Wrote pin io_in[26] at layer Metal3 at (-9600, 5060720, 4800, 5062960)...
+Wrote pin io_in[27] at layer Metal3 at (-9600, 4634000, 4800, 4636240)...
+Wrote pin io_in[28] at layer Metal3 at (-9600, 4207280, 4800, 4209520)...
+Wrote pin io_in[29] at layer Metal3 at (-9600, 3780560, 4800, 3782800)...
+Wrote pin io_in[2] at layer Metal3 at (5995200, 866320, 6009600, 868560)...
+Wrote pin io_in[30] at layer Metal3 at (-9600, 3353840, 4800, 3356080)...
+Wrote pin io_in[31] at layer Metal3 at (-9600, 2927120, 4800, 2929360)...
+Wrote pin io_in[32] at layer Metal3 at (-9600, 2500400, 4800, 2502640)...
+Wrote pin io_in[33] at layer Metal3 at (-9600, 2073680, 4800, 2075920)...
+Wrote pin io_in[34] at layer Metal3 at (-9600, 1646960, 4800, 1649200)...
+Wrote pin io_in[35] at layer Metal3 at (-9600, 1220240, 4800, 1222480)...
+Wrote pin io_in[36] at layer Metal3 at (-9600, 793520, 4800, 795760)...
+Wrote pin io_in[37] at layer Metal3 at (-9600, 366800, 4800, 369040)...
+Wrote pin io_in[3] at layer Metal3 at (5995200, 1266160, 6009600, 1268400)...
+Wrote pin io_in[4] at layer Metal3 at (5995200, 1666000, 6009600, 1668240)...
+Wrote pin io_in[5] at layer Metal3 at (5995200, 2065840, 6009600, 2068080)...
+Wrote pin io_in[6] at layer Metal3 at (5995200, 2465680, 6009600, 2467920)...
+Wrote pin io_in[7] at layer Metal3 at (5995200, 2865520, 6009600, 2867760)...
+Wrote pin io_in[8] at layer Metal3 at (5995200, 3265360, 6009600, 3267600)...
+Wrote pin io_in[9] at layer Metal3 at (5995200, 3665200, 6009600, 3667440)...
+Wrote pin io_oeb[0] at layer Metal3 at (5995200, 333200, 6009600, 335440)...
+Wrote pin io_oeb[10] at layer Metal3 at (5995200, 4331600, 6009600, 4333840)...
+Wrote pin io_oeb[11] at layer Metal3 at (5995200, 4731440, 6009600, 4733680)...
+Wrote pin io_oeb[12] at layer Metal3 at (5995200, 5131280, 6009600, 5133520)...
+Wrote pin io_oeb[13] at layer Metal3 at (5995200, 5531120, 6009600, 5533360)...
+Wrote pin io_oeb[14] at layer Metal3 at (5995200, 5930960, 6009600, 5933200)...
+Wrote pin io_oeb[15] at layer Metal2 at (5438160, 5995200, 5440400, 6009600)...
+Wrote pin io_oeb[16] at layer Metal2 at (4772880, 5995200, 4775120, 6009600)...
+Wrote pin io_oeb[17] at layer Metal2 at (4107600, 5995200, 4109840, 6009600)...
+Wrote pin io_oeb[18] at layer Metal2 at (3442320, 5995200, 3444560, 6009600)...
+Wrote pin io_oeb[19] at layer Metal2 at (2777040, 5995200, 2779280, 6009600)...
+Wrote pin io_oeb[1] at layer Metal3 at (5995200, 733040, 6009600, 735280)...
+Wrote pin io_oeb[20] at layer Metal2 at (2111760, 5995200, 2114000, 6009600)...
+Wrote pin io_oeb[21] at layer Metal2 at (1446480, 5995200, 1448720, 6009600)...
+Wrote pin io_oeb[22] at layer Metal2 at (781200, 5995200, 783440, 6009600)...
+Wrote pin io_oeb[23] at layer Metal2 at (115920, 5995200, 118160, 6009600)...
+Wrote pin io_oeb[24] at layer Metal3 at (-9600, 5629680, 4800, 5631920)...
+Wrote pin io_oeb[25] at layer Metal3 at (-9600, 5202960, 4800, 5205200)...
+Wrote pin io_oeb[26] at layer Metal3 at (-9600, 4776240, 4800, 4778480)...
+Wrote pin io_oeb[27] at layer Metal3 at (-9600, 4349520, 4800, 4351760)...
+Wrote pin io_oeb[28] at layer Metal3 at (-9600, 3922800, 4800, 3925040)...
+Wrote pin io_oeb[29] at layer Metal3 at (-9600, 3496080, 4800, 3498320)...
+Wrote pin io_oeb[2] at layer Metal3 at (5995200, 1132880, 6009600, 1135120)...
+Wrote pin io_oeb[30] at layer Metal3 at (-9600, 3069360, 4800, 3071600)...
+Wrote pin io_oeb[31] at layer Metal3 at (-9600, 2642640, 4800, 2644880)...
+Wrote pin io_oeb[32] at layer Metal3 at (-9600, 2215920, 4800, 2218160)...
+Wrote pin io_oeb[33] at layer Metal3 at (-9600, 1789200, 4800, 1791440)...
+Wrote pin io_oeb[34] at layer Metal3 at (-9600, 1362480, 4800, 1364720)...
+Wrote pin io_oeb[35] at layer Metal3 at (-9600, 935760, 4800, 938000)...
+Wrote pin io_oeb[36] at layer Metal3 at (-9600, 509040, 4800, 511280)...
+Wrote pin io_oeb[37] at layer Metal3 at (-9600, 82320, 4800, 84560)...
+Wrote pin io_oeb[3] at layer Metal3 at (5995200, 1532720, 6009600, 1534960)...
+Wrote pin io_oeb[4] at layer Metal3 at (5995200, 1932560, 6009600, 1934800)...
+Wrote pin io_oeb[5] at layer Metal3 at (5995200, 2332400, 6009600, 2334640)...
+Wrote pin io_oeb[6] at layer Metal3 at (5995200, 2732240, 6009600, 2734480)...
+Wrote pin io_oeb[7] at layer Metal3 at (5995200, 3132080, 6009600, 3134320)...
+Wrote pin io_oeb[8] at layer Metal3 at (5995200, 3531920, 6009600, 3534160)...
+Wrote pin io_oeb[9] at layer Metal3 at (5995200, 3931760, 6009600, 3934000)...
+Wrote pin io_out[0] at layer Metal3 at (5995200, 199920, 6009600, 202160)...
+Wrote pin io_out[10] at layer Metal3 at (5995200, 4198320, 6009600, 4200560)...
+Wrote pin io_out[11] at layer Metal3 at (5995200, 4598160, 6009600, 4600400)...
+Wrote pin io_out[12] at layer Metal3 at (5995200, 4998000, 6009600, 5000240)...
+Wrote pin io_out[13] at layer Metal3 at (5995200, 5397840, 6009600, 5400080)...
+Wrote pin io_out[14] at layer Metal3 at (5995200, 5797680, 6009600, 5799920)...
+Wrote pin io_out[15] at layer Metal2 at (5659920, 5995200, 5662160, 6009600)...
+Wrote pin io_out[16] at layer Metal2 at (4994640, 5995200, 4996880, 6009600)...
+Wrote pin io_out[17] at layer Metal2 at (4329360, 5995200, 4331600, 6009600)...
+Wrote pin io_out[18] at layer Metal2 at (3664080, 5995200, 3666320, 6009600)...
+Wrote pin io_out[19] at layer Metal2 at (2998800, 5995200, 3001040, 6009600)...
+Wrote pin io_out[1] at layer Metal3 at (5995200, 599760, 6009600, 602000)...
+Wrote pin io_out[20] at layer Metal2 at (2333520, 5995200, 2335760, 6009600)...
+Wrote pin io_out[21] at layer Metal2 at (1668240, 5995200, 1670480, 6009600)...
+Wrote pin io_out[22] at layer Metal2 at (1002960, 5995200, 1005200, 6009600)...
+Wrote pin io_out[23] at layer Metal2 at (337680, 5995200, 339920, 6009600)...
+Wrote pin io_out[24] at layer Metal3 at (-9600, 5771920, 4800, 5774160)...
+Wrote pin io_out[25] at layer Metal3 at (-9600, 5345200, 4800, 5347440)...
+Wrote pin io_out[26] at layer Metal3 at (-9600, 4918480, 4800, 4920720)...
+Wrote pin io_out[27] at layer Metal3 at (-9600, 4491760, 4800, 4494000)...
+Wrote pin io_out[28] at layer Metal3 at (-9600, 4065040, 4800, 4067280)...
+Wrote pin io_out[29] at layer Metal3 at (-9600, 3638320, 4800, 3640560)...
+Wrote pin io_out[2] at layer Metal3 at (5995200, 999600, 6009600, 1001840)...
+Wrote pin io_out[30] at layer Metal3 at (-9600, 3211600, 4800, 3213840)...
+Wrote pin io_out[31] at layer Metal3 at (-9600, 2784880, 4800, 2787120)...
+Wrote pin io_out[32] at layer Metal3 at (-9600, 2358160, 4800, 2360400)...
+Wrote pin io_out[33] at layer Metal3 at (-9600, 1931440, 4800, 1933680)...
+Wrote pin io_out[34] at layer Metal3 at (-9600, 1504720, 4800, 1506960)...
+Wrote pin io_out[35] at layer Metal3 at (-9600, 1078000, 4800, 1080240)...
+Wrote pin io_out[36] at layer Metal3 at (-9600, 651280, 4800, 653520)...
+Wrote pin io_out[37] at layer Metal3 at (-9600, 224560, 4800, 226800)...
+Wrote pin io_out[3] at layer Metal3 at (5995200, 1399440, 6009600, 1401680)...
+Wrote pin io_out[4] at layer Metal3 at (5995200, 1799280, 6009600, 1801520)...
+Wrote pin io_out[5] at layer Metal3 at (5995200, 2199120, 6009600, 2201360)...
+Wrote pin io_out[6] at layer Metal3 at (5995200, 2598960, 6009600, 2601200)...
+Wrote pin io_out[7] at layer Metal3 at (5995200, 2998800, 6009600, 3001040)...
+Wrote pin io_out[8] at layer Metal3 at (5995200, 3398640, 6009600, 3400880)...
+Wrote pin io_out[9] at layer Metal3 at (5995200, 3798480, 6009600, 3800720)...
+Wrote pin la_data_in[0] at layer Metal2 at (2150960, -9600, 2153200, 4800)...
+Wrote pin la_data_in[10] at layer Metal2 at (2722160, -9600, 2724400, 4800)...
+Wrote pin la_data_in[11] at layer Metal2 at (2779280, -9600, 2781520, 4800)...
+Wrote pin la_data_in[12] at layer Metal2 at (2836400, -9600, 2838640, 4800)...
+Wrote pin la_data_in[13] at layer Metal2 at (2893520, -9600, 2895760, 4800)...
+Wrote pin la_data_in[14] at layer Metal2 at (2950640, -9600, 2952880, 4800)...
+Wrote pin la_data_in[15] at layer Metal2 at (3007760, -9600, 3010000, 4800)...
+Wrote pin la_data_in[16] at layer Metal2 at (3064880, -9600, 3067120, 4800)...
+Wrote pin la_data_in[17] at layer Metal2 at (3122000, -9600, 3124240, 4800)...
+Wrote pin la_data_in[18] at layer Metal2 at (3179120, -9600, 3181360, 4800)...
+Wrote pin la_data_in[19] at layer Metal2 at (3236240, -9600, 3238480, 4800)...
+Wrote pin la_data_in[1] at layer Metal2 at (2208080, -9600, 2210320, 4800)...
+Wrote pin la_data_in[20] at layer Metal2 at (3293360, -9600, 3295600, 4800)...
+Wrote pin la_data_in[21] at layer Metal2 at (3350480, -9600, 3352720, 4800)...
+Wrote pin la_data_in[22] at layer Metal2 at (3407600, -9600, 3409840, 4800)...
+Wrote pin la_data_in[23] at layer Metal2 at (3464720, -9600, 3466960, 4800)...
+Wrote pin la_data_in[24] at layer Metal2 at (3521840, -9600, 3524080, 4800)...
+Wrote pin la_data_in[25] at layer Metal2 at (3578960, -9600, 3581200, 4800)...
+Wrote pin la_data_in[26] at layer Metal2 at (3636080, -9600, 3638320, 4800)...
+Wrote pin la_data_in[27] at layer Metal2 at (3693200, -9600, 3695440, 4800)...
+Wrote pin la_data_in[28] at layer Metal2 at (3750320, -9600, 3752560, 4800)...
+Wrote pin la_data_in[29] at layer Metal2 at (3807440, -9600, 3809680, 4800)...
+Wrote pin la_data_in[2] at layer Metal2 at (2265200, -9600, 2267440, 4800)...
+Wrote pin la_data_in[30] at layer Metal2 at (3864560, -9600, 3866800, 4800)...
+Wrote pin la_data_in[31] at layer Metal2 at (3921680, -9600, 3923920, 4800)...
+Wrote pin la_data_in[32] at layer Metal2 at (3978800, -9600, 3981040, 4800)...
+Wrote pin la_data_in[33] at layer Metal2 at (4035920, -9600, 4038160, 4800)...
+Wrote pin la_data_in[34] at layer Metal2 at (4093040, -9600, 4095280, 4800)...
+Wrote pin la_data_in[35] at layer Metal2 at (4150160, -9600, 4152400, 4800)...
+Wrote pin la_data_in[36] at layer Metal2 at (4207280, -9600, 4209520, 4800)...
+Wrote pin la_data_in[37] at layer Metal2 at (4264400, -9600, 4266640, 4800)...
+Wrote pin la_data_in[38] at layer Metal2 at (4321520, -9600, 4323760, 4800)...
+Wrote pin la_data_in[39] at layer Metal2 at (4378640, -9600, 4380880, 4800)...
+Wrote pin la_data_in[3] at layer Metal2 at (2322320, -9600, 2324560, 4800)...
+Wrote pin la_data_in[40] at layer Metal2 at (4435760, -9600, 4438000, 4800)...
+Wrote pin la_data_in[41] at layer Metal2 at (4492880, -9600, 4495120, 4800)...
+Wrote pin la_data_in[42] at layer Metal2 at (4550000, -9600, 4552240, 4800)...
+Wrote pin la_data_in[43] at layer Metal2 at (4607120, -9600, 4609360, 4800)...
+Wrote pin la_data_in[44] at layer Metal2 at (4664240, -9600, 4666480, 4800)...
+Wrote pin la_data_in[45] at layer Metal2 at (4721360, -9600, 4723600, 4800)...
+Wrote pin la_data_in[46] at layer Metal2 at (4778480, -9600, 4780720, 4800)...
+Wrote pin la_data_in[47] at layer Metal2 at (4835600, -9600, 4837840, 4800)...
+Wrote pin la_data_in[48] at layer Metal2 at (4892720, -9600, 4894960, 4800)...
+Wrote pin la_data_in[49] at layer Metal2 at (4949840, -9600, 4952080, 4800)...
+Wrote pin la_data_in[4] at layer Metal2 at (2379440, -9600, 2381680, 4800)...
+Wrote pin la_data_in[50] at layer Metal2 at (5006960, -9600, 5009200, 4800)...
+Wrote pin la_data_in[51] at layer Metal2 at (5064080, -9600, 5066320, 4800)...
+Wrote pin la_data_in[52] at layer Metal2 at (5121200, -9600, 5123440, 4800)...
+Wrote pin la_data_in[53] at layer Metal2 at (5178320, -9600, 5180560, 4800)...
+Wrote pin la_data_in[54] at layer Metal2 at (5235440, -9600, 5237680, 4800)...
+Wrote pin la_data_in[55] at layer Metal2 at (5292560, -9600, 5294800, 4800)...
+Wrote pin la_data_in[56] at layer Metal2 at (5349680, -9600, 5351920, 4800)...
+Wrote pin la_data_in[57] at layer Metal2 at (5406800, -9600, 5409040, 4800)...
+Wrote pin la_data_in[58] at layer Metal2 at (5463920, -9600, 5466160, 4800)...
+Wrote pin la_data_in[59] at layer Metal2 at (5521040, -9600, 5523280, 4800)...
+Wrote pin la_data_in[5] at layer Metal2 at (2436560, -9600, 2438800, 4800)...
+Wrote pin la_data_in[60] at layer Metal2 at (5578160, -9600, 5580400, 4800)...
+Wrote pin la_data_in[61] at layer Metal2 at (5635280, -9600, 5637520, 4800)...
+Wrote pin la_data_in[62] at layer Metal2 at (5692400, -9600, 5694640, 4800)...
+Wrote pin la_data_in[63] at layer Metal2 at (5749520, -9600, 5751760, 4800)...
+Wrote pin la_data_in[6] at layer Metal2 at (2493680, -9600, 2495920, 4800)...
+Wrote pin la_data_in[7] at layer Metal2 at (2550800, -9600, 2553040, 4800)...
+Wrote pin la_data_in[8] at layer Metal2 at (2607920, -9600, 2610160, 4800)...
+Wrote pin la_data_in[9] at layer Metal2 at (2665040, -9600, 2667280, 4800)...
+Wrote pin la_data_out[0] at layer Metal2 at (2170000, -9600, 2172240, 4800)...
+Wrote pin la_data_out[10] at layer Metal2 at (2741200, -9600, 2743440, 4800)...
+Wrote pin la_data_out[11] at layer Metal2 at (2798320, -9600, 2800560, 4800)...
+Wrote pin la_data_out[12] at layer Metal2 at (2855440, -9600, 2857680, 4800)...
+Wrote pin la_data_out[13] at layer Metal2 at (2912560, -9600, 2914800, 4800)...
+Wrote pin la_data_out[14] at layer Metal2 at (2969680, -9600, 2971920, 4800)...
+Wrote pin la_data_out[15] at layer Metal2 at (3026800, -9600, 3029040, 4800)...
+Wrote pin la_data_out[16] at layer Metal2 at (3083920, -9600, 3086160, 4800)...
+Wrote pin la_data_out[17] at layer Metal2 at (3141040, -9600, 3143280, 4800)...
+Wrote pin la_data_out[18] at layer Metal2 at (3198160, -9600, 3200400, 4800)...
+Wrote pin la_data_out[19] at layer Metal2 at (3255280, -9600, 3257520, 4800)...
+Wrote pin la_data_out[1] at layer Metal2 at (2227120, -9600, 2229360, 4800)...
+Wrote pin la_data_out[20] at layer Metal2 at (3312400, -9600, 3314640, 4800)...
+Wrote pin la_data_out[21] at layer Metal2 at (3369520, -9600, 3371760, 4800)...
+Wrote pin la_data_out[22] at layer Metal2 at (3426640, -9600, 3428880, 4800)...
+Wrote pin la_data_out[23] at layer Metal2 at (3483760, -9600, 3486000, 4800)...
+Wrote pin la_data_out[24] at layer Metal2 at (3540880, -9600, 3543120, 4800)...
+Wrote pin la_data_out[25] at layer Metal2 at (3598000, -9600, 3600240, 4800)...
+Wrote pin la_data_out[26] at layer Metal2 at (3655120, -9600, 3657360, 4800)...
+Wrote pin la_data_out[27] at layer Metal2 at (3712240, -9600, 3714480, 4800)...
+Wrote pin la_data_out[28] at layer Metal2 at (3769360, -9600, 3771600, 4800)...
+Wrote pin la_data_out[29] at layer Metal2 at (3826480, -9600, 3828720, 4800)...
+Wrote pin la_data_out[2] at layer Metal2 at (2284240, -9600, 2286480, 4800)...
+Wrote pin la_data_out[30] at layer Metal2 at (3883600, -9600, 3885840, 4800)...
+Wrote pin la_data_out[31] at layer Metal2 at (3940720, -9600, 3942960, 4800)...
+Wrote pin la_data_out[32] at layer Metal2 at (3997840, -9600, 4000080, 4800)...
+Wrote pin la_data_out[33] at layer Metal2 at (4054960, -9600, 4057200, 4800)...
+Wrote pin la_data_out[34] at layer Metal2 at (4112080, -9600, 4114320, 4800)...
+Wrote pin la_data_out[35] at layer Metal2 at (4169200, -9600, 4171440, 4800)...
+Wrote pin la_data_out[36] at layer Metal2 at (4226320, -9600, 4228560, 4800)...
+Wrote pin la_data_out[37] at layer Metal2 at (4283440, -9600, 4285680, 4800)...
+Wrote pin la_data_out[38] at layer Metal2 at (4340560, -9600, 4342800, 4800)...
+Wrote pin la_data_out[39] at layer Metal2 at (4397680, -9600, 4399920, 4800)...
+Wrote pin la_data_out[3] at layer Metal2 at (2341360, -9600, 2343600, 4800)...
+Wrote pin la_data_out[40] at layer Metal2 at (4454800, -9600, 4457040, 4800)...
+Wrote pin la_data_out[41] at layer Metal2 at (4511920, -9600, 4514160, 4800)...
+Wrote pin la_data_out[42] at layer Metal2 at (4569040, -9600, 4571280, 4800)...
+Wrote pin la_data_out[43] at layer Metal2 at (4626160, -9600, 4628400, 4800)...
+Wrote pin la_data_out[44] at layer Metal2 at (4683280, -9600, 4685520, 4800)...
+Wrote pin la_data_out[45] at layer Metal2 at (4740400, -9600, 4742640, 4800)...
+Wrote pin la_data_out[46] at layer Metal2 at (4797520, -9600, 4799760, 4800)...
+Wrote pin la_data_out[47] at layer Metal2 at (4854640, -9600, 4856880, 4800)...
+Wrote pin la_data_out[48] at layer Metal2 at (4911760, -9600, 4914000, 4800)...
+Wrote pin la_data_out[49] at layer Metal2 at (4968880, -9600, 4971120, 4800)...
+Wrote pin la_data_out[4] at layer Metal2 at (2398480, -9600, 2400720, 4800)...
+Wrote pin la_data_out[50] at layer Metal2 at (5026000, -9600, 5028240, 4800)...
+Wrote pin la_data_out[51] at layer Metal2 at (5083120, -9600, 5085360, 4800)...
+Wrote pin la_data_out[52] at layer Metal2 at (5140240, -9600, 5142480, 4800)...
+Wrote pin la_data_out[53] at layer Metal2 at (5197360, -9600, 5199600, 4800)...
+Wrote pin la_data_out[54] at layer Metal2 at (5254480, -9600, 5256720, 4800)...
+Wrote pin la_data_out[55] at layer Metal2 at (5311600, -9600, 5313840, 4800)...
+Wrote pin la_data_out[56] at layer Metal2 at (5368720, -9600, 5370960, 4800)...
+Wrote pin la_data_out[57] at layer Metal2 at (5425840, -9600, 5428080, 4800)...
+Wrote pin la_data_out[58] at layer Metal2 at (5482960, -9600, 5485200, 4800)...
+Wrote pin la_data_out[59] at layer Metal2 at (5540080, -9600, 5542320, 4800)...
+Wrote pin la_data_out[5] at layer Metal2 at (2455600, -9600, 2457840, 4800)...
+Wrote pin la_data_out[60] at layer Metal2 at (5597200, -9600, 5599440, 4800)...
+Wrote pin la_data_out[61] at layer Metal2 at (5654320, -9600, 5656560, 4800)...
+Wrote pin la_data_out[62] at layer Metal2 at (5711440, -9600, 5713680, 4800)...
+Wrote pin la_data_out[63] at layer Metal2 at (5768560, -9600, 5770800, 4800)...
+Wrote pin la_data_out[6] at layer Metal2 at (2512720, -9600, 2514960, 4800)...
+Wrote pin la_data_out[7] at layer Metal2 at (2569840, -9600, 2572080, 4800)...
+Wrote pin la_data_out[8] at layer Metal2 at (2626960, -9600, 2629200, 4800)...
+Wrote pin la_data_out[9] at layer Metal2 at (2684080, -9600, 2686320, 4800)...
+Wrote pin la_oenb[0] at layer Metal2 at (2189040, -9600, 2191280, 4800)...
+Wrote pin la_oenb[10] at layer Metal2 at (2760240, -9600, 2762480, 4800)...
+Wrote pin la_oenb[11] at layer Metal2 at (2817360, -9600, 2819600, 4800)...
+Wrote pin la_oenb[12] at layer Metal2 at (2874480, -9600, 2876720, 4800)...
+Wrote pin la_oenb[13] at layer Metal2 at (2931600, -9600, 2933840, 4800)...
+Wrote pin la_oenb[14] at layer Metal2 at (2988720, -9600, 2990960, 4800)...
+Wrote pin la_oenb[15] at layer Metal2 at (3045840, -9600, 3048080, 4800)...
+Wrote pin la_oenb[16] at layer Metal2 at (3102960, -9600, 3105200, 4800)...
+Wrote pin la_oenb[17] at layer Metal2 at (3160080, -9600, 3162320, 4800)...
+Wrote pin la_oenb[18] at layer Metal2 at (3217200, -9600, 3219440, 4800)...
+Wrote pin la_oenb[19] at layer Metal2 at (3274320, -9600, 3276560, 4800)...
+Wrote pin la_oenb[1] at layer Metal2 at (2246160, -9600, 2248400, 4800)...
+Wrote pin la_oenb[20] at layer Metal2 at (3331440, -9600, 3333680, 4800)...
+Wrote pin la_oenb[21] at layer Metal2 at (3388560, -9600, 3390800, 4800)...
+Wrote pin la_oenb[22] at layer Metal2 at (3445680, -9600, 3447920, 4800)...
+Wrote pin la_oenb[23] at layer Metal2 at (3502800, -9600, 3505040, 4800)...
+Wrote pin la_oenb[24] at layer Metal2 at (3559920, -9600, 3562160, 4800)...
+Wrote pin la_oenb[25] at layer Metal2 at (3617040, -9600, 3619280, 4800)...
+Wrote pin la_oenb[26] at layer Metal2 at (3674160, -9600, 3676400, 4800)...
+Wrote pin la_oenb[27] at layer Metal2 at (3731280, -9600, 3733520, 4800)...
+Wrote pin la_oenb[28] at layer Metal2 at (3788400, -9600, 3790640, 4800)...
+Wrote pin la_oenb[29] at layer Metal2 at (3845520, -9600, 3847760, 4800)...
+Wrote pin la_oenb[2] at layer Metal2 at (2303280, -9600, 2305520, 4800)...
+Wrote pin la_oenb[30] at layer Metal2 at (3902640, -9600, 3904880, 4800)...
+Wrote pin la_oenb[31] at layer Metal2 at (3959760, -9600, 3962000, 4800)...
+Wrote pin la_oenb[32] at layer Metal2 at (4016880, -9600, 4019120, 4800)...
+Wrote pin la_oenb[33] at layer Metal2 at (4074000, -9600, 4076240, 4800)...
+Wrote pin la_oenb[34] at layer Metal2 at (4131120, -9600, 4133360, 4800)...
+Wrote pin la_oenb[35] at layer Metal2 at (4188240, -9600, 4190480, 4800)...
+Wrote pin la_oenb[36] at layer Metal2 at (4245360, -9600, 4247600, 4800)...
+Wrote pin la_oenb[37] at layer Metal2 at (4302480, -9600, 4304720, 4800)...
+Wrote pin la_oenb[38] at layer Metal2 at (4359600, -9600, 4361840, 4800)...
+Wrote pin la_oenb[39] at layer Metal2 at (4416720, -9600, 4418960, 4800)...
+Wrote pin la_oenb[3] at layer Metal2 at (2360400, -9600, 2362640, 4800)...
+Wrote pin la_oenb[40] at layer Metal2 at (4473840, -9600, 4476080, 4800)...
+Wrote pin la_oenb[41] at layer Metal2 at (4530960, -9600, 4533200, 4800)...
+Wrote pin la_oenb[42] at layer Metal2 at (4588080, -9600, 4590320, 4800)...
+Wrote pin la_oenb[43] at layer Metal2 at (4645200, -9600, 4647440, 4800)...
+Wrote pin la_oenb[44] at layer Metal2 at (4702320, -9600, 4704560, 4800)...
+Wrote pin la_oenb[45] at layer Metal2 at (4759440, -9600, 4761680, 4800)...
+Wrote pin la_oenb[46] at layer Metal2 at (4816560, -9600, 4818800, 4800)...
+Wrote pin la_oenb[47] at layer Metal2 at (4873680, -9600, 4875920, 4800)...
+Wrote pin la_oenb[48] at layer Metal2 at (4930800, -9600, 4933040, 4800)...
+Wrote pin la_oenb[49] at layer Metal2 at (4987920, -9600, 4990160, 4800)...
+Wrote pin la_oenb[4] at layer Metal2 at (2417520, -9600, 2419760, 4800)...
+Wrote pin la_oenb[50] at layer Metal2 at (5045040, -9600, 5047280, 4800)...
+Wrote pin la_oenb[51] at layer Metal2 at (5102160, -9600, 5104400, 4800)...
+Wrote pin la_oenb[52] at layer Metal2 at (5159280, -9600, 5161520, 4800)...
+Wrote pin la_oenb[53] at layer Metal2 at (5216400, -9600, 5218640, 4800)...
+Wrote pin la_oenb[54] at layer Metal2 at (5273520, -9600, 5275760, 4800)...
+Wrote pin la_oenb[55] at layer Metal2 at (5330640, -9600, 5332880, 4800)...
+Wrote pin la_oenb[56] at layer Metal2 at (5387760, -9600, 5390000, 4800)...
+Wrote pin la_oenb[57] at layer Metal2 at (5444880, -9600, 5447120, 4800)...
+Wrote pin la_oenb[58] at layer Metal2 at (5502000, -9600, 5504240, 4800)...
+Wrote pin la_oenb[59] at layer Metal2 at (5559120, -9600, 5561360, 4800)...
+Wrote pin la_oenb[5] at layer Metal2 at (2474640, -9600, 2476880, 4800)...
+Wrote pin la_oenb[60] at layer Metal2 at (5616240, -9600, 5618480, 4800)...
+Wrote pin la_oenb[61] at layer Metal2 at (5673360, -9600, 5675600, 4800)...
+Wrote pin la_oenb[62] at layer Metal2 at (5730480, -9600, 5732720, 4800)...
+Wrote pin la_oenb[63] at layer Metal2 at (5787600, -9600, 5789840, 4800)...
+Wrote pin la_oenb[6] at layer Metal2 at (2531760, -9600, 2534000, 4800)...
+Wrote pin la_oenb[7] at layer Metal2 at (2588880, -9600, 2591120, 4800)...
+Wrote pin la_oenb[8] at layer Metal2 at (2646000, -9600, 2648240, 4800)...
+Wrote pin la_oenb[9] at layer Metal2 at (2703120, -9600, 2705360, 4800)...
+Wrote pin user_clock2 at layer Metal2 at (5806640, -9600, 5808880, 4800)...
+Wrote pin user_irq[0] at layer Metal2 at (5825680, -9600, 5827920, 4800)...
+Wrote pin user_irq[1] at layer Metal2 at (5844720, -9600, 5846960, 4800)...
+Wrote pin user_irq[2] at layer Metal2 at (5863760, -9600, 5866000, 4800)...
+Wrote pin wb_clk_i at layer Metal2 at (132720, -9600, 134960, 4800)...
+Wrote pin wb_rst_i at layer Metal2 at (151760, -9600, 154000, 4800)...
+Wrote pin wbs_ack_o at layer Metal2 at (170800, -9600, 173040, 4800)...
+Wrote pin wbs_adr_i[0] at layer Metal2 at (246960, -9600, 249200, 4800)...
+Wrote pin wbs_adr_i[10] at layer Metal2 at (894320, -9600, 896560, 4800)...
+Wrote pin wbs_adr_i[11] at layer Metal2 at (951440, -9600, 953680, 4800)...
+Wrote pin wbs_adr_i[12] at layer Metal2 at (1008560, -9600, 1010800, 4800)...
+Wrote pin wbs_adr_i[13] at layer Metal2 at (1065680, -9600, 1067920, 4800)...
+Wrote pin wbs_adr_i[14] at layer Metal2 at (1122800, -9600, 1125040, 4800)...
+Wrote pin wbs_adr_i[15] at layer Metal2 at (1179920, -9600, 1182160, 4800)...
+Wrote pin wbs_adr_i[16] at layer Metal2 at (1237040, -9600, 1239280, 4800)...
+Wrote pin wbs_adr_i[17] at layer Metal2 at (1294160, -9600, 1296400, 4800)...
+Wrote pin wbs_adr_i[18] at layer Metal2 at (1351280, -9600, 1353520, 4800)...
+Wrote pin wbs_adr_i[19] at layer Metal2 at (1408400, -9600, 1410640, 4800)...
+Wrote pin wbs_adr_i[1] at layer Metal2 at (323120, -9600, 325360, 4800)...
+Wrote pin wbs_adr_i[20] at layer Metal2 at (1465520, -9600, 1467760, 4800)...
+Wrote pin wbs_adr_i[21] at layer Metal2 at (1522640, -9600, 1524880, 4800)...
+Wrote pin wbs_adr_i[22] at layer Metal2 at (1579760, -9600, 1582000, 4800)...
+Wrote pin wbs_adr_i[23] at layer Metal2 at (1636880, -9600, 1639120, 4800)...
+Wrote pin wbs_adr_i[24] at layer Metal2 at (1694000, -9600, 1696240, 4800)...
+Wrote pin wbs_adr_i[25] at layer Metal2 at (1751120, -9600, 1753360, 4800)...
+Wrote pin wbs_adr_i[26] at layer Metal2 at (1808240, -9600, 1810480, 4800)...
+Wrote pin wbs_adr_i[27] at layer Metal2 at (1865360, -9600, 1867600, 4800)...
+Wrote pin wbs_adr_i[28] at layer Metal2 at (1922480, -9600, 1924720, 4800)...
+Wrote pin wbs_adr_i[29] at layer Metal2 at (1979600, -9600, 1981840, 4800)...
+Wrote pin wbs_adr_i[2] at layer Metal2 at (399280, -9600, 401520, 4800)...
+Wrote pin wbs_adr_i[30] at layer Metal2 at (2036720, -9600, 2038960, 4800)...
+Wrote pin wbs_adr_i[31] at layer Metal2 at (2093840, -9600, 2096080, 4800)...
+Wrote pin wbs_adr_i[3] at layer Metal2 at (475440, -9600, 477680, 4800)...
+Wrote pin wbs_adr_i[4] at layer Metal2 at (551600, -9600, 553840, 4800)...[INFO ODB-0222] Reading LEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0223]     Created 13 technology layers
+[INFO ODB-0224]     Created 60 technology vias
+[INFO ODB-0225]     Created 230 library cells
+[INFO ODB-0226] Finished LEF file:  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0127] Reading DEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def
+[INFO ODB-0128] Design: user_project_wrapper
+[INFO ODB-0130]     Created 418 pins.
+[INFO ODB-0132]     Created 2 special nets and 0 connections.
+[INFO ODB-0134] Finished DEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/fixed_dont_change/user_project_wrapper_gf180mcu.def
+
+Wrote pin wbs_adr_i[5] at layer Metal2 at (608720, -9600, 610960, 4800)...
+Wrote pin wbs_adr_i[6] at layer Metal2 at (665840, -9600, 668080, 4800)...
+Wrote pin wbs_adr_i[7] at layer Metal2 at (722960, -9600, 725200, 4800)...
+Wrote pin wbs_adr_i[8] at layer Metal2 at (780080, -9600, 782320, 4800)...
+Wrote pin wbs_adr_i[9] at layer Metal2 at (837200, -9600, 839440, 4800)...
+Wrote pin wbs_cyc_i at layer Metal2 at (189840, -9600, 192080, 4800)...
+Wrote pin wbs_dat_i[0] at layer Metal2 at (266000, -9600, 268240, 4800)...
+Wrote pin wbs_dat_i[10] at layer Metal2 at (913360, -9600, 915600, 4800)...
+Wrote pin wbs_dat_i[11] at layer Metal2 at (970480, -9600, 972720, 4800)...
+Wrote pin wbs_dat_i[12] at layer Metal2 at (1027600, -9600, 1029840, 4800)...
+Wrote pin wbs_dat_i[13] at layer Metal2 at (1084720, -9600, 1086960, 4800)...
+Wrote pin wbs_dat_i[14] at layer Metal2 at (1141840, -9600, 1144080, 4800)...
+Wrote pin wbs_dat_i[15] at layer Metal2 at (1198960, -9600, 1201200, 4800)...
+Wrote pin wbs_dat_i[16] at layer Metal2 at (1256080, -9600, 1258320, 4800)...
+Wrote pin wbs_dat_i[17] at layer Metal2 at (1313200, -9600, 1315440, 4800)...
+Wrote pin wbs_dat_i[18] at layer Metal2 at (1370320, -9600, 1372560, 4800)...
+Wrote pin wbs_dat_i[19] at layer Metal2 at (1427440, -9600, 1429680, 4800)...
+Wrote pin wbs_dat_i[1] at layer Metal2 at (342160, -9600, 344400, 4800)...
+Wrote pin wbs_dat_i[20] at layer Metal2 at (1484560, -9600, 1486800, 4800)...
+Wrote pin wbs_dat_i[21] at layer Metal2 at (1541680, -9600, 1543920, 4800)...
+Wrote pin wbs_dat_i[22] at layer Metal2 at (1598800, -9600, 1601040, 4800)...
+Wrote pin wbs_dat_i[23] at layer Metal2 at (1655920, -9600, 1658160, 4800)...
+Wrote pin wbs_dat_i[24] at layer Metal2 at (1713040, -9600, 1715280, 4800)...
+Wrote pin wbs_dat_i[25] at layer Metal2 at (1770160, -9600, 1772400, 4800)...
+Wrote pin wbs_dat_i[26] at layer Metal2 at (1827280, -9600, 1829520, 4800)...
+Wrote pin wbs_dat_i[27] at layer Metal2 at (1884400, -9600, 1886640, 4800)...
+Wrote pin wbs_dat_i[28] at layer Metal2 at (1941520, -9600, 1943760, 4800)...
+Wrote pin wbs_dat_i[29] at layer Metal2 at (1998640, -9600, 2000880, 4800)...
+Wrote pin wbs_dat_i[2] at layer Metal2 at (418320, -9600, 420560, 4800)...
+Wrote pin wbs_dat_i[30] at layer Metal2 at (2055760, -9600, 2058000, 4800)...
+Wrote pin wbs_dat_i[31] at layer Metal2 at (2112880, -9600, 2115120, 4800)...
+Wrote pin wbs_dat_i[3] at layer Metal2 at (494480, -9600, 496720, 4800)...
+Wrote pin wbs_dat_i[4] at layer Metal2 at (570640, -9600, 572880, 4800)...
+Wrote pin wbs_dat_i[5] at layer Metal2 at (627760, -9600, 630000, 4800)...
+Wrote pin wbs_dat_i[6] at layer Metal2 at (684880, -9600, 687120, 4800)...
+Wrote pin wbs_dat_i[7] at layer Metal2 at (742000, -9600, 744240, 4800)...
+Wrote pin wbs_dat_i[8] at layer Metal2 at (799120, -9600, 801360, 4800)...
+Wrote pin wbs_dat_i[9] at layer Metal2 at (856240, -9600, 858480, 4800)...
+Wrote pin wbs_dat_o[0] at layer Metal2 at (285040, -9600, 287280, 4800)...
+Wrote pin wbs_dat_o[10] at layer Metal2 at (932400, -9600, 934640, 4800)...
+Wrote pin wbs_dat_o[11] at layer Metal2 at (989520, -9600, 991760, 4800)...
+Wrote pin wbs_dat_o[12] at layer Metal2 at (1046640, -9600, 1048880, 4800)...
+Wrote pin wbs_dat_o[13] at layer Metal2 at (1103760, -9600, 1106000, 4800)...
+Wrote pin wbs_dat_o[14] at layer Metal2 at (1160880, -9600, 1163120, 4800)...
+Wrote pin wbs_dat_o[15] at layer Metal2 at (1218000, -9600, 1220240, 4800)...
+Wrote pin wbs_dat_o[16] at layer Metal2 at (1275120, -9600, 1277360, 4800)...
+Wrote pin wbs_dat_o[17] at layer Metal2 at (1332240, -9600, 1334480, 4800)...
+Wrote pin wbs_dat_o[18] at layer Metal2 at (1389360, -9600, 1391600, 4800)...
+Wrote pin wbs_dat_o[19] at layer Metal2 at (1446480, -9600, 1448720, 4800)...
+Wrote pin wbs_dat_o[1] at layer Metal2 at (361200, -9600, 363440, 4800)...
+Wrote pin wbs_dat_o[20] at layer Metal2 at (1503600, -9600, 1505840, 4800)...
+Wrote pin wbs_dat_o[21] at layer Metal2 at (1560720, -9600, 1562960, 4800)...
+Wrote pin wbs_dat_o[22] at layer Metal2 at (1617840, -9600, 1620080, 4800)...
+Wrote pin wbs_dat_o[23] at layer Metal2 at (1674960, -9600, 1677200, 4800)...
+Wrote pin wbs_dat_o[24] at layer Metal2 at (1732080, -9600, 1734320, 4800)...
+Wrote pin wbs_dat_o[25] at layer Metal2 at (1789200, -9600, 1791440, 4800)...
+Wrote pin wbs_dat_o[26] at layer Metal2 at (1846320, -9600, 1848560, 4800)...
+Wrote pin wbs_dat_o[27] at layer Metal2 at (1903440, -9600, 1905680, 4800)...
+Wrote pin wbs_dat_o[28] at layer Metal2 at (1960560, -9600, 1962800, 4800)...
+Wrote pin wbs_dat_o[29] at layer Metal2 at (2017680, -9600, 2019920, 4800)...
+Wrote pin wbs_dat_o[2] at layer Metal2 at (437360, -9600, 439600, 4800)...
+Wrote pin wbs_dat_o[30] at layer Metal2 at (2074800, -9600, 2077040, 4800)...
+Wrote pin wbs_dat_o[31] at layer Metal2 at (2131920, -9600, 2134160, 4800)...
+Wrote pin wbs_dat_o[3] at layer Metal2 at (513520, -9600, 515760, 4800)...
+Wrote pin wbs_dat_o[4] at layer Metal2 at (589680, -9600, 591920, 4800)...
+Wrote pin wbs_dat_o[5] at layer Metal2 at (646800, -9600, 649040, 4800)...
+Wrote pin wbs_dat_o[6] at layer Metal2 at (703920, -9600, 706160, 4800)...
+Wrote pin wbs_dat_o[7] at layer Metal2 at (761040, -9600, 763280, 4800)...
+Wrote pin wbs_dat_o[8] at layer Metal2 at (818160, -9600, 820400, 4800)...
+Wrote pin wbs_dat_o[9] at layer Metal2 at (875280, -9600, 877520, 4800)...
+Wrote pin wbs_sel_i[0] at layer Metal2 at (304080, -9600, 306320, 4800)...
+Wrote pin wbs_sel_i[1] at layer Metal2 at (380240, -9600, 382480, 4800)...
+Wrote pin wbs_sel_i[2] at layer Metal2 at (456400, -9600, 458640, 4800)...
+Wrote pin wbs_sel_i[3] at layer Metal2 at (532560, -9600, 534800, 4800)...
+Wrote pin wbs_stb_i at layer Metal2 at (208880, -9600, 211120, 4800)...
+Wrote pin wbs_we_i at layer Metal2 at (227920, -9600, 230160, 4800)...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-io.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-io.log
new file mode 100644
index 0000000..e31ebf2
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-io.log
@@ -0,0 +1,9 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[WARNING PPL-0015] Macro cntr_example_1 is not placed.
+Found 0 macro blocks.
+Using 1u default distance from corners.
+[INFO PPL-0007] Random pin placement.
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.odb...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/4-io.def...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/6-pdn.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/6-pdn.log
new file mode 100644
index 0000000..a3fa817
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/6-pdn.log
@@ -0,0 +1,8 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO PDN-0001] Inserting grid: stdcell_grid
+[INFO PDN-0001] Inserting grid: macro - cntr_example_1
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/6-pdn.odb...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/floorplan/6-pdn.def...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/5-macro_placement.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/5-macro_placement.log
new file mode 100644
index 0000000..ee71518
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/5-macro_placement.log
@@ -0,0 +1,8 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Placing the following macros:
+{'cntr_example_1': ['1250000', '1300000', 'N']}
+Design name: user_project_wrapper
+Placing cntr_example_1
+Successfully placed 1 instances.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/7-global.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/7-global.log
new file mode 100644
index 0000000..6612050
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/7-global.log
@@ -0,0 +1,7 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Design name: user_project_wrapper
+Core Area Boundaries: 43680 47040 5956160 5950560
+Number of instances 1
+Placed 0 instances.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-detailed.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-detailed.log
new file mode 100644
index 0000000..b8d29f3
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-detailed.log
@@ -0,0 +1,17 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Placement Analysis
+---------------------------------
+total displacement          0.0 u
+average displacement        0.0 u
+max displacement            0.0 u
+original HPWL           57476.8 u
+legalized HPWL          57476.8 u
+delta HPWL                    0 %
+
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement/user_project_wrapper.odb...
+Writing netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement/user_project_wrapper.nl.v...
+Writing powered netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement/user_project_wrapper.pnl.v...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/placement/user_project_wrapper.def...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/10-diode_legalization.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/10-diode_legalization.log
new file mode 100644
index 0000000..f3bc74e
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/10-diode_legalization.log
@@ -0,0 +1,17 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Placement Analysis
+---------------------------------
+total displacement          0.0 u
+average displacement        0.0 u
+max displacement            0.0 u
+original HPWL           57476.8 u
+legalized HPWL          57476.8 u
+delta HPWL                    0 %
+
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/diode.odb...
+Writing netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/diode.nl.v...
+Writing powered netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/diode.pnl.v...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/diode.def...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/11-global.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/11-global.log
new file mode 100644
index 0000000..6bafe4d
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/11-global.log
@@ -0,0 +1,587 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO]: Setting signal min routing layer to: Metal2 and clock min routing layer to Metal2. 
+[INFO]: Setting signal max routing layer to: Metal4 and clock max routing layer to Metal4. 
+-congestion_iterations 50 -verbose
+[INFO GRT-0020] Min routing layer: Metal2
+[INFO GRT-0021] Max routing layer: Metal4
+[INFO GRT-0022] Global adjustment: 30%
+[INFO GRT-0023] Grid origin: (0, 0)
+[INFO GRT-0043] No OR_DEFAULT vias defined.
+[INFO GRT-0088] Layer Metal1  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5450
+[INFO GRT-0088] Layer Metal2  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5800
+[INFO GRT-0088] Layer Metal3  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5800
+[INFO GRT-0088] Layer Metal4  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5800
+[INFO GRT-0019] Found 0 clock nets.
+[WARNING GRT-0036] Pin io_in[0] is outside die area.
+[WARNING GRT-0036] Pin io_in[10] is outside die area.
+[WARNING GRT-0036] Pin io_in[11] is outside die area.
+[WARNING GRT-0036] Pin io_in[12] is outside die area.
+[WARNING GRT-0036] Pin io_in[13] is outside die area.
+[WARNING GRT-0036] Pin io_in[14] is outside die area.
+[WARNING GRT-0036] Pin io_in[15] is outside die area.
+[WARNING GRT-0036] Pin io_in[16] is outside die area.
+[WARNING GRT-0036] Pin io_in[17] is outside die area.
+[WARNING GRT-0036] Pin io_in[18] is outside die area.
+[WARNING GRT-0036] Pin io_in[19] is outside die area.
+[WARNING GRT-0036] Pin io_in[1] is outside die area.
+[WARNING GRT-0036] Pin io_in[20] is outside die area.
+[WARNING GRT-0036] Pin io_in[21] is outside die area.
+[WARNING GRT-0036] Pin io_in[22] is outside die area.
+[WARNING GRT-0036] Pin io_in[23] is outside die area.
+[WARNING GRT-0036] Pin io_in[24] is outside die area.
+[WARNING GRT-0036] Pin io_in[25] is outside die area.
+[WARNING GRT-0036] Pin io_in[26] is outside die area.
+[WARNING GRT-0036] Pin io_in[27] is outside die area.
+[WARNING GRT-0036] Pin io_in[28] is outside die area.
+[WARNING GRT-0036] Pin io_in[29] is outside die area.
+[WARNING GRT-0036] Pin io_in[2] is outside die area.
+[WARNING GRT-0036] Pin io_in[30] is outside die area.
+[WARNING GRT-0036] Pin io_in[31] is outside die area.
+[WARNING GRT-0036] Pin io_in[32] is outside die area.
+[WARNING GRT-0036] Pin io_in[33] is outside die area.
+[WARNING GRT-0036] Pin io_in[34] is outside die area.
+[WARNING GRT-0036] Pin io_in[35] is outside die area.
+[WARNING GRT-0036] Pin io_in[36] is outside die area.
+[WARNING GRT-0036] Pin io_in[37] is outside die area.
+[WARNING GRT-0036] Pin io_in[3] is outside die area.
+[WARNING GRT-0036] Pin io_in[4] is outside die area.
+[WARNING GRT-0036] Pin io_in[5] is outside die area.
+[WARNING GRT-0036] Pin io_in[6] is outside die area.
+[WARNING GRT-0036] Pin io_in[7] is outside die area.
+[WARNING GRT-0036] Pin io_in[8] is outside die area.
+[WARNING GRT-0036] Pin io_in[9] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[0] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[10] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[11] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[12] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[13] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[14] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[15] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[16] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[17] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[18] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[19] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[1] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[20] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[21] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[22] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[23] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[24] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[25] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[26] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[27] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[28] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[29] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[2] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[30] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[31] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[32] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[33] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[34] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[35] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[36] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[37] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[3] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[4] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[5] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[6] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[7] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[8] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[9] is outside die area.
+[WARNING GRT-0036] Pin io_out[0] is outside die area.
+[WARNING GRT-0036] Pin io_out[10] is outside die area.
+[WARNING GRT-0036] Pin io_out[11] is outside die area.
+[WARNING GRT-0036] Pin io_out[12] is outside die area.
+[WARNING GRT-0036] Pin io_out[13] is outside die area.
+[WARNING GRT-0036] Pin io_out[14] is outside die area.
+[WARNING GRT-0036] Pin io_out[15] is outside die area.
+[WARNING GRT-0036] Pin io_out[16] is outside die area.
+[WARNING GRT-0036] Pin io_out[17] is outside die area.
+[WARNING GRT-0036] Pin io_out[18] is outside die area.
+[WARNING GRT-0036] Pin io_out[19] is outside die area.
+[WARNING GRT-0036] Pin io_out[1] is outside die area.
+[WARNING GRT-0036] Pin io_out[20] is outside die area.
+[WARNING GRT-0036] Pin io_out[21] is outside die area.
+[WARNING GRT-0036] Pin io_out[22] is outside die area.
+[WARNING GRT-0036] Pin io_out[23] is outside die area.
+[WARNING GRT-0036] Pin io_out[24] is outside die area.
+[WARNING GRT-0036] Pin io_out[25] is outside die area.
+[WARNING GRT-0036] Pin io_out[26] is outside die area.
+[WARNING GRT-0036] Pin io_out[27] is outside die area.
+[WARNING GRT-0036] Pin io_out[28] is outside die area.
+[WARNING GRT-0036] Pin io_out[29] is outside die area.
+[WARNING GRT-0036] Pin io_out[2] is outside die area.
+[WARNING GRT-0036] Pin io_out[30] is outside die area.
+[WARNING GRT-0036] Pin io_out[31] is outside die area.
+[WARNING GRT-0036] Pin io_out[32] is outside die area.
+[WARNING GRT-0036] Pin io_out[33] is outside die area.
+[WARNING GRT-0036] Pin io_out[34] is outside die area.
+[WARNING GRT-0036] Pin io_out[35] is outside die area.
+[WARNING GRT-0036] Pin io_out[36] is outside die area.
+[WARNING GRT-0036] Pin io_out[37] is outside die area.
+[WARNING GRT-0036] Pin io_out[3] is outside die area.
+[WARNING GRT-0036] Pin io_out[4] is outside die area.
+[WARNING GRT-0036] Pin io_out[5] is outside die area.
+[WARNING GRT-0036] Pin io_out[6] is outside die area.
+[WARNING GRT-0036] Pin io_out[7] is outside die area.
+[WARNING GRT-0036] Pin io_out[8] is outside die area.
+[WARNING GRT-0036] Pin io_out[9] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[0] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[10] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[11] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[12] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[13] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[14] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[15] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[16] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[17] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[18] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[19] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[1] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[20] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[21] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[22] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[23] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[24] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[25] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[26] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[27] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[28] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[29] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[2] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[30] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[31] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[32] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[33] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[34] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[35] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[36] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[37] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[38] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[39] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[3] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[40] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[41] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[42] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[43] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[44] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[45] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[46] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[47] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[48] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[49] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[4] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[50] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[51] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[52] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[53] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[54] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[55] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[56] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[57] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[58] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[59] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[5] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[60] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[61] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[62] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[63] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[6] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[7] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[8] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[9] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[0] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[10] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[11] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[12] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[13] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[14] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[15] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[16] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[17] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[18] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[19] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[1] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[20] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[21] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[22] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[23] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[24] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[25] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[26] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[27] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[28] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[29] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[2] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[30] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[31] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[32] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[33] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[34] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[35] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[36] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[37] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[38] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[39] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[3] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[40] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[41] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[42] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[43] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[44] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[45] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[46] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[47] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[48] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[49] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[4] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[50] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[51] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[52] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[53] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[54] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[55] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[56] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[57] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[58] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[59] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[5] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[60] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[61] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[62] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[63] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[6] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[7] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[8] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[9] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[0] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[10] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[11] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[12] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[13] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[14] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[15] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[16] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[17] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[18] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[19] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[1] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[20] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[21] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[22] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[23] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[24] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[25] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[26] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[27] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[28] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[29] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[2] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[30] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[31] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[32] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[33] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[34] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[35] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[36] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[37] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[38] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[39] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[3] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[40] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[41] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[42] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[43] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[44] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[45] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[46] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[47] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[48] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[49] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[4] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[50] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[51] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[52] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[53] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[54] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[55] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[56] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[57] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[58] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[59] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[5] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[60] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[61] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[62] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[63] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[6] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[7] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[8] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[9] is outside die area.
+[WARNING GRT-0036] Pin user_clock2 is outside die area.
+[WARNING GRT-0036] Pin user_irq[0] is outside die area.
+[WARNING GRT-0036] Pin user_irq[1] is outside die area.
+[WARNING GRT-0036] Pin user_irq[2] is outside die area.
+[WARNING GRT-0036] Pin wb_clk_i is outside die area.
+[WARNING GRT-0036] Pin wb_rst_i is outside die area.
+[WARNING GRT-0036] Pin wbs_ack_o is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[10] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[11] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[12] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[13] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[14] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[15] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[16] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[17] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[18] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[19] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[20] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[21] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[22] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[23] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[24] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[25] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[26] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[27] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[28] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[29] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[30] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[31] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[4] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[5] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[6] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[7] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[8] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[9] is outside die area.
+[WARNING GRT-0036] Pin wbs_cyc_i is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[10] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[11] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[12] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[13] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[14] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[15] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[16] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[17] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[18] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[19] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[20] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[21] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[22] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[23] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[24] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[25] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[26] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[27] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[28] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[29] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[30] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[31] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[4] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[5] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[6] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[7] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[8] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[9] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[10] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[11] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[12] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[13] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[14] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[15] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[16] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[17] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[18] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[19] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[20] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[21] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[22] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[23] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[24] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[25] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[26] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[27] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[28] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[29] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[30] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[31] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[4] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[5] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[6] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[7] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[8] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[9] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_stb_i is outside die area.
+[WARNING GRT-0036] Pin wbs_we_i is outside die area.
+[INFO GRT-0001] Minimum degree: 2
+[INFO GRT-0002] Maximum degree: 2
+[INFO GRT-0003] Macros: 1
+[WARNING GRT-0040] Net vss has wires outside die area.
+[INFO GRT-0004] Blockages: 44
+
+[INFO GRT-0053] Routing resources analysis:
+          Routing      Original      Derated      Resource
+Layer     Direction    Resources     Resources    Reduction (%)
+---------------------------------------------------------------
+Metal1     Horizontal          0             0          0.00%
+Metal2     Vertical      1784286        860808          51.76%
+Metal3     Horizontal    1784286        860808          51.76%
+Metal4     Vertical      1784286        973611          45.43%
+---------------------------------------------------------------
+
+[INFO GRT-0101] Running extra iterations to remove overflow.
+[INFO GRT-0197] Via related to pin nodes: 72
+[INFO GRT-0198] Via related Steiner nodes: 0
+[INFO GRT-0199] Via filling finished.
+[INFO GRT-0111] Final number of vias: 136
+[INFO GRT-0112] Final usage 3D: 7263
+
+[INFO GRT-0096] Final congestion report:
+Layer         Resource        Demand        Usage (%)    Max H / Max V / Total Overflow
+---------------------------------------------------------------------------------------
+Metal1               0             0            0.00%             0 /  0 /  0
+Metal2          860808          3388            0.39%             0 /  0 /  0
+Metal3          860808          3467            0.40%             0 /  0 /  0
+Metal4          973611             0            0.00%             0 /  0 /  0
+---------------------------------------------------------------------------------------
+Total          2695227          6855            0.25%             0 /  0 /  0
+
+[INFO GRT-0018] Total wirelength: 59404 um
+[INFO GRT-0014] Routed nets: 22
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.odb...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.def...
+Writing routing guides to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.guide...
+[INFO]: Setting RC values...
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+No paths found.
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+No paths found.
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+Startpoint: wb_rst_i (input port clocked by user_clock2)
+Endpoint: cntr_example_1/wb_rst_i (internal pin)
+Path Group: (none)
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                         13.00   13.00 ^ input external delay
+                  0.91    0.53   13.53 ^ wb_rst_i (in)
+     1    0.04                           wb_rst_i (net)
+                  0.91    0.00   13.53 ^ cntr_example_1/wb_rst_i (cntr_example)
+                                 13.53   data arrival time
+-----------------------------------------------------------------------------
+(Path is unconstrained)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+===========================================================================
+max slew violation count 0
+max fanout violation count 0
+max cap violation count 0
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns 0.00
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns 0.00
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack INF
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack INF
+worst_slack_end
+clock_skew
+
+===========================================================================
+ report_clock_skew
+============================================================================
+Clock user_clock2
+No launch/capture paths found.
+
+clock_skew_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+Group                  Internal  Switching    Leakage      Total
+                          Power      Power      Power      Power (Watts)
+----------------------------------------------------------------
+Sequential             0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Combinational          0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+----------------------------------------------------------------
+Total                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+                           0.0%       0.0%       0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 2250000 u^2 26% utilization.
+area_report_end
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.odb...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.def...
+Writing routing guides to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/11-global.guide...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/11-global_write_netlist.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/11-global_write_netlist.log
new file mode 100644
index 0000000..388c5ab
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/11-global_write_netlist.log
@@ -0,0 +1,7 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/global.nl.v...
+Writing powered netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/routing/global.pnl.v...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/13-detailed.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/13-detailed.log
new file mode 100644
index 0000000..8c7aa9c
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/13-detailed.log
@@ -0,0 +1,336 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ORD-0030] Using 2 thread(s).
+[INFO DRT-0149] Reading tech and libs.
+[WARNING DRT-0140] SpacingRange unsupported.
+[WARNING DRT-0140] SpacingRange unsupported.
+[WARNING DRT-0140] SpacingRange unsupported.
+[WARNING DRT-0140] SpacingRange unsupported.
+[WARNING DRT-0140] SpacingRange unsupported.
+
+Units:                2000
+Number of layers:     11
+Number of macros:     230
+Number of vias:       60
+Number of viarulegen: 18
+
+[INFO DRT-0150] Reading design.
+
+Design:                   user_project_wrapper
+Die area:                 ( 0 0 ) ( 6000000 6000000 )
+Number of track patterns: 10
+Number of DEF vias:       2
+Number of components:     1
+Number of terminals:      418
+Number of snets:          2
+Number of nets:           434
+
+[INFO DRT-0167] List of default vias:
+  Layer Via1
+    default via: Via1_HV
+  Layer Via2
+    default via: Via2_VH
+  Layer Via3
+    default via: Via3_HV
+  Layer Via4
+    default via: Via4_1_VH
+[INFO DRT-0162] Library cell analysis.
+[INFO DRT-0163] Instance analysis.
+[INFO DRT-0164] Number of unique instances = 1.
+[INFO DRT-0168] Init region query.
+[INFO DRT-0024]   Complete Poly2.
+[INFO DRT-0024]   Complete CON.
+[INFO DRT-0024]   Complete Metal1.
+[INFO DRT-0024]   Complete Via1.
+[INFO DRT-0024]   Complete Metal2.
+[INFO DRT-0024]   Complete Via2.
+[INFO DRT-0024]   Complete Metal3.
+[INFO DRT-0024]   Complete Via3.
+[INFO DRT-0024]   Complete Metal4.
+[INFO DRT-0024]   Complete Via4.
+[INFO DRT-0024]   Complete Metal5.
+[INFO DRT-0033] Poly2 shape region query size = 0.
+[INFO DRT-0033] CON shape region query size = 0.
+[INFO DRT-0033] Metal1 shape region query size = 1.
+[INFO DRT-0033] Via1 shape region query size = 0.
+[INFO DRT-0033] Metal2 shape region query size = 371.
+[INFO DRT-0033] Via2 shape region query size = 0.
+[INFO DRT-0033] Metal3 shape region query size = 128.
+[INFO DRT-0033] Via3 shape region query size = 0.
+[INFO DRT-0033] Metal4 shape region query size = 3445.
+[INFO DRT-0033] Via4 shape region query size = 49808.
+[INFO DRT-0033] Metal5 shape region query size = 3418.
+[INFO DRT-0165] Start pin access.
+[INFO DRT-0078]   Complete 40 pins.
+[INFO DRT-0081]   Complete 0 unique inst patterns.
+[INFO DRT-0084]   Complete 0 groups.
+#scanned instances     = 1
+#unique  instances     = 1
+#stdCellGenAp          = 0
+#stdCellValidPlanarAp  = 0
+#stdCellValidViaAp     = 0
+#stdCellPinNoAp        = 0
+#stdCellPinCnt         = 0
+#instTermValidViaApCnt = 0
+#macroGenAp            = 400
+#macroValidPlanarAp    = 337
+#macroValidViaAp       = 205
+#macroNoAp             = 0
+[INFO DRT-0166] Complete pin access.
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 205.34 (MB), peak = 205.34 (MB)
+
+Number of guides:     144
+
+[INFO DRT-0169] Post process guides.
+[INFO DRT-0176] GCELLGRID X 0 DO 357 STEP 16800 ;
+[INFO DRT-0177] GCELLGRID Y 0 DO 357 STEP 16800 ;
+[INFO DRT-0028]   Complete Poly2.
+[INFO DRT-0028]   Complete CON.
+[INFO DRT-0028]   Complete Metal1.
+[INFO DRT-0028]   Complete Via1.
+[INFO DRT-0028]   Complete Metal2.
+[INFO DRT-0028]   Complete Via2.
+[INFO DRT-0028]   Complete Metal3.
+[INFO DRT-0028]   Complete Via3.
+[INFO DRT-0028]   Complete Metal4.
+[INFO DRT-0028]   Complete Via4.
+[INFO DRT-0028]   Complete Metal5.
+[INFO DRT-0178] Init guide query.
+[INFO DRT-0035]   Complete Poly2 (guide).
+[INFO DRT-0035]   Complete CON (guide).
+[INFO DRT-0035]   Complete Metal1 (guide).
+[INFO DRT-0035]   Complete Via1 (guide).
+[INFO DRT-0035]   Complete Metal2 (guide).
+[INFO DRT-0035]   Complete Via2 (guide).
+[INFO DRT-0035]   Complete Metal3 (guide).
+[INFO DRT-0035]   Complete Via3 (guide).
+[INFO DRT-0035]   Complete Metal4 (guide).
+[INFO DRT-0035]   Complete Via4 (guide).
+[INFO DRT-0035]   Complete Metal5 (guide).
+[INFO DRT-0036] Poly2 guide region query size = 0.
+[INFO DRT-0036] CON guide region query size = 0.
+[INFO DRT-0036] Metal1 guide region query size = 0.
+[INFO DRT-0036] Via1 guide region query size = 0.
+[INFO DRT-0036] Metal2 guide region query size = 49.
+[INFO DRT-0036] Via2 guide region query size = 0.
+[INFO DRT-0036] Metal3 guide region query size = 52.
+[INFO DRT-0036] Via3 guide region query size = 0.
+[INFO DRT-0036] Metal4 guide region query size = 0.
+[INFO DRT-0036] Via4 guide region query size = 0.
+[INFO DRT-0036] Metal5 guide region query size = 0.
+[INFO DRT-0179] Init gr pin query.
+[INFO DRT-0245] skipped writing guide updates to database.
+[INFO DRT-0185] Post process initialize RPin region query.
+[INFO DRT-0181] Start track assignment.
+[INFO DRT-0184] Done with 49 vertical wires in 8 frboxes and 52 horizontal wires in 8 frboxes.
+[INFO DRT-0186] Done with 7 vertical wires in 8 frboxes and 5 horizontal wires in 8 frboxes.
+[INFO DRT-0182] Complete track assignment.
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 206.50 (MB), peak = 206.50 (MB)
+[INFO DRT-0187] Start routing data preparation.
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 211.70 (MB), peak = 211.70 (MB)
+[INFO DRT-0194] Start detail routing.
+[INFO DRT-0195] Start 0th optimization iteration.
+    Completing 10% with 0 violations.
+    elapsed time = 00:00:00, memory = 234.50 (MB).
+    Completing 20% with 0 violations.
+    elapsed time = 00:00:01, memory = 255.44 (MB).
+    Completing 30% with 0 violations.
+    elapsed time = 00:00:01, memory = 245.39 (MB).
+    Completing 40% with 0 violations.
+    elapsed time = 00:00:02, memory = 255.70 (MB).
+    Completing 50% with 0 violations.
+    elapsed time = 00:00:03, memory = 264.77 (MB).
+    Completing 60% with 3 violations.
+    elapsed time = 00:00:03, memory = 266.42 (MB).
+    Completing 70% with 3 violations.
+    elapsed time = 00:00:04, memory = 266.60 (MB).
+    Completing 80% with 3 violations.
+    elapsed time = 00:00:05, memory = 266.86 (MB).
+    Completing 90% with 3 violations.
+    elapsed time = 00:00:05, memory = 266.86 (MB).
+    Completing 100% with 6 violations.
+    elapsed time = 00:00:06, memory = 255.75 (MB).
+[INFO DRT-0199]   Number of violations = 6.
+Viol/Layer      Metal2
+Short                6
+[INFO DRT-0267] cpu time = 00:00:13, elapsed time = 00:00:06, memory = 254.46 (MB), peak = 533.41 (MB)
+Total wire length = 58226 um.
+Total wire length on LAYER Metal1 = 0 um.
+Total wire length on LAYER Metal2 = 28661 um.
+Total wire length on LAYER Metal3 = 29565 um.
+Total wire length on LAYER Metal4 = 0 um.
+Total wire length on LAYER Metal5 = 0 um.
+Total number of vias = 74.
+Up-via summary (total 74):.
+
+-------------
+  Poly2     0
+ Metal1     0
+ Metal2    74
+ Metal3     0
+ Metal4     0
+-------------
+           74
+
+
+[INFO DRT-0195] Start 1st optimization iteration.
+    Completing 10% with 6 violations.
+    elapsed time = 00:00:00, memory = 254.46 (MB).
+    Completing 20% with 6 violations.
+    elapsed time = 00:00:01, memory = 261.16 (MB).
+    Completing 30% with 6 violations.
+    elapsed time = 00:00:01, memory = 265.80 (MB).
+    Completing 40% with 6 violations.
+    elapsed time = 00:00:02, memory = 265.88 (MB).
+    Completing 50% with 6 violations.
+    elapsed time = 00:00:03, memory = 265.88 (MB).
+    Completing 60% with 15 violations.
+    elapsed time = 00:00:03, memory = 265.88 (MB).
+    Completing 70% with 15 violations.
+    elapsed time = 00:00:04, memory = 265.88 (MB).
+    Completing 80% with 15 violations.
+    elapsed time = 00:00:05, memory = 265.88 (MB).
+    Completing 90% with 15 violations.
+    elapsed time = 00:00:05, memory = 265.88 (MB).
+    Completing 100% with 24 violations.
+    elapsed time = 00:00:06, memory = 265.88 (MB).
+[INFO DRT-0199]   Number of violations = 24.
+Viol/Layer      Metal2
+Metal Spacing       12
+Short               12
+[INFO DRT-0267] cpu time = 00:00:13, elapsed time = 00:00:06, memory = 265.53 (MB), peak = 544.83 (MB)
+Total wire length = 58234 um.
+Total wire length on LAYER Metal1 = 0 um.
+Total wire length on LAYER Metal2 = 28665 um.
+Total wire length on LAYER Metal3 = 29569 um.
+Total wire length on LAYER Metal4 = 0 um.
+Total wire length on LAYER Metal5 = 0 um.
+Total number of vias = 74.
+Up-via summary (total 74):.
+
+-------------
+  Poly2     0
+ Metal1     0
+ Metal2    74
+ Metal3     0
+ Metal4     0
+-------------
+           74
+
+
+[INFO DRT-0195] Start 2nd optimization iteration.
+    Completing 10% with 24 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 20% with 24 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 30% with 24 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 40% with 24 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 50% with 24 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 60% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 70% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 80% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 90% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 100% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+[INFO DRT-0199]   Number of violations = 21.
+Viol/Layer      Metal2
+Metal Spacing       10
+Short               11
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 265.53 (MB), peak = 544.99 (MB)
+Total wire length = 58233 um.
+Total wire length on LAYER Metal1 = 0 um.
+Total wire length on LAYER Metal2 = 28664 um.
+Total wire length on LAYER Metal3 = 29569 um.
+Total wire length on LAYER Metal4 = 0 um.
+Total wire length on LAYER Metal5 = 0 um.
+Total number of vias = 74.
+Up-via summary (total 74):.
+
+-------------
+  Poly2     0
+ Metal1     0
+ Metal2    74
+ Metal3     0
+ Metal4     0
+-------------
+           74
+
+
+[INFO DRT-0195] Start 3rd optimization iteration.
+    Completing 10% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 20% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 30% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 40% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 50% with 21 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 60% with 12 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 70% with 12 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 80% with 12 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 90% with 12 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+    Completing 100% with 0 violations.
+    elapsed time = 00:00:00, memory = 265.53 (MB).
+[INFO DRT-0199]   Number of violations = 0.
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 265.53 (MB), peak = 544.99 (MB)
+Total wire length = 58233 um.
+Total wire length on LAYER Metal1 = 12 um.
+Total wire length on LAYER Metal2 = 28651 um.
+Total wire length on LAYER Metal3 = 29569 um.
+Total wire length on LAYER Metal4 = 0 um.
+Total wire length on LAYER Metal5 = 0 um.
+Total number of vias = 86.
+Up-via summary (total 86):.
+
+-------------
+  Poly2     0
+ Metal1    12
+ Metal2    74
+ Metal3     0
+ Metal4     0
+-------------
+           86
+
+
+[INFO DRT-0198] Complete detail routing.
+Total wire length = 58233 um.
+Total wire length on LAYER Metal1 = 12 um.
+Total wire length on LAYER Metal2 = 28651 um.
+Total wire length on LAYER Metal3 = 29569 um.
+Total wire length on LAYER Metal4 = 0 um.
+Total wire length on LAYER Metal5 = 0 um.
+Total number of vias = 86.
+Up-via summary (total 86):.
+
+-------------
+  Poly2     0
+ Metal1    12
+ Metal2    74
+ Metal3     0
+ Metal4     0
+-------------
+           86
+
+
+[INFO DRT-0267] cpu time = 00:00:26, elapsed time = 00:00:13, memory = 265.53 (MB), peak = 544.99 (MB)
+
+[INFO DRT-0180] Post processing.
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.odb...
+Writing netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.nl.v...
+Writing powered netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.pnl.v...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/14-wire_lengths.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/14-wire_lengths.log
new file mode 100644
index 0000000..02e74fb
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/14-wire_lengths.log
@@ -0,0 +1,4 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+No wire length surpasses the threshold (Infinity μm).
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/9-resizer.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/9-resizer.log
new file mode 100644
index 0000000..292cf34
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/9-resizer.log
@@ -0,0 +1,601 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO]: Setting signal min routing layer to: Metal2 and clock min routing layer to Metal2. 
+[INFO]: Setting signal max routing layer to: Metal4 and clock max routing layer to Metal4. 
+-congestion_iterations 50 -verbose
+[INFO GRT-0020] Min routing layer: Metal2
+[INFO GRT-0021] Max routing layer: Metal4
+[INFO GRT-0022] Global adjustment: 30%
+[INFO GRT-0023] Grid origin: (0, 0)
+[INFO GRT-0043] No OR_DEFAULT vias defined.
+[INFO GRT-0088] Layer Metal1  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5450
+[INFO GRT-0088] Layer Metal2  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5800
+[INFO GRT-0088] Layer Metal3  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5800
+[INFO GRT-0088] Layer Metal4  Track-Pitch = 0.5600  line-2-Via Pitch: 0.5800
+[INFO GRT-0019] Found 0 clock nets.
+[WARNING GRT-0036] Pin io_in[0] is outside die area.
+[WARNING GRT-0036] Pin io_in[10] is outside die area.
+[WARNING GRT-0036] Pin io_in[11] is outside die area.
+[WARNING GRT-0036] Pin io_in[12] is outside die area.
+[WARNING GRT-0036] Pin io_in[13] is outside die area.
+[WARNING GRT-0036] Pin io_in[14] is outside die area.
+[WARNING GRT-0036] Pin io_in[15] is outside die area.
+[WARNING GRT-0036] Pin io_in[16] is outside die area.
+[WARNING GRT-0036] Pin io_in[17] is outside die area.
+[WARNING GRT-0036] Pin io_in[18] is outside die area.
+[WARNING GRT-0036] Pin io_in[19] is outside die area.
+[WARNING GRT-0036] Pin io_in[1] is outside die area.
+[WARNING GRT-0036] Pin io_in[20] is outside die area.
+[WARNING GRT-0036] Pin io_in[21] is outside die area.
+[WARNING GRT-0036] Pin io_in[22] is outside die area.
+[WARNING GRT-0036] Pin io_in[23] is outside die area.
+[WARNING GRT-0036] Pin io_in[24] is outside die area.
+[WARNING GRT-0036] Pin io_in[25] is outside die area.
+[WARNING GRT-0036] Pin io_in[26] is outside die area.
+[WARNING GRT-0036] Pin io_in[27] is outside die area.
+[WARNING GRT-0036] Pin io_in[28] is outside die area.
+[WARNING GRT-0036] Pin io_in[29] is outside die area.
+[WARNING GRT-0036] Pin io_in[2] is outside die area.
+[WARNING GRT-0036] Pin io_in[30] is outside die area.
+[WARNING GRT-0036] Pin io_in[31] is outside die area.
+[WARNING GRT-0036] Pin io_in[32] is outside die area.
+[WARNING GRT-0036] Pin io_in[33] is outside die area.
+[WARNING GRT-0036] Pin io_in[34] is outside die area.
+[WARNING GRT-0036] Pin io_in[35] is outside die area.
+[WARNING GRT-0036] Pin io_in[36] is outside die area.
+[WARNING GRT-0036] Pin io_in[37] is outside die area.
+[WARNING GRT-0036] Pin io_in[3] is outside die area.
+[WARNING GRT-0036] Pin io_in[4] is outside die area.
+[WARNING GRT-0036] Pin io_in[5] is outside die area.
+[WARNING GRT-0036] Pin io_in[6] is outside die area.
+[WARNING GRT-0036] Pin io_in[7] is outside die area.
+[WARNING GRT-0036] Pin io_in[8] is outside die area.
+[WARNING GRT-0036] Pin io_in[9] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[0] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[10] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[11] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[12] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[13] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[14] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[15] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[16] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[17] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[18] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[19] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[1] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[20] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[21] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[22] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[23] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[24] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[25] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[26] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[27] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[28] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[29] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[2] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[30] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[31] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[32] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[33] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[34] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[35] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[36] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[37] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[3] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[4] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[5] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[6] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[7] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[8] is outside die area.
+[WARNING GRT-0036] Pin io_oeb[9] is outside die area.
+[WARNING GRT-0036] Pin io_out[0] is outside die area.
+[WARNING GRT-0036] Pin io_out[10] is outside die area.
+[WARNING GRT-0036] Pin io_out[11] is outside die area.
+[WARNING GRT-0036] Pin io_out[12] is outside die area.
+[WARNING GRT-0036] Pin io_out[13] is outside die area.
+[WARNING GRT-0036] Pin io_out[14] is outside die area.
+[WARNING GRT-0036] Pin io_out[15] is outside die area.
+[WARNING GRT-0036] Pin io_out[16] is outside die area.
+[WARNING GRT-0036] Pin io_out[17] is outside die area.
+[WARNING GRT-0036] Pin io_out[18] is outside die area.
+[WARNING GRT-0036] Pin io_out[19] is outside die area.
+[WARNING GRT-0036] Pin io_out[1] is outside die area.
+[WARNING GRT-0036] Pin io_out[20] is outside die area.
+[WARNING GRT-0036] Pin io_out[21] is outside die area.
+[WARNING GRT-0036] Pin io_out[22] is outside die area.
+[WARNING GRT-0036] Pin io_out[23] is outside die area.
+[WARNING GRT-0036] Pin io_out[24] is outside die area.
+[WARNING GRT-0036] Pin io_out[25] is outside die area.
+[WARNING GRT-0036] Pin io_out[26] is outside die area.
+[WARNING GRT-0036] Pin io_out[27] is outside die area.
+[WARNING GRT-0036] Pin io_out[28] is outside die area.
+[WARNING GRT-0036] Pin io_out[29] is outside die area.
+[WARNING GRT-0036] Pin io_out[2] is outside die area.
+[WARNING GRT-0036] Pin io_out[30] is outside die area.
+[WARNING GRT-0036] Pin io_out[31] is outside die area.
+[WARNING GRT-0036] Pin io_out[32] is outside die area.
+[WARNING GRT-0036] Pin io_out[33] is outside die area.
+[WARNING GRT-0036] Pin io_out[34] is outside die area.
+[WARNING GRT-0036] Pin io_out[35] is outside die area.
+[WARNING GRT-0036] Pin io_out[36] is outside die area.
+[WARNING GRT-0036] Pin io_out[37] is outside die area.
+[WARNING GRT-0036] Pin io_out[3] is outside die area.
+[WARNING GRT-0036] Pin io_out[4] is outside die area.
+[WARNING GRT-0036] Pin io_out[5] is outside die area.
+[WARNING GRT-0036] Pin io_out[6] is outside die area.
+[WARNING GRT-0036] Pin io_out[7] is outside die area.
+[WARNING GRT-0036] Pin io_out[8] is outside die area.
+[WARNING GRT-0036] Pin io_out[9] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[0] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[10] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[11] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[12] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[13] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[14] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[15] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[16] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[17] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[18] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[19] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[1] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[20] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[21] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[22] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[23] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[24] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[25] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[26] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[27] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[28] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[29] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[2] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[30] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[31] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[32] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[33] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[34] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[35] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[36] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[37] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[38] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[39] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[3] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[40] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[41] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[42] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[43] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[44] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[45] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[46] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[47] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[48] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[49] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[4] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[50] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[51] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[52] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[53] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[54] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[55] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[56] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[57] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[58] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[59] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[5] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[60] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[61] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[62] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[63] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[6] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[7] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[8] is outside die area.
+[WARNING GRT-0036] Pin la_data_in[9] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[0] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[10] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[11] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[12] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[13] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[14] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[15] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[16] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[17] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[18] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[19] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[1] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[20] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[21] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[22] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[23] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[24] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[25] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[26] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[27] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[28] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[29] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[2] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[30] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[31] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[32] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[33] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[34] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[35] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[36] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[37] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[38] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[39] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[3] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[40] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[41] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[42] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[43] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[44] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[45] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[46] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[47] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[48] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[49] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[4] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[50] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[51] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[52] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[53] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[54] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[55] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[56] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[57] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[58] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[59] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[5] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[60] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[61] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[62] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[63] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[6] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[7] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[8] is outside die area.
+[WARNING GRT-0036] Pin la_data_out[9] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[0] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[10] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[11] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[12] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[13] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[14] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[15] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[16] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[17] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[18] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[19] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[1] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[20] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[21] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[22] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[23] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[24] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[25] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[26] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[27] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[28] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[29] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[2] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[30] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[31] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[32] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[33] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[34] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[35] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[36] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[37] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[38] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[39] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[3] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[40] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[41] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[42] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[43] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[44] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[45] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[46] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[47] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[48] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[49] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[4] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[50] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[51] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[52] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[53] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[54] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[55] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[56] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[57] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[58] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[59] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[5] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[60] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[61] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[62] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[63] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[6] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[7] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[8] is outside die area.
+[WARNING GRT-0036] Pin la_oenb[9] is outside die area.
+[WARNING GRT-0036] Pin user_clock2 is outside die area.
+[WARNING GRT-0036] Pin user_irq[0] is outside die area.
+[WARNING GRT-0036] Pin user_irq[1] is outside die area.
+[WARNING GRT-0036] Pin user_irq[2] is outside die area.
+[WARNING GRT-0036] Pin wb_clk_i is outside die area.
+[WARNING GRT-0036] Pin wb_rst_i is outside die area.
+[WARNING GRT-0036] Pin wbs_ack_o is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[10] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[11] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[12] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[13] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[14] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[15] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[16] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[17] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[18] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[19] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[20] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[21] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[22] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[23] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[24] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[25] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[26] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[27] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[28] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[29] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[30] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[31] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[4] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[5] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[6] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[7] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[8] is outside die area.
+[WARNING GRT-0036] Pin wbs_adr_i[9] is outside die area.
+[WARNING GRT-0036] Pin wbs_cyc_i is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[10] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[11] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[12] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[13] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[14] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[15] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[16] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[17] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[18] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[19] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[20] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[21] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[22] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[23] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[24] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[25] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[26] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[27] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[28] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[29] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[30] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[31] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[4] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[5] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[6] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[7] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[8] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_i[9] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[10] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[11] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[12] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[13] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[14] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[15] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[16] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[17] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[18] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[19] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[20] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[21] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[22] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[23] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[24] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[25] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[26] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[27] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[28] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[29] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[30] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[31] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[4] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[5] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[6] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[7] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[8] is outside die area.
+[WARNING GRT-0036] Pin wbs_dat_o[9] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[0] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[1] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[2] is outside die area.
+[WARNING GRT-0036] Pin wbs_sel_i[3] is outside die area.
+[WARNING GRT-0036] Pin wbs_stb_i is outside die area.
+[WARNING GRT-0036] Pin wbs_we_i is outside die area.
+[INFO GRT-0001] Minimum degree: 2
+[INFO GRT-0002] Maximum degree: 2
+[INFO GRT-0003] Macros: 1
+[WARNING GRT-0040] Net vss has wires outside die area.
+[INFO GRT-0004] Blockages: 44
+
+[INFO GRT-0053] Routing resources analysis:
+          Routing      Original      Derated      Resource
+Layer     Direction    Resources     Resources    Reduction (%)
+---------------------------------------------------------------
+Metal1     Horizontal          0             0          0.00%
+Metal2     Vertical      1784286        860808          51.76%
+Metal3     Horizontal    1784286        860808          51.76%
+Metal4     Vertical      1784286        973611          45.43%
+---------------------------------------------------------------
+
+[INFO GRT-0101] Running extra iterations to remove overflow.
+[INFO GRT-0197] Via related to pin nodes: 72
+[INFO GRT-0198] Via related Steiner nodes: 0
+[INFO GRT-0199] Via filling finished.
+[INFO GRT-0111] Final number of vias: 136
+[INFO GRT-0112] Final usage 3D: 7263
+
+[INFO GRT-0096] Final congestion report:
+Layer         Resource        Demand        Usage (%)    Max H / Max V / Total Overflow
+---------------------------------------------------------------------------------------
+Metal1               0             0            0.00%             0 /  0 /  0
+Metal2          860808          3388            0.39%             0 /  0 /  0
+Metal3          860808          3467            0.40%             0 /  0 /  0
+Metal4          973611             0            0.00%             0 /  0 /  0
+---------------------------------------------------------------------------------------
+Total          2695227          6855            0.25%             0 /  0 /  0
+
+[INFO GRT-0018] Total wirelength: 59404 um
+[INFO GRT-0014] Routed nets: 22
+[INFO]: Setting RC values...
+[INFO RSZ-0033] No hold violations found.
+Placement Analysis
+---------------------------------
+total displacement          0.0 u
+average displacement        0.0 u
+max displacement            0.0 u
+original HPWL           57476.8 u
+legalized HPWL          57476.8 u
+delta HPWL                    0 %
+
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.odb...
+Writing netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.nl.v...
+Writing powered netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.pnl.v...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.def...
+Writing timing constraints to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.sdc...
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+No paths found.
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+No paths found.
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+Startpoint: wb_rst_i (input port clocked by user_clock2)
+Endpoint: cntr_example_1/wb_rst_i (internal pin)
+Path Group: (none)
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                         13.00   13.00 ^ input external delay
+                  0.91    0.53   13.53 ^ wb_rst_i (in)
+     1    0.04                           wb_rst_i (net)
+                  0.91    0.00   13.53 ^ cntr_example_1/wb_rst_i (cntr_example)
+                                 13.53   data arrival time
+-----------------------------------------------------------------------------
+(Path is unconstrained)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+===========================================================================
+max slew violation count 0
+max fanout violation count 0
+max cap violation count 0
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns 0.00
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns 0.00
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack INF
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack INF
+worst_slack_end
+clock_skew
+
+===========================================================================
+ report_clock_skew
+============================================================================
+Clock user_clock2
+No launch/capture paths found.
+
+clock_skew_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+Group                  Internal  Switching    Leakage      Total
+                          Power      Power      Power      Power (Watts)
+----------------------------------------------------------------
+Sequential             0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Combinational          0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+----------------------------------------------------------------
+Total                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+                           0.0%       0.0%       0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 2250000 u^2 26% utilization.
+area_report_end
+Setting global connections for newly added cells...
+Writing OpenROAD database to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.odb...
+Writing netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.nl.v...
+Writing powered netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.pnl.v...
+Writing layout to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.def...
+Writing timing constraints to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/9-user_project_wrapper.sdc...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/15-parasitics_extraction.nom.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/15-parasitics_extraction.nom.log
new file mode 100644
index 0000000..b28bf28
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/15-parasitics_extraction.nom.log
@@ -0,0 +1,44 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0223]     Created 13 technology layers
+[INFO ODB-0224]     Created 60 technology vias
+[INFO ODB-0225]     Created 230 library cells
+[INFO ODB-0226] Finished LEF file:  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0127] Reading DEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def
+[INFO ODB-0128] Design: user_project_wrapper
+[INFO ODB-0130]     Created 418 pins.
+[INFO ODB-0131]     Created 1 components and 42 component-terminals.
+[INFO ODB-0132]     Created 2 special nets and 2 connections.
+[INFO ODB-0133]     Created 434 nets and 40 connections.
+[INFO ODB-0134] Finished DEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def
+Using RCX ruleset '/home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.nom.magic'...
+[INFO RCX-0431] Defined process_corner X with ext_model_index 0
+[INFO RCX-0029] Defined extraction corner X
+[INFO RCX-0008] extracting parasitics of user_project_wrapper ...
+[INFO RCX-0435] Reading extraction model file /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/openlane/rules.openrcx.gf180mcuC.nom.magic ...
+[INFO RCX-0436] RC segment generation user_project_wrapper (max_merge_res 50.0) ...
+[INFO RCX-0040] Final 136 rc segments
+[INFO RCX-0439] Coupling Cap extraction user_project_wrapper ...
+[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
+[INFO RCX-0043] 323 wires to be extracted
+[INFO RCX-0442] 7% completion -- 24 wires have been extracted
+[INFO RCX-0442] 18% completion -- 59 wires have been extracted
+[INFO RCX-0442] 25% completion -- 82 wires have been extracted
+[INFO RCX-0442] 38% completion -- 125 wires have been extracted
+[INFO RCX-0442] 49% completion -- 161 wires have been extracted
+[INFO RCX-0442] 55% completion -- 179 wires have been extracted
+[INFO RCX-0442] 62% completion -- 201 wires have been extracted
+[INFO RCX-0442] 71% completion -- 230 wires have been extracted
+[INFO RCX-0442] 81% completion -- 264 wires have been extracted
+[INFO RCX-0442] 100% completion -- 323 wires have been extracted
+[INFO RCX-0045] Extract 434 nets, 158 rsegs, 158 caps, 30 ccs
+[INFO RCX-0015] Finished extracting user_project_wrapper.
+Writing result to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.spef...
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing extracted parasitics to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.spef...
+[INFO RCX-0016] Writing SPEF ...
+[INFO RCX-0443] 434 nets finished
+[INFO RCX-0017] Finished writing SPEF ...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/16-rcx_mcsta.nom.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/16-rcx_mcsta.nom.log
new file mode 100644
index 0000000..3b87905
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/16-rcx_mcsta.nom.log
@@ -0,0 +1,268 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+No paths found.
+
+======================= Typical Corner ===================================
+
+No paths found.
+
+======================= Fastest Corner ===================================
+
+No paths found.
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+No paths found.
+
+======================= Typical Corner ===================================
+
+No paths found.
+
+======================= Fastest Corner ===================================
+
+No paths found.
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: wb_rst_i (input port clocked by user_clock2)
+Endpoint: cntr_example_1/wb_rst_i (internal pin)
+Path Group: (none)
+Path Type: max
+Corner: ss
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                         13.00   13.00 ^ input external delay
+                 25.44   16.07   29.07 ^ wb_rst_i (in)
+     1    0.20                           wb_rst_i (net)
+                 25.44    0.00   29.07 ^ cntr_example_1/wb_rst_i (cntr_example)
+                                 29.07   data arrival time
+-----------------------------------------------------------------------------
+(Path is unconstrained)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: wb_rst_i (input port clocked by user_clock2)
+Endpoint: cntr_example_1/wb_rst_i (internal pin)
+Path Group: (none)
+Path Type: max
+Corner: tt
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                         13.00   13.00 ^ input external delay
+                  4.66    2.91   15.91 ^ wb_rst_i (in)
+     1    0.20                           wb_rst_i (net)
+                  4.67    0.00   15.91 ^ cntr_example_1/wb_rst_i (cntr_example)
+                                 15.91   data arrival time
+-----------------------------------------------------------------------------
+(Path is unconstrained)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: wb_rst_i (input port clocked by user_clock2)
+Endpoint: cntr_example_1/wb_rst_i (internal pin)
+Path Group: (none)
+Path Type: max
+Corner: ff
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                         13.00   13.00 ^ input external delay
+                  2.15    1.40   14.40 ^ wb_rst_i (in)
+     1    0.20                           wb_rst_i (net)
+                  2.16    0.00   14.40 ^ cntr_example_1/wb_rst_i (cntr_example)
+                                 14.40   data arrival time
+-----------------------------------------------------------------------------
+(Path is unconstrained)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+
+======================= Slowest Corner ===================================
+
+No paths found.
+
+======================= Typical Corner ===================================
+
+No paths found.
+
+======================= Fastest Corner ===================================
+
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+======================= Slowest Corner ===================================
+
+max slew
+
+Pin                                    Limit    Slew   Slack
+------------------------------------------------------------
+wb_rst_i                               25.00   25.44   -0.44 (VIOLATED)
+
+max capacitance
+
+Pin                                    Limit     Cap   Slack
+------------------------------------------------------------
+wb_rst_i                                0.19    0.20   -0.01 (VIOLATED)
+
+
+======================= Typical Corner ===================================
+
+
+======================= Fastest Corner ===================================
+
+
+===========================================================================
+max slew violation count 1
+max fanout violation count 0
+max cap violation count 1
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns 0.00
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns 0.00
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack INF
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack INF
+worst_slack_end
+clock_skew
+
+===========================================================================
+ report_clock_skew
+============================================================================
+
+======================== Slowest Corner ==================================
+
+Clock user_clock2
+No launch/capture paths found.
+
+
+======================= Typical Corner ===================================
+
+Clock user_clock2
+No launch/capture paths found.
+
+
+======================= Fastest Corner ===================================
+
+Clock user_clock2
+No launch/capture paths found.
+
+clock_skew_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+
+
+======================= Slowest Corner =================================
+
+Group                  Internal  Switching    Leakage      Total
+                          Power      Power      Power      Power (Watts)
+----------------------------------------------------------------
+Sequential             0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Combinational          0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+----------------------------------------------------------------
+Total                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+                           0.0%       0.0%       0.0%
+
+======================= Typical Corner ===================================
+
+Group                  Internal  Switching    Leakage      Total
+                          Power      Power      Power      Power (Watts)
+----------------------------------------------------------------
+Sequential             0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Combinational          0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+----------------------------------------------------------------
+Total                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+                           0.0%       0.0%       0.0%
+
+
+======================= Fastest Corner =================================
+
+Group                  Internal  Switching    Leakage      Total
+                          Power      Power      Power      Power (Watts)
+----------------------------------------------------------------
+Sequential             0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Combinational          0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+----------------------------------------------------------------
+Total                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+                           0.0%       0.0%       0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 2250000 u^2 26% utilization.
+area_report_end
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing SDF files for all corners...
+Writing SDF for the ff corner to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.ff.sdf...
+Writing SDF for the ss corner to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.ss.sdf...
+Writing SDF for the tt corner to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.tt.sdf...
+Writing timing models for all corners...
+Writing timing models for the ff corner to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.ff.lib...
+Writing timing models for the ss corner to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.ss.lib...
+Writing timing models for the tt corner to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.tt.lib...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/17-rcx_sta.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/17-rcx_sta.log
new file mode 100644
index 0000000..d53e922
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/17-rcx_sta.log
@@ -0,0 +1,118 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+No paths found.
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+No paths found.
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+Startpoint: wb_rst_i (input port clocked by user_clock2)
+Endpoint: cntr_example_1/wb_rst_i (internal pin)
+Path Group: (none)
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                         13.00   13.00 ^ input external delay
+                  4.66    2.91   15.91 ^ wb_rst_i (in)
+     1    0.20                           wb_rst_i (net)
+                  4.67    0.00   15.91 ^ cntr_example_1/wb_rst_i (cntr_example)
+                                 15.91   data arrival time
+-----------------------------------------------------------------------------
+(Path is unconstrained)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+===========================================================================
+max slew violation count 0
+max fanout violation count 0
+max cap violation count 0
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns 0.00
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns 0.00
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack INF
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack INF
+worst_slack_end
+clock_skew
+
+===========================================================================
+ report_clock_skew
+============================================================================
+Clock user_clock2
+No launch/capture paths found.
+
+clock_skew_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+Group                  Internal  Switching    Leakage      Total
+                          Power      Power      Power      Power (Watts)
+----------------------------------------------------------------
+Sequential             0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Combinational          0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+----------------------------------------------------------------
+Total                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+                           0.0%       0.0%       0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 2250000 u^2 26% utilization.
+area_report_end
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing SDF to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.sdf...
+Writing timing model to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/mca/process_corner_nom/user_project_wrapper.lib...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-gds_ptrs.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-gds_ptrs.log
new file mode 100644
index 0000000..6782d77
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-gds_ptrs.log
@@ -0,0 +1,151 @@
+
+Magic 8.3 revision 331 - Compiled on Sat Oct 22 18:40:56 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology gf180mcuC ...
+10 Magic internal units = 1 Lambda
+Input style import: scaleFactor=10, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    obsactive mvobsactive filldiff fillpoly m1hole obsm1 fillm1 obsv1 m2hole obsm2 fillm2 obsv2 m3hole obsm3 fillm3 m4hole obsm4 fillm4 m5hole obsm5 fillm5 glass fillblock lvstext obscomment 
+Scaled tech values by 10 / 1 to match internal grid scaling
+Loading gf180mcuC Device Generator Menu ...
+Using technology "gf180mcuC", version 1.0.349-0-g0059588
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_project_wrapper
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkbuf_4".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Reading "gf180mcu_fd_sc_mcu7t5v0__filltie".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Reading "gf180mcu_fd_sc_mcu7t5v0__endcap".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fill_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fill_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_4".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Reading "gf180mcu_fd_sc_mcu7t5v0__antenna".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_32".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_8".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Reading "gf180mcu_fd_sc_mcu7t5v0__tiel".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_16".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_64".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Reading "gf180mcu_fd_sc_mcu7t5v0__dffq_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__dffq_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nor2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__aoi21_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__xor2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nand2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nand3_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__oai21_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__buf_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkinv_3".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Reading "gf180mcu_fd_sc_mcu7t5v0__buf_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Reading "cntr_example".
+    5000 uses
+    10000 uses
+    15000 uses
+    20000 uses
+    25000 uses
+    30000 uses
+    35000 uses
+    40000 uses
+    45000 uses
+    50000 uses
+    55000 uses
+Reading "user_project_wrapper".
+[INFO]: Wrote /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/gds_ptrs.mag including GDS pointers.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-gdsii.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-gdsii.log
new file mode 100644
index 0000000..edaf9cd
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-gdsii.log
@@ -0,0 +1,233 @@
+
+Magic 8.3 revision 331 - Compiled on Sat Oct 22 18:40:56 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology gf180mcuC ...
+10 Magic internal units = 1 Lambda
+Input style import: scaleFactor=10, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    obsactive mvobsactive filldiff fillpoly m1hole obsm1 fillm1 obsv1 m2hole obsm2 fillm2 obsv2 m3hole obsm3 fillm3 m4hole obsm4 fillm4 m5hole obsm5 fillm5 glass fillblock lvstext obscomment 
+Scaled tech values by 10 / 1 to match internal grid scaling
+Loading gf180mcuC Device Generator Menu ...
+Using technology "gf180mcuC", version 1.0.349-0-g0059588
+Reading LEF data from file /home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/techlef/gf180mcu_fd_sc_mcu7t5v0.tlef.
+This action cannot be undone.
+LEF read, Line 78 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 85 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 95 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 96 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 110 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 126 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 133 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 134 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 135 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 144 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 145 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 161 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 165 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 177 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 184 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 185 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 186 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 195 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 196 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 212 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 216 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 228 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 235 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 236 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 237 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 246 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 247 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 264 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 268 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 282 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 294 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 295 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 296 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 301 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 302 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read: Processed 1366 lines.
+Reading LEF data from file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../lef/cntr_example.lef.
+This action cannot be undone.
+LEF read: Processed 470 lines.
+Reading DEF data from file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def.
+This action cannot be undone.
+  Processed 2 vias total.
+  Processed 1 subcell instances total.
+  Processed 418 pins total.
+  Processed 2 special nets total.
+  Processed 434 nets total.
+DEF read: Processed 6721 lines.
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3000.000 x 3000.000  ( 0.000,  0.000), ( 3000.000,  3000.000)  9000000.000
+lambda:   60000.00 x 60000.00  (  0.00,  0.00 ), ( 60000.00,  60000.00)  3600000256.00
+internal: 600000 x 600000  (     0,  0    ), ( 600000,  600000)  360000000000
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: cntr_example
+Reading "gf180mcu_fd_sc_mcu7t5v0__tiel".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Reading "gf180mcu_fd_sc_mcu7t5v0__endcap".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_16".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_4".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_8".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fill_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__filltie".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_64".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_32".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fill_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__antenna".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkbuf_4".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Reading "gf180mcu_fd_sc_mcu7t5v0__buf_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkinv_3".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Reading "gf180mcu_fd_sc_mcu7t5v0__aoi21_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nand2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nand3_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nor2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__oai21_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__xor2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__buf_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__dffq_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__dffq_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Reading "cntr_example".
+Warning:  cell cntr_example already existed before reading GDS!
+    5000 uses
+    10000 uses
+    15000 uses
+    20000 uses
+    25000 uses
+    30000 uses
+    35000 uses
+    40000 uses
+    45000 uses
+    50000 uses
+    55000 uses
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__filltie
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__endcap
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__fill_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__fill_2
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__antenna
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__tiel
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__dffq_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__dffq_2
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__nor2_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__xor2_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__nand2_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__nand3_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__oai21_1
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__buf_2
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3
+   Generating output for cell gf180mcu_fd_sc_mcu7t5v0__buf_1
+   Generating output for cell cntr_example
+   Generating output for cell user_project_wrapper
+[INFO]: GDS Write Complete
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-lef.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-lef.log
new file mode 100644
index 0000000..ad873a3
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-lef.log
@@ -0,0 +1,77 @@
+
+Magic 8.3 revision 331 - Compiled on Sat Oct 22 18:40:56 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology gf180mcuC ...
+10 Magic internal units = 1 Lambda
+Input style import: scaleFactor=10, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    obsactive mvobsactive filldiff fillpoly m1hole obsm1 fillm1 obsv1 m2hole obsm2 fillm2 obsv2 m3hole obsm3 fillm3 m4hole obsm4 fillm4 m5hole obsm5 fillm5 glass fillblock lvstext obscomment 
+Scaled tech values by 10 / 1 to match internal grid scaling
+Loading gf180mcuC Device Generator Menu ...
+Using technology "gf180mcuC", version 1.0.349-0-g0059588
+Reading LEF data from file /home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/techlef/gf180mcu_fd_sc_mcu7t5v0.tlef.
+This action cannot be undone.
+LEF read, Line 78 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 85 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 95 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 96 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 110 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 126 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 133 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 134 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 135 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 144 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 145 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 161 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 165 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 177 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 184 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 185 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 186 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 195 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 196 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 212 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 216 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 228 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 235 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 236 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 237 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 246 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 247 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 264 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 268 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 282 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 294 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 295 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 296 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 301 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 302 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read: Processed 1366 lines.
+Reading LEF data from file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../lef/cntr_example.lef.
+This action cannot be undone.
+LEF read: Processed 470 lines.
+user_project_wrapper: 10000 rects
+user_project_wrapper: 20000 rects
+user_project_wrapper: 30000 rects
+user_project_wrapper: 40000 rects
+user_project_wrapper: 50000 rects
+user_project_wrapper: 60000 rects
+user_project_wrapper: 70000 rects
+user_project_wrapper: 80000 rects
+user_project_wrapper: 90000 rects
+user_project_wrapper: 100000 rects
+user_project_wrapper: 110000 rects
+user_project_wrapper: 120000 rects
+user_project_wrapper: 130000 rects
+user_project_wrapper: 140000 rects
+Processing timestamp mismatches: cntr_example.
+[INFO]: Writing abstract LEF
+Generating LEF output /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff/user_project_wrapper.lef for cell user_project_wrapper:
+Diagnostic:  Write LEF header for cell user_project_wrapper
+Diagnostic:  Writing LEF output for cell user_project_wrapper
+Diagnostic:  Scale value is 0.005000
+[INFO]: LEF Write Complete
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-maglef.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-maglef.log
new file mode 100644
index 0000000..0b10a1f
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/18-maglef.log
@@ -0,0 +1,18 @@
+
+Magic 8.3 revision 331 - Compiled on Sat Oct 22 18:40:56 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology gf180mcuC ...
+10 Magic internal units = 1 Lambda
+Input style import: scaleFactor=10, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    obsactive mvobsactive filldiff fillpoly m1hole obsm1 fillm1 obsv1 m2hole obsm2 fillm2 obsv2 m3hole obsm3 fillm3 m4hole obsm4 fillm4 m5hole obsm5 fillm5 glass fillblock lvstext obscomment 
+Scaled tech values by 10 / 1 to match internal grid scaling
+Loading gf180mcuC Device Generator Menu ...
+Using technology "gf180mcuC", version 1.0.349-0-g0059588
+Reading LEF data from file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff/user_project_wrapper.lef.
+This action cannot be undone.
+LEF read: Processed 4383 lines.
+[INFO]: DONE GENERATING MAGLEF VIEW
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/19-spice.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/19-spice.log
new file mode 100644
index 0000000..1dd1c33
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/19-spice.log
@@ -0,0 +1,68 @@
+
+Magic 8.3 revision 331 - Compiled on Sat Oct 22 18:40:56 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology gf180mcuC ...
+10 Magic internal units = 1 Lambda
+Input style import: scaleFactor=10, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    obsactive mvobsactive filldiff fillpoly m1hole obsm1 fillm1 obsv1 m2hole obsm2 fillm2 obsv2 m3hole obsm3 fillm3 m4hole obsm4 fillm4 m5hole obsm5 fillm5 glass fillblock lvstext obscomment 
+Scaled tech values by 10 / 1 to match internal grid scaling
+Loading gf180mcuC Device Generator Menu ...
+Using technology "gf180mcuC", version 1.0.349-0-g0059588
+Reading LEF data from file /home/htf6ry/GF180PDK/gf180mcuC/libs.ref/gf180mcu_fd_sc_mcu7t5v0/techlef/gf180mcu_fd_sc_mcu7t5v0.tlef.
+This action cannot be undone.
+LEF read, Line 78 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 85 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 95 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 96 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 110 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 126 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 133 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 134 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 135 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 144 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 145 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 161 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 165 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 177 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 184 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 185 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 186 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 195 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 196 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 212 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 216 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 228 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 235 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 236 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 237 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 246 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 247 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 264 (Message): Unknown keyword "ARRAYSPACING" in LEF file; ignoring.
+LEF read, Line 268 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 282 (Message): Unknown keyword "MINWIDTH" in LEF file; ignoring.
+LEF read, Line 294 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 295 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 296 (Message): Unknown keyword "ANTENNAGATEPLUSDIFF" in LEF file; ignoring.
+LEF read, Line 301 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 302 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read: Processed 1366 lines.
+Reading LEF data from file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../lef/cntr_example.lef.
+This action cannot be undone.
+LEF read: Processed 470 lines.
+Reading DEF data from file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/routing/user_project_wrapper.def.
+This action cannot be undone.
+  Processed 2 vias total.
+  Processed 1 subcell instances total.
+  Processed 418 pins total.
+  Processed 2 special nets total.
+  Processed 434 nets total.
+DEF read: Processed 6721 lines.
+Processing user_project_wrapper
+Extracting cntr_example into cntr_example.ext:
+Extracting user_project_wrapper into user_project_wrapper.ext:
+exttospice finished.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/20-write_powered_def.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/20-write_powered_def.log
new file mode 100644
index 0000000..8c2b6c8
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/20-write_powered_def.log
Binary files differ
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/20-write_powered_verilog.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/20-write_powered_verilog.log
new file mode 100644
index 0000000..b8c76c1
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/20-write_powered_verilog.log
@@ -0,0 +1,7 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.nl.v...
+Writing powered netlist to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.pnl.v...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-lvs.lef.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-lvs.lef.log
new file mode 100644
index 0000000..e3ab9f4
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-lvs.lef.log
@@ -0,0 +1,39 @@
+Netgen 1.5.242 compiled on Wed Nov 16 22:54:20 UTC 2022
+Warning: netgen command 'format' use fully-qualified name '::netgen::format'
+Warning: netgen command 'global' use fully-qualified name '::netgen::global'
+Generating JSON file result
+Reading netlist file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff/user_project_wrapper.spice
+Reading netlist file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/signoff/19-user_project_wrapper.pnl.v
+Warning:  A case-insensitive file has been read and so the	verilog file must be treated case-insensitive to match.
+Creating placeholder cell definition for module cntr_example.
+Reading setup file /home/htf6ry/GF180PDK//gf180mcuC/libs.tech/netgen/gf180mcuC_setup.tcl
+Comparison output logged to file /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/22-user_project_wrapper.lef.lvs.log
+Logging to file "/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/22-user_project_wrapper.lef.lvs.log" enabled
+
+Contents of circuit 1:  Circuit: 'cntr_example'
+Circuit cntr_example contains 0 device instances.
+Circuit contains 0 nets, and 42 disconnected pins.
+Contents of circuit 2:  Circuit: 'cntr_example'
+Circuit cntr_example contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit cntr_example contains no devices.
+
+Contents of circuit 1:  Circuit: 'user_project_wrapper'
+Circuit user_project_wrapper contains 1 device instances.
+  Class: cntr_example          instances:   1
+Circuit contains 42 nets, and 394 disconnected pins.
+Contents of circuit 2:  Circuit: 'user_project_wrapper'
+Circuit user_project_wrapper contains 1 device instances.
+  Class: cntr_example          instances:   1
+Circuit contains 42 nets, and 394 disconnected pins.
+
+Circuit 1 contains 1 devices, Circuit 2 contains 1 devices.
+Circuit 1 contains 42 nets,    Circuit 2 contains 42 nets.
+
+
+Final result: 
+Circuits match uniquely.
+.
+Logging to file "/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/22-user_project_wrapper.lef.lvs.log" disabled
+LVS Done.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-user_project_wrapper.lef.lvs.json b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-user_project_wrapper.lef.lvs.json
new file mode 100644
index 0000000..df464b4
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-user_project_wrapper.lef.lvs.json
@@ -0,0 +1,955 @@
+[
+  {
+   "pins": [
+      [
+        "io_out[0]",
+        "io_out[10]",
+        "io_out[11]",
+        "io_out[12]",
+        "io_out[13]",
+        "io_out[14]",
+        "io_out[15]",
+        "io_out[16]",
+        "io_out[17]",
+        "io_out[18]",
+        "io_out[19]",
+        "io_out[1]",
+        "io_out[20]",
+        "io_out[21]",
+        "io_out[22]",
+        "io_out[23]",
+        "io_out[24]",
+        "io_out[25]",
+        "io_out[26]",
+        "io_out[27]",
+        "io_out[28]",
+        "io_out[29]",
+        "io_out[2]",
+        "io_out[30]",
+        "io_out[31]",
+        "io_out[32]",
+        "io_out[33]",
+        "io_out[34]",
+        "io_out[35]",
+        "io_out[36]",
+        "io_out[37]",
+        "io_out[3]",
+        "io_out[4]",
+        "io_out[5]",
+        "io_out[6]",
+        "io_out[7]",
+        "io_out[8]",
+        "io_out[9]",
+        "vdd",
+        "vss",
+        "wb_clk_i",
+        "wb_rst_i"
+      ], [
+        "io_out[0]",
+        "io_out[10]",
+        "io_out[11]",
+        "io_out[12]",
+        "io_out[13]",
+        "io_out[14]",
+        "io_out[15]",
+        "io_out[16]",
+        "io_out[17]",
+        "io_out[18]",
+        "io_out[19]",
+        "io_out[1]",
+        "io_out[20]",
+        "io_out[21]",
+        "io_out[22]",
+        "io_out[23]",
+        "io_out[24]",
+        "io_out[25]",
+        "io_out[26]",
+        "io_out[27]",
+        "io_out[28]",
+        "io_out[29]",
+        "io_out[2]",
+        "io_out[30]",
+        "io_out[31]",
+        "io_out[32]",
+        "io_out[33]",
+        "io_out[34]",
+        "io_out[35]",
+        "io_out[36]",
+        "io_out[37]",
+        "io_out[3]",
+        "io_out[4]",
+        "io_out[5]",
+        "io_out[6]",
+        "io_out[7]",
+        "io_out[8]",
+        "io_out[9]",
+        "vdd",
+        "vss",
+        "wb_clk_i",
+        "wb_rst_i"
+      ]
+   ]
+  },
+  {
+   "name": [
+      "user_project_wrapper",
+      "user_project_wrapper"
+   ],
+   "devices": [
+       [
+         ["cntr_example", 1 ]
+       ], [
+         ["cntr_example", 1 ]
+       ]
+   ],
+   "nets": [
+    42,
+    42
+   ],
+   "badnets": [
+   ],
+   "badelements": [
+   ],
+   "pins": [
+      [
+        "vdd",
+        "vss",
+        "wb_clk_i",
+        "wb_rst_i",
+        "io_out[19]",
+        "io_out[18]",
+        "io_out[17]",
+        "io_out[16]",
+        "io_out[15]",
+        "io_out[14]",
+        "io_out[13]",
+        "io_out[12]",
+        "io_out[11]",
+        "io_out[10]",
+        "io_out[9]",
+        "io_out[8]",
+        "io_out[7]",
+        "io_out[6]",
+        "io_out[5]",
+        "io_out[4]",
+        "io_out[3]",
+        "io_out[2]",
+        "io_out[1]",
+        "io_out[0]",
+        "io_in[0]",
+        "io_in[10]",
+        "io_in[11]",
+        "io_in[12]",
+        "io_in[13]",
+        "io_in[14]",
+        "io_in[15]",
+        "io_in[16]",
+        "io_in[17]",
+        "io_in[18]",
+        "io_in[19]",
+        "io_in[1]",
+        "io_in[20]",
+        "io_in[21]",
+        "io_in[22]",
+        "io_in[23]",
+        "io_in[24]",
+        "io_in[25]",
+        "io_in[26]",
+        "io_in[27]",
+        "io_in[28]",
+        "io_in[29]",
+        "io_in[2]",
+        "io_in[30]",
+        "io_in[31]",
+        "io_in[32]",
+        "io_in[33]",
+        "io_in[34]",
+        "io_in[35]",
+        "io_in[36]",
+        "io_in[37]",
+        "io_in[3]",
+        "io_in[4]",
+        "io_in[5]",
+        "io_in[6]",
+        "io_in[7]",
+        "io_in[8]",
+        "io_in[9]",
+        "io_oeb[0]",
+        "io_oeb[10]",
+        "io_oeb[11]",
+        "io_oeb[12]",
+        "io_oeb[13]",
+        "io_oeb[14]",
+        "io_oeb[15]",
+        "io_oeb[16]",
+        "io_oeb[17]",
+        "io_oeb[18]",
+        "io_oeb[19]",
+        "io_oeb[1]",
+        "io_oeb[20]",
+        "io_oeb[21]",
+        "io_oeb[22]",
+        "io_oeb[23]",
+        "io_oeb[24]",
+        "io_oeb[25]",
+        "io_oeb[26]",
+        "io_oeb[27]",
+        "io_oeb[28]",
+        "io_oeb[29]",
+        "io_oeb[2]",
+        "io_oeb[30]",
+        "io_oeb[31]",
+        "io_oeb[32]",
+        "io_oeb[33]",
+        "io_oeb[34]",
+        "io_oeb[35]",
+        "io_oeb[36]",
+        "io_oeb[37]",
+        "io_oeb[3]",
+        "io_oeb[4]",
+        "io_oeb[5]",
+        "io_oeb[6]",
+        "io_oeb[7]",
+        "io_oeb[8]",
+        "io_oeb[9]",
+        "io_out[20]",
+        "io_out[21]",
+        "io_out[22]",
+        "io_out[23]",
+        "io_out[24]",
+        "io_out[25]",
+        "io_out[26]",
+        "io_out[27]",
+        "io_out[28]",
+        "io_out[29]",
+        "io_out[30]",
+        "io_out[31]",
+        "io_out[32]",
+        "io_out[33]",
+        "io_out[34]",
+        "io_out[35]",
+        "io_out[36]",
+        "io_out[37]",
+        "la_data_in[0]",
+        "la_data_in[10]",
+        "la_data_in[11]",
+        "la_data_in[12]",
+        "la_data_in[13]",
+        "la_data_in[14]",
+        "la_data_in[15]",
+        "la_data_in[16]",
+        "la_data_in[17]",
+        "la_data_in[18]",
+        "la_data_in[19]",
+        "la_data_in[1]",
+        "la_data_in[20]",
+        "la_data_in[21]",
+        "la_data_in[22]",
+        "la_data_in[23]",
+        "la_data_in[24]",
+        "la_data_in[25]",
+        "la_data_in[26]",
+        "la_data_in[27]",
+        "la_data_in[28]",
+        "la_data_in[29]",
+        "la_data_in[2]",
+        "la_data_in[30]",
+        "la_data_in[31]",
+        "la_data_in[32]",
+        "la_data_in[33]",
+        "la_data_in[34]",
+        "la_data_in[35]",
+        "la_data_in[36]",
+        "la_data_in[37]",
+        "la_data_in[38]",
+        "la_data_in[39]",
+        "la_data_in[3]",
+        "la_data_in[40]",
+        "la_data_in[41]",
+        "la_data_in[42]",
+        "la_data_in[43]",
+        "la_data_in[44]",
+        "la_data_in[45]",
+        "la_data_in[46]",
+        "la_data_in[47]",
+        "la_data_in[48]",
+        "la_data_in[49]",
+        "la_data_in[4]",
+        "la_data_in[50]",
+        "la_data_in[51]",
+        "la_data_in[52]",
+        "la_data_in[53]",
+        "la_data_in[54]",
+        "la_data_in[55]",
+        "la_data_in[56]",
+        "la_data_in[57]",
+        "la_data_in[58]",
+        "la_data_in[59]",
+        "la_data_in[5]",
+        "la_data_in[60]",
+        "la_data_in[61]",
+        "la_data_in[62]",
+        "la_data_in[63]",
+        "la_data_in[6]",
+        "la_data_in[7]",
+        "la_data_in[8]",
+        "la_data_in[9]",
+        "la_data_out[0]",
+        "la_data_out[10]",
+        "la_data_out[11]",
+        "la_data_out[12]",
+        "la_data_out[13]",
+        "la_data_out[14]",
+        "la_data_out[15]",
+        "la_data_out[16]",
+        "la_data_out[17]",
+        "la_data_out[18]",
+        "la_data_out[19]",
+        "la_data_out[1]",
+        "la_data_out[20]",
+        "la_data_out[21]",
+        "la_data_out[22]",
+        "la_data_out[23]",
+        "la_data_out[24]",
+        "la_data_out[25]",
+        "la_data_out[26]",
+        "la_data_out[27]",
+        "la_data_out[28]",
+        "la_data_out[29]",
+        "la_data_out[2]",
+        "la_data_out[30]",
+        "la_data_out[31]",
+        "la_data_out[32]",
+        "la_data_out[33]",
+        "la_data_out[34]",
+        "la_data_out[35]",
+        "la_data_out[36]",
+        "la_data_out[37]",
+        "la_data_out[38]",
+        "la_data_out[39]",
+        "la_data_out[3]",
+        "la_data_out[40]",
+        "la_data_out[41]",
+        "la_data_out[42]",
+        "la_data_out[43]",
+        "la_data_out[44]",
+        "la_data_out[45]",
+        "la_data_out[46]",
+        "la_data_out[47]",
+        "la_data_out[48]",
+        "la_data_out[49]",
+        "la_data_out[4]",
+        "la_data_out[50]",
+        "la_data_out[51]",
+        "la_data_out[52]",
+        "la_data_out[53]",
+        "la_data_out[54]",
+        "la_data_out[55]",
+        "la_data_out[56]",
+        "la_data_out[57]",
+        "la_data_out[58]",
+        "la_data_out[59]",
+        "la_data_out[5]",
+        "la_data_out[60]",
+        "la_data_out[61]",
+        "la_data_out[62]",
+        "la_data_out[63]",
+        "la_data_out[6]",
+        "la_data_out[7]",
+        "la_data_out[8]",
+        "la_data_out[9]",
+        "la_oenb[0]",
+        "la_oenb[10]",
+        "la_oenb[11]",
+        "la_oenb[12]",
+        "la_oenb[13]",
+        "la_oenb[14]",
+        "la_oenb[15]",
+        "la_oenb[16]",
+        "la_oenb[17]",
+        "la_oenb[18]",
+        "la_oenb[19]",
+        "la_oenb[1]",
+        "la_oenb[20]",
+        "la_oenb[21]",
+        "la_oenb[22]",
+        "la_oenb[23]",
+        "la_oenb[24]",
+        "la_oenb[25]",
+        "la_oenb[26]",
+        "la_oenb[27]",
+        "la_oenb[28]",
+        "la_oenb[29]",
+        "la_oenb[2]",
+        "la_oenb[30]",
+        "la_oenb[31]",
+        "la_oenb[32]",
+        "la_oenb[33]",
+        "la_oenb[34]",
+        "la_oenb[35]",
+        "la_oenb[36]",
+        "la_oenb[37]",
+        "la_oenb[38]",
+        "la_oenb[39]",
+        "la_oenb[3]",
+        "la_oenb[40]",
+        "la_oenb[41]",
+        "la_oenb[42]",
+        "la_oenb[43]",
+        "la_oenb[44]",
+        "la_oenb[45]",
+        "la_oenb[46]",
+        "la_oenb[47]",
+        "la_oenb[48]",
+        "la_oenb[49]",
+        "la_oenb[4]",
+        "la_oenb[50]",
+        "la_oenb[51]",
+        "la_oenb[52]",
+        "la_oenb[53]",
+        "la_oenb[54]",
+        "la_oenb[55]",
+        "la_oenb[56]",
+        "la_oenb[57]",
+        "la_oenb[58]",
+        "la_oenb[59]",
+        "la_oenb[5]",
+        "la_oenb[60]",
+        "la_oenb[61]",
+        "la_oenb[62]",
+        "la_oenb[63]",
+        "la_oenb[6]",
+        "la_oenb[7]",
+        "la_oenb[8]",
+        "la_oenb[9]",
+        "user_clock2",
+        "user_irq[0]",
+        "user_irq[1]",
+        "user_irq[2]",
+        "wbs_ack_o",
+        "wbs_adr_i[0]",
+        "wbs_adr_i[10]",
+        "wbs_adr_i[11]",
+        "wbs_adr_i[12]",
+        "wbs_adr_i[13]",
+        "wbs_adr_i[14]",
+        "wbs_adr_i[15]",
+        "wbs_adr_i[16]",
+        "wbs_adr_i[17]",
+        "wbs_adr_i[18]",
+        "wbs_adr_i[19]",
+        "wbs_adr_i[1]",
+        "wbs_adr_i[20]",
+        "wbs_adr_i[21]",
+        "wbs_adr_i[22]",
+        "wbs_adr_i[23]",
+        "wbs_adr_i[24]",
+        "wbs_adr_i[25]",
+        "wbs_adr_i[26]",
+        "wbs_adr_i[27]",
+        "wbs_adr_i[28]",
+        "wbs_adr_i[29]",
+        "wbs_adr_i[2]",
+        "wbs_adr_i[30]",
+        "wbs_adr_i[31]",
+        "wbs_adr_i[3]",
+        "wbs_adr_i[4]",
+        "wbs_adr_i[5]",
+        "wbs_adr_i[6]",
+        "wbs_adr_i[7]",
+        "wbs_adr_i[8]",
+        "wbs_adr_i[9]",
+        "wbs_cyc_i",
+        "wbs_dat_i[0]",
+        "wbs_dat_i[10]",
+        "wbs_dat_i[11]",
+        "wbs_dat_i[12]",
+        "wbs_dat_i[13]",
+        "wbs_dat_i[14]",
+        "wbs_dat_i[15]",
+        "wbs_dat_i[16]",
+        "wbs_dat_i[17]",
+        "wbs_dat_i[18]",
+        "wbs_dat_i[19]",
+        "wbs_dat_i[1]",
+        "wbs_dat_i[20]",
+        "wbs_dat_i[21]",
+        "wbs_dat_i[22]",
+        "wbs_dat_i[23]",
+        "wbs_dat_i[24]",
+        "wbs_dat_i[25]",
+        "wbs_dat_i[26]",
+        "wbs_dat_i[27]",
+        "wbs_dat_i[28]",
+        "wbs_dat_i[29]",
+        "wbs_dat_i[2]",
+        "wbs_dat_i[30]",
+        "wbs_dat_i[31]",
+        "wbs_dat_i[3]",
+        "wbs_dat_i[4]",
+        "wbs_dat_i[5]",
+        "wbs_dat_i[6]",
+        "wbs_dat_i[7]",
+        "wbs_dat_i[8]",
+        "wbs_dat_i[9]",
+        "wbs_dat_o[0]",
+        "wbs_dat_o[10]",
+        "wbs_dat_o[11]",
+        "wbs_dat_o[12]",
+        "wbs_dat_o[13]",
+        "wbs_dat_o[14]",
+        "wbs_dat_o[15]",
+        "wbs_dat_o[16]",
+        "wbs_dat_o[17]",
+        "wbs_dat_o[18]",
+        "wbs_dat_o[19]",
+        "wbs_dat_o[1]",
+        "wbs_dat_o[20]",
+        "wbs_dat_o[21]",
+        "wbs_dat_o[22]",
+        "wbs_dat_o[23]",
+        "wbs_dat_o[24]",
+        "wbs_dat_o[25]",
+        "wbs_dat_o[26]",
+        "wbs_dat_o[27]",
+        "wbs_dat_o[28]",
+        "wbs_dat_o[29]",
+        "wbs_dat_o[2]",
+        "wbs_dat_o[30]",
+        "wbs_dat_o[31]",
+        "wbs_dat_o[3]",
+        "wbs_dat_o[4]",
+        "wbs_dat_o[5]",
+        "wbs_dat_o[6]",
+        "wbs_dat_o[7]",
+        "wbs_dat_o[8]",
+        "wbs_dat_o[9]",
+        "wbs_sel_i[0]",
+        "wbs_sel_i[1]",
+        "wbs_sel_i[2]",
+        "wbs_sel_i[3]",
+        "wbs_stb_i",
+        "wbs_we_i"
+      ], [
+        "vdd",
+        "vss",
+        "wb_clk_i",
+        "wb_rst_i",
+        "io_out[19]",
+        "io_out[18]",
+        "io_out[17]",
+        "io_out[16]",
+        "io_out[15]",
+        "io_out[14]",
+        "io_out[13]",
+        "io_out[12]",
+        "io_out[11]",
+        "io_out[10]",
+        "io_out[9]",
+        "io_out[8]",
+        "io_out[7]",
+        "io_out[6]",
+        "io_out[5]",
+        "io_out[4]",
+        "io_out[3]",
+        "io_out[2]",
+        "io_out[1]",
+        "io_out[0]",
+        "io_in[0]",
+        "io_in[10]",
+        "io_in[11]",
+        "io_in[12]",
+        "io_in[13]",
+        "io_in[14]",
+        "io_in[15]",
+        "io_in[16]",
+        "io_in[17]",
+        "io_in[18]",
+        "io_in[19]",
+        "io_in[1]",
+        "io_in[20]",
+        "io_in[21]",
+        "io_in[22]",
+        "io_in[23]",
+        "io_in[24]",
+        "io_in[25]",
+        "io_in[26]",
+        "io_in[27]",
+        "io_in[28]",
+        "io_in[29]",
+        "io_in[2]",
+        "io_in[30]",
+        "io_in[31]",
+        "io_in[32]",
+        "io_in[33]",
+        "io_in[34]",
+        "io_in[35]",
+        "io_in[36]",
+        "io_in[37]",
+        "io_in[3]",
+        "io_in[4]",
+        "io_in[5]",
+        "io_in[6]",
+        "io_in[7]",
+        "io_in[8]",
+        "io_in[9]",
+        "io_oeb[0]",
+        "io_oeb[10]",
+        "io_oeb[11]",
+        "io_oeb[12]",
+        "io_oeb[13]",
+        "io_oeb[14]",
+        "io_oeb[15]",
+        "io_oeb[16]",
+        "io_oeb[17]",
+        "io_oeb[18]",
+        "io_oeb[19]",
+        "io_oeb[1]",
+        "io_oeb[20]",
+        "io_oeb[21]",
+        "io_oeb[22]",
+        "io_oeb[23]",
+        "io_oeb[24]",
+        "io_oeb[25]",
+        "io_oeb[26]",
+        "io_oeb[27]",
+        "io_oeb[28]",
+        "io_oeb[29]",
+        "io_oeb[2]",
+        "io_oeb[30]",
+        "io_oeb[31]",
+        "io_oeb[32]",
+        "io_oeb[33]",
+        "io_oeb[34]",
+        "io_oeb[35]",
+        "io_oeb[36]",
+        "io_oeb[37]",
+        "io_oeb[3]",
+        "io_oeb[4]",
+        "io_oeb[5]",
+        "io_oeb[6]",
+        "io_oeb[7]",
+        "io_oeb[8]",
+        "io_oeb[9]",
+        "io_out[20]",
+        "io_out[21]",
+        "io_out[22]",
+        "io_out[23]",
+        "io_out[24]",
+        "io_out[25]",
+        "io_out[26]",
+        "io_out[27]",
+        "io_out[28]",
+        "io_out[29]",
+        "io_out[30]",
+        "io_out[31]",
+        "io_out[32]",
+        "io_out[33]",
+        "io_out[34]",
+        "io_out[35]",
+        "io_out[36]",
+        "io_out[37]",
+        "la_data_in[0]",
+        "la_data_in[10]",
+        "la_data_in[11]",
+        "la_data_in[12]",
+        "la_data_in[13]",
+        "la_data_in[14]",
+        "la_data_in[15]",
+        "la_data_in[16]",
+        "la_data_in[17]",
+        "la_data_in[18]",
+        "la_data_in[19]",
+        "la_data_in[1]",
+        "la_data_in[20]",
+        "la_data_in[21]",
+        "la_data_in[22]",
+        "la_data_in[23]",
+        "la_data_in[24]",
+        "la_data_in[25]",
+        "la_data_in[26]",
+        "la_data_in[27]",
+        "la_data_in[28]",
+        "la_data_in[29]",
+        "la_data_in[2]",
+        "la_data_in[30]",
+        "la_data_in[31]",
+        "la_data_in[32]",
+        "la_data_in[33]",
+        "la_data_in[34]",
+        "la_data_in[35]",
+        "la_data_in[36]",
+        "la_data_in[37]",
+        "la_data_in[38]",
+        "la_data_in[39]",
+        "la_data_in[3]",
+        "la_data_in[40]",
+        "la_data_in[41]",
+        "la_data_in[42]",
+        "la_data_in[43]",
+        "la_data_in[44]",
+        "la_data_in[45]",
+        "la_data_in[46]",
+        "la_data_in[47]",
+        "la_data_in[48]",
+        "la_data_in[49]",
+        "la_data_in[4]",
+        "la_data_in[50]",
+        "la_data_in[51]",
+        "la_data_in[52]",
+        "la_data_in[53]",
+        "la_data_in[54]",
+        "la_data_in[55]",
+        "la_data_in[56]",
+        "la_data_in[57]",
+        "la_data_in[58]",
+        "la_data_in[59]",
+        "la_data_in[5]",
+        "la_data_in[60]",
+        "la_data_in[61]",
+        "la_data_in[62]",
+        "la_data_in[63]",
+        "la_data_in[6]",
+        "la_data_in[7]",
+        "la_data_in[8]",
+        "la_data_in[9]",
+        "la_data_out[0]",
+        "la_data_out[10]",
+        "la_data_out[11]",
+        "la_data_out[12]",
+        "la_data_out[13]",
+        "la_data_out[14]",
+        "la_data_out[15]",
+        "la_data_out[16]",
+        "la_data_out[17]",
+        "la_data_out[18]",
+        "la_data_out[19]",
+        "la_data_out[1]",
+        "la_data_out[20]",
+        "la_data_out[21]",
+        "la_data_out[22]",
+        "la_data_out[23]",
+        "la_data_out[24]",
+        "la_data_out[25]",
+        "la_data_out[26]",
+        "la_data_out[27]",
+        "la_data_out[28]",
+        "la_data_out[29]",
+        "la_data_out[2]",
+        "la_data_out[30]",
+        "la_data_out[31]",
+        "la_data_out[32]",
+        "la_data_out[33]",
+        "la_data_out[34]",
+        "la_data_out[35]",
+        "la_data_out[36]",
+        "la_data_out[37]",
+        "la_data_out[38]",
+        "la_data_out[39]",
+        "la_data_out[3]",
+        "la_data_out[40]",
+        "la_data_out[41]",
+        "la_data_out[42]",
+        "la_data_out[43]",
+        "la_data_out[44]",
+        "la_data_out[45]",
+        "la_data_out[46]",
+        "la_data_out[47]",
+        "la_data_out[48]",
+        "la_data_out[49]",
+        "la_data_out[4]",
+        "la_data_out[50]",
+        "la_data_out[51]",
+        "la_data_out[52]",
+        "la_data_out[53]",
+        "la_data_out[54]",
+        "la_data_out[55]",
+        "la_data_out[56]",
+        "la_data_out[57]",
+        "la_data_out[58]",
+        "la_data_out[59]",
+        "la_data_out[5]",
+        "la_data_out[60]",
+        "la_data_out[61]",
+        "la_data_out[62]",
+        "la_data_out[63]",
+        "la_data_out[6]",
+        "la_data_out[7]",
+        "la_data_out[8]",
+        "la_data_out[9]",
+        "la_oenb[0]",
+        "la_oenb[10]",
+        "la_oenb[11]",
+        "la_oenb[12]",
+        "la_oenb[13]",
+        "la_oenb[14]",
+        "la_oenb[15]",
+        "la_oenb[16]",
+        "la_oenb[17]",
+        "la_oenb[18]",
+        "la_oenb[19]",
+        "la_oenb[1]",
+        "la_oenb[20]",
+        "la_oenb[21]",
+        "la_oenb[22]",
+        "la_oenb[23]",
+        "la_oenb[24]",
+        "la_oenb[25]",
+        "la_oenb[26]",
+        "la_oenb[27]",
+        "la_oenb[28]",
+        "la_oenb[29]",
+        "la_oenb[2]",
+        "la_oenb[30]",
+        "la_oenb[31]",
+        "la_oenb[32]",
+        "la_oenb[33]",
+        "la_oenb[34]",
+        "la_oenb[35]",
+        "la_oenb[36]",
+        "la_oenb[37]",
+        "la_oenb[38]",
+        "la_oenb[39]",
+        "la_oenb[3]",
+        "la_oenb[40]",
+        "la_oenb[41]",
+        "la_oenb[42]",
+        "la_oenb[43]",
+        "la_oenb[44]",
+        "la_oenb[45]",
+        "la_oenb[46]",
+        "la_oenb[47]",
+        "la_oenb[48]",
+        "la_oenb[49]",
+        "la_oenb[4]",
+        "la_oenb[50]",
+        "la_oenb[51]",
+        "la_oenb[52]",
+        "la_oenb[53]",
+        "la_oenb[54]",
+        "la_oenb[55]",
+        "la_oenb[56]",
+        "la_oenb[57]",
+        "la_oenb[58]",
+        "la_oenb[59]",
+        "la_oenb[5]",
+        "la_oenb[60]",
+        "la_oenb[61]",
+        "la_oenb[62]",
+        "la_oenb[63]",
+        "la_oenb[6]",
+        "la_oenb[7]",
+        "la_oenb[8]",
+        "la_oenb[9]",
+        "user_clock2",
+        "user_irq[0]",
+        "user_irq[1]",
+        "user_irq[2]",
+        "wbs_ack_o",
+        "wbs_adr_i[0]",
+        "wbs_adr_i[10]",
+        "wbs_adr_i[11]",
+        "wbs_adr_i[12]",
+        "wbs_adr_i[13]",
+        "wbs_adr_i[14]",
+        "wbs_adr_i[15]",
+        "wbs_adr_i[16]",
+        "wbs_adr_i[17]",
+        "wbs_adr_i[18]",
+        "wbs_adr_i[19]",
+        "wbs_adr_i[1]",
+        "wbs_adr_i[20]",
+        "wbs_adr_i[21]",
+        "wbs_adr_i[22]",
+        "wbs_adr_i[23]",
+        "wbs_adr_i[24]",
+        "wbs_adr_i[25]",
+        "wbs_adr_i[26]",
+        "wbs_adr_i[27]",
+        "wbs_adr_i[28]",
+        "wbs_adr_i[29]",
+        "wbs_adr_i[2]",
+        "wbs_adr_i[30]",
+        "wbs_adr_i[31]",
+        "wbs_adr_i[3]",
+        "wbs_adr_i[4]",
+        "wbs_adr_i[5]",
+        "wbs_adr_i[6]",
+        "wbs_adr_i[7]",
+        "wbs_adr_i[8]",
+        "wbs_adr_i[9]",
+        "wbs_cyc_i",
+        "wbs_dat_i[0]",
+        "wbs_dat_i[10]",
+        "wbs_dat_i[11]",
+        "wbs_dat_i[12]",
+        "wbs_dat_i[13]",
+        "wbs_dat_i[14]",
+        "wbs_dat_i[15]",
+        "wbs_dat_i[16]",
+        "wbs_dat_i[17]",
+        "wbs_dat_i[18]",
+        "wbs_dat_i[19]",
+        "wbs_dat_i[1]",
+        "wbs_dat_i[20]",
+        "wbs_dat_i[21]",
+        "wbs_dat_i[22]",
+        "wbs_dat_i[23]",
+        "wbs_dat_i[24]",
+        "wbs_dat_i[25]",
+        "wbs_dat_i[26]",
+        "wbs_dat_i[27]",
+        "wbs_dat_i[28]",
+        "wbs_dat_i[29]",
+        "wbs_dat_i[2]",
+        "wbs_dat_i[30]",
+        "wbs_dat_i[31]",
+        "wbs_dat_i[3]",
+        "wbs_dat_i[4]",
+        "wbs_dat_i[5]",
+        "wbs_dat_i[6]",
+        "wbs_dat_i[7]",
+        "wbs_dat_i[8]",
+        "wbs_dat_i[9]",
+        "wbs_dat_o[0]",
+        "wbs_dat_o[10]",
+        "wbs_dat_o[11]",
+        "wbs_dat_o[12]",
+        "wbs_dat_o[13]",
+        "wbs_dat_o[14]",
+        "wbs_dat_o[15]",
+        "wbs_dat_o[16]",
+        "wbs_dat_o[17]",
+        "wbs_dat_o[18]",
+        "wbs_dat_o[19]",
+        "wbs_dat_o[1]",
+        "wbs_dat_o[20]",
+        "wbs_dat_o[21]",
+        "wbs_dat_o[22]",
+        "wbs_dat_o[23]",
+        "wbs_dat_o[24]",
+        "wbs_dat_o[25]",
+        "wbs_dat_o[26]",
+        "wbs_dat_o[27]",
+        "wbs_dat_o[28]",
+        "wbs_dat_o[29]",
+        "wbs_dat_o[2]",
+        "wbs_dat_o[30]",
+        "wbs_dat_o[31]",
+        "wbs_dat_o[3]",
+        "wbs_dat_o[4]",
+        "wbs_dat_o[5]",
+        "wbs_dat_o[6]",
+        "wbs_dat_o[7]",
+        "wbs_dat_o[8]",
+        "wbs_dat_o[9]",
+        "wbs_sel_i[0]",
+        "wbs_sel_i[1]",
+        "wbs_sel_i[2]",
+        "wbs_sel_i[3]",
+        "wbs_stb_i",
+        "wbs_we_i"
+      ]
+   ]
+  }
+]
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-user_project_wrapper.lef.lvs.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-user_project_wrapper.lef.lvs.log
new file mode 100644
index 0000000..e5c9597
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/22-user_project_wrapper.lef.lvs.log
@@ -0,0 +1,1318 @@
+
+Cell cntr_example (0) disconnected node: io_out[0]
+Cell cntr_example (0) disconnected node: io_out[10]
+Cell cntr_example (0) disconnected node: io_out[11]
+Cell cntr_example (0) disconnected node: io_out[12]
+Cell cntr_example (0) disconnected node: io_out[13]
+Cell cntr_example (0) disconnected node: io_out[14]
+Cell cntr_example (0) disconnected node: io_out[15]
+Cell cntr_example (0) disconnected node: io_out[16]
+Cell cntr_example (0) disconnected node: io_out[17]
+Cell cntr_example (0) disconnected node: io_out[18]
+Cell cntr_example (0) disconnected node: io_out[19]
+Cell cntr_example (0) disconnected node: io_out[1]
+Cell cntr_example (0) disconnected node: io_out[20]
+Cell cntr_example (0) disconnected node: io_out[21]
+Cell cntr_example (0) disconnected node: io_out[22]
+Cell cntr_example (0) disconnected node: io_out[23]
+Cell cntr_example (0) disconnected node: io_out[24]
+Cell cntr_example (0) disconnected node: io_out[25]
+Cell cntr_example (0) disconnected node: io_out[26]
+Cell cntr_example (0) disconnected node: io_out[27]
+Cell cntr_example (0) disconnected node: io_out[28]
+Cell cntr_example (0) disconnected node: io_out[29]
+Cell cntr_example (0) disconnected node: io_out[2]
+Cell cntr_example (0) disconnected node: io_out[30]
+Cell cntr_example (0) disconnected node: io_out[31]
+Cell cntr_example (0) disconnected node: io_out[32]
+Cell cntr_example (0) disconnected node: io_out[33]
+Cell cntr_example (0) disconnected node: io_out[34]
+Cell cntr_example (0) disconnected node: io_out[35]
+Cell cntr_example (0) disconnected node: io_out[36]
+Cell cntr_example (0) disconnected node: io_out[37]
+Cell cntr_example (0) disconnected node: io_out[3]
+Cell cntr_example (0) disconnected node: io_out[4]
+Cell cntr_example (0) disconnected node: io_out[5]
+Cell cntr_example (0) disconnected node: io_out[6]
+Cell cntr_example (0) disconnected node: io_out[7]
+Cell cntr_example (0) disconnected node: io_out[8]
+Cell cntr_example (0) disconnected node: io_out[9]
+Cell cntr_example (0) disconnected node: vdd
+Cell cntr_example (0) disconnected node: vss
+Cell cntr_example (0) disconnected node: wb_clk_i
+Cell cntr_example (0) disconnected node: wb_rst_i
+Warning: Equate pins:  cell cntr_example is a placeholder, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: cntr_example                    |Circuit 2: cntr_example                    
+-------------------------------------------|-------------------------------------------
+io_out[0]                                  |io_out[0]                                  
+io_out[10]                                 |io_out[10]                                 
+io_out[11]                                 |io_out[11]                                 
+io_out[12]                                 |io_out[12]                                 
+io_out[13]                                 |io_out[13]                                 
+io_out[14]                                 |io_out[14]                                 
+io_out[15]                                 |io_out[15]                                 
+io_out[16]                                 |io_out[16]                                 
+io_out[17]                                 |io_out[17]                                 
+io_out[18]                                 |io_out[18]                                 
+io_out[19]                                 |io_out[19]                                 
+io_out[1]                                  |io_out[1]                                  
+io_out[20]                                 |io_out[20]                                 
+io_out[21]                                 |io_out[21]                                 
+io_out[22]                                 |io_out[22]                                 
+io_out[23]                                 |io_out[23]                                 
+io_out[24]                                 |io_out[24]                                 
+io_out[25]                                 |io_out[25]                                 
+io_out[26]                                 |io_out[26]                                 
+io_out[27]                                 |io_out[27]                                 
+io_out[28]                                 |io_out[28]                                 
+io_out[29]                                 |io_out[29]                                 
+io_out[2]                                  |io_out[2]                                  
+io_out[30]                                 |io_out[30]                                 
+io_out[31]                                 |io_out[31]                                 
+io_out[32]                                 |io_out[32]                                 
+io_out[33]                                 |io_out[33]                                 
+io_out[34]                                 |io_out[34]                                 
+io_out[35]                                 |io_out[35]                                 
+io_out[36]                                 |io_out[36]                                 
+io_out[37]                                 |io_out[37]                                 
+io_out[3]                                  |io_out[3]                                  
+io_out[4]                                  |io_out[4]                                  
+io_out[5]                                  |io_out[5]                                  
+io_out[6]                                  |io_out[6]                                  
+io_out[7]                                  |io_out[7]                                  
+io_out[8]                                  |io_out[8]                                  
+io_out[9]                                  |io_out[9]                                  
+vdd                                        |vdd                                        
+vss                                        |vss                                        
+wb_clk_i                                   |wb_clk_i                                   
+wb_rst_i                                   |wb_rst_i                                   
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes cntr_example and cntr_example are equivalent.
+
+Cell user_project_wrapper (0) disconnected node: io_in[0]
+Cell user_project_wrapper (0) disconnected node: io_in[10]
+Cell user_project_wrapper (0) disconnected node: io_in[11]
+Cell user_project_wrapper (0) disconnected node: io_in[12]
+Cell user_project_wrapper (0) disconnected node: io_in[13]
+Cell user_project_wrapper (0) disconnected node: io_in[14]
+Cell user_project_wrapper (0) disconnected node: io_in[15]
+Cell user_project_wrapper (0) disconnected node: io_in[16]
+Cell user_project_wrapper (0) disconnected node: io_in[17]
+Cell user_project_wrapper (0) disconnected node: io_in[18]
+Cell user_project_wrapper (0) disconnected node: io_in[19]
+Cell user_project_wrapper (0) disconnected node: io_in[1]
+Cell user_project_wrapper (0) disconnected node: io_in[20]
+Cell user_project_wrapper (0) disconnected node: io_in[21]
+Cell user_project_wrapper (0) disconnected node: io_in[22]
+Cell user_project_wrapper (0) disconnected node: io_in[23]
+Cell user_project_wrapper (0) disconnected node: io_in[24]
+Cell user_project_wrapper (0) disconnected node: io_in[25]
+Cell user_project_wrapper (0) disconnected node: io_in[26]
+Cell user_project_wrapper (0) disconnected node: io_in[27]
+Cell user_project_wrapper (0) disconnected node: io_in[28]
+Cell user_project_wrapper (0) disconnected node: io_in[29]
+Cell user_project_wrapper (0) disconnected node: io_in[2]
+Cell user_project_wrapper (0) disconnected node: io_in[30]
+Cell user_project_wrapper (0) disconnected node: io_in[31]
+Cell user_project_wrapper (0) disconnected node: io_in[32]
+Cell user_project_wrapper (0) disconnected node: io_in[33]
+Cell user_project_wrapper (0) disconnected node: io_in[34]
+Cell user_project_wrapper (0) disconnected node: io_in[35]
+Cell user_project_wrapper (0) disconnected node: io_in[36]
+Cell user_project_wrapper (0) disconnected node: io_in[37]
+Cell user_project_wrapper (0) disconnected node: io_in[3]
+Cell user_project_wrapper (0) disconnected node: io_in[4]
+Cell user_project_wrapper (0) disconnected node: io_in[5]
+Cell user_project_wrapper (0) disconnected node: io_in[6]
+Cell user_project_wrapper (0) disconnected node: io_in[7]
+Cell user_project_wrapper (0) disconnected node: io_in[8]
+Cell user_project_wrapper (0) disconnected node: io_in[9]
+Cell user_project_wrapper (0) disconnected node: io_oeb[0]
+Cell user_project_wrapper (0) disconnected node: io_oeb[10]
+Cell user_project_wrapper (0) disconnected node: io_oeb[11]
+Cell user_project_wrapper (0) disconnected node: io_oeb[12]
+Cell user_project_wrapper (0) disconnected node: io_oeb[13]
+Cell user_project_wrapper (0) disconnected node: io_oeb[14]
+Cell user_project_wrapper (0) disconnected node: io_oeb[15]
+Cell user_project_wrapper (0) disconnected node: io_oeb[16]
+Cell user_project_wrapper (0) disconnected node: io_oeb[17]
+Cell user_project_wrapper (0) disconnected node: io_oeb[18]
+Cell user_project_wrapper (0) disconnected node: io_oeb[19]
+Cell user_project_wrapper (0) disconnected node: io_oeb[1]
+Cell user_project_wrapper (0) disconnected node: io_oeb[20]
+Cell user_project_wrapper (0) disconnected node: io_oeb[21]
+Cell user_project_wrapper (0) disconnected node: io_oeb[22]
+Cell user_project_wrapper (0) disconnected node: io_oeb[23]
+Cell user_project_wrapper (0) disconnected node: io_oeb[24]
+Cell user_project_wrapper (0) disconnected node: io_oeb[25]
+Cell user_project_wrapper (0) disconnected node: io_oeb[26]
+Cell user_project_wrapper (0) disconnected node: io_oeb[27]
+Cell user_project_wrapper (0) disconnected node: io_oeb[28]
+Cell user_project_wrapper (0) disconnected node: io_oeb[29]
+Cell user_project_wrapper (0) disconnected node: io_oeb[2]
+Cell user_project_wrapper (0) disconnected node: io_oeb[30]
+Cell user_project_wrapper (0) disconnected node: io_oeb[31]
+Cell user_project_wrapper (0) disconnected node: io_oeb[32]
+Cell user_project_wrapper (0) disconnected node: io_oeb[33]
+Cell user_project_wrapper (0) disconnected node: io_oeb[34]
+Cell user_project_wrapper (0) disconnected node: io_oeb[35]
+Cell user_project_wrapper (0) disconnected node: io_oeb[36]
+Cell user_project_wrapper (0) disconnected node: io_oeb[37]
+Cell user_project_wrapper (0) disconnected node: io_oeb[3]
+Cell user_project_wrapper (0) disconnected node: io_oeb[4]
+Cell user_project_wrapper (0) disconnected node: io_oeb[5]
+Cell user_project_wrapper (0) disconnected node: io_oeb[6]
+Cell user_project_wrapper (0) disconnected node: io_oeb[7]
+Cell user_project_wrapper (0) disconnected node: io_oeb[8]
+Cell user_project_wrapper (0) disconnected node: io_oeb[9]
+Cell user_project_wrapper (0) disconnected node: io_out[20]
+Cell user_project_wrapper (0) disconnected node: io_out[21]
+Cell user_project_wrapper (0) disconnected node: io_out[22]
+Cell user_project_wrapper (0) disconnected node: io_out[23]
+Cell user_project_wrapper (0) disconnected node: io_out[24]
+Cell user_project_wrapper (0) disconnected node: io_out[25]
+Cell user_project_wrapper (0) disconnected node: io_out[26]
+Cell user_project_wrapper (0) disconnected node: io_out[27]
+Cell user_project_wrapper (0) disconnected node: io_out[28]
+Cell user_project_wrapper (0) disconnected node: io_out[29]
+Cell user_project_wrapper (0) disconnected node: io_out[30]
+Cell user_project_wrapper (0) disconnected node: io_out[31]
+Cell user_project_wrapper (0) disconnected node: io_out[32]
+Cell user_project_wrapper (0) disconnected node: io_out[33]
+Cell user_project_wrapper (0) disconnected node: io_out[34]
+Cell user_project_wrapper (0) disconnected node: io_out[35]
+Cell user_project_wrapper (0) disconnected node: io_out[36]
+Cell user_project_wrapper (0) disconnected node: io_out[37]
+Cell user_project_wrapper (0) disconnected node: la_data_in[0]
+Cell user_project_wrapper (0) disconnected node: la_data_in[10]
+Cell user_project_wrapper (0) disconnected node: la_data_in[11]
+Cell user_project_wrapper (0) disconnected node: la_data_in[12]
+Cell user_project_wrapper (0) disconnected node: la_data_in[13]
+Cell user_project_wrapper (0) disconnected node: la_data_in[14]
+Cell user_project_wrapper (0) disconnected node: la_data_in[15]
+Cell user_project_wrapper (0) disconnected node: la_data_in[16]
+Cell user_project_wrapper (0) disconnected node: la_data_in[17]
+Cell user_project_wrapper (0) disconnected node: la_data_in[18]
+Cell user_project_wrapper (0) disconnected node: la_data_in[19]
+Cell user_project_wrapper (0) disconnected node: la_data_in[1]
+Cell user_project_wrapper (0) disconnected node: la_data_in[20]
+Cell user_project_wrapper (0) disconnected node: la_data_in[21]
+Cell user_project_wrapper (0) disconnected node: la_data_in[22]
+Cell user_project_wrapper (0) disconnected node: la_data_in[23]
+Cell user_project_wrapper (0) disconnected node: la_data_in[24]
+Cell user_project_wrapper (0) disconnected node: la_data_in[25]
+Cell user_project_wrapper (0) disconnected node: la_data_in[26]
+Cell user_project_wrapper (0) disconnected node: la_data_in[27]
+Cell user_project_wrapper (0) disconnected node: la_data_in[28]
+Cell user_project_wrapper (0) disconnected node: la_data_in[29]
+Cell user_project_wrapper (0) disconnected node: la_data_in[2]
+Cell user_project_wrapper (0) disconnected node: la_data_in[30]
+Cell user_project_wrapper (0) disconnected node: la_data_in[31]
+Cell user_project_wrapper (0) disconnected node: la_data_in[32]
+Cell user_project_wrapper (0) disconnected node: la_data_in[33]
+Cell user_project_wrapper (0) disconnected node: la_data_in[34]
+Cell user_project_wrapper (0) disconnected node: la_data_in[35]
+Cell user_project_wrapper (0) disconnected node: la_data_in[36]
+Cell user_project_wrapper (0) disconnected node: la_data_in[37]
+Cell user_project_wrapper (0) disconnected node: la_data_in[38]
+Cell user_project_wrapper (0) disconnected node: la_data_in[39]
+Cell user_project_wrapper (0) disconnected node: la_data_in[3]
+Cell user_project_wrapper (0) disconnected node: la_data_in[40]
+Cell user_project_wrapper (0) disconnected node: la_data_in[41]
+Cell user_project_wrapper (0) disconnected node: la_data_in[42]
+Cell user_project_wrapper (0) disconnected node: la_data_in[43]
+Cell user_project_wrapper (0) disconnected node: la_data_in[44]
+Cell user_project_wrapper (0) disconnected node: la_data_in[45]
+Cell user_project_wrapper (0) disconnected node: la_data_in[46]
+Cell user_project_wrapper (0) disconnected node: la_data_in[47]
+Cell user_project_wrapper (0) disconnected node: la_data_in[48]
+Cell user_project_wrapper (0) disconnected node: la_data_in[49]
+Cell user_project_wrapper (0) disconnected node: la_data_in[4]
+Cell user_project_wrapper (0) disconnected node: la_data_in[50]
+Cell user_project_wrapper (0) disconnected node: la_data_in[51]
+Cell user_project_wrapper (0) disconnected node: la_data_in[52]
+Cell user_project_wrapper (0) disconnected node: la_data_in[53]
+Cell user_project_wrapper (0) disconnected node: la_data_in[54]
+Cell user_project_wrapper (0) disconnected node: la_data_in[55]
+Cell user_project_wrapper (0) disconnected node: la_data_in[56]
+Cell user_project_wrapper (0) disconnected node: la_data_in[57]
+Cell user_project_wrapper (0) disconnected node: la_data_in[58]
+Cell user_project_wrapper (0) disconnected node: la_data_in[59]
+Cell user_project_wrapper (0) disconnected node: la_data_in[5]
+Cell user_project_wrapper (0) disconnected node: la_data_in[60]
+Cell user_project_wrapper (0) disconnected node: la_data_in[61]
+Cell user_project_wrapper (0) disconnected node: la_data_in[62]
+Cell user_project_wrapper (0) disconnected node: la_data_in[63]
+Cell user_project_wrapper (0) disconnected node: la_data_in[6]
+Cell user_project_wrapper (0) disconnected node: la_data_in[7]
+Cell user_project_wrapper (0) disconnected node: la_data_in[8]
+Cell user_project_wrapper (0) disconnected node: la_data_in[9]
+Cell user_project_wrapper (0) disconnected node: la_data_out[0]
+Cell user_project_wrapper (0) disconnected node: la_data_out[10]
+Cell user_project_wrapper (0) disconnected node: la_data_out[11]
+Cell user_project_wrapper (0) disconnected node: la_data_out[12]
+Cell user_project_wrapper (0) disconnected node: la_data_out[13]
+Cell user_project_wrapper (0) disconnected node: la_data_out[14]
+Cell user_project_wrapper (0) disconnected node: la_data_out[15]
+Cell user_project_wrapper (0) disconnected node: la_data_out[16]
+Cell user_project_wrapper (0) disconnected node: la_data_out[17]
+Cell user_project_wrapper (0) disconnected node: la_data_out[18]
+Cell user_project_wrapper (0) disconnected node: la_data_out[19]
+Cell user_project_wrapper (0) disconnected node: la_data_out[1]
+Cell user_project_wrapper (0) disconnected node: la_data_out[20]
+Cell user_project_wrapper (0) disconnected node: la_data_out[21]
+Cell user_project_wrapper (0) disconnected node: la_data_out[22]
+Cell user_project_wrapper (0) disconnected node: la_data_out[23]
+Cell user_project_wrapper (0) disconnected node: la_data_out[24]
+Cell user_project_wrapper (0) disconnected node: la_data_out[25]
+Cell user_project_wrapper (0) disconnected node: la_data_out[26]
+Cell user_project_wrapper (0) disconnected node: la_data_out[27]
+Cell user_project_wrapper (0) disconnected node: la_data_out[28]
+Cell user_project_wrapper (0) disconnected node: la_data_out[29]
+Cell user_project_wrapper (0) disconnected node: la_data_out[2]
+Cell user_project_wrapper (0) disconnected node: la_data_out[30]
+Cell user_project_wrapper (0) disconnected node: la_data_out[31]
+Cell user_project_wrapper (0) disconnected node: la_data_out[32]
+Cell user_project_wrapper (0) disconnected node: la_data_out[33]
+Cell user_project_wrapper (0) disconnected node: la_data_out[34]
+Cell user_project_wrapper (0) disconnected node: la_data_out[35]
+Cell user_project_wrapper (0) disconnected node: la_data_out[36]
+Cell user_project_wrapper (0) disconnected node: la_data_out[37]
+Cell user_project_wrapper (0) disconnected node: la_data_out[38]
+Cell user_project_wrapper (0) disconnected node: la_data_out[39]
+Cell user_project_wrapper (0) disconnected node: la_data_out[3]
+Cell user_project_wrapper (0) disconnected node: la_data_out[40]
+Cell user_project_wrapper (0) disconnected node: la_data_out[41]
+Cell user_project_wrapper (0) disconnected node: la_data_out[42]
+Cell user_project_wrapper (0) disconnected node: la_data_out[43]
+Cell user_project_wrapper (0) disconnected node: la_data_out[44]
+Cell user_project_wrapper (0) disconnected node: la_data_out[45]
+Cell user_project_wrapper (0) disconnected node: la_data_out[46]
+Cell user_project_wrapper (0) disconnected node: la_data_out[47]
+Cell user_project_wrapper (0) disconnected node: la_data_out[48]
+Cell user_project_wrapper (0) disconnected node: la_data_out[49]
+Cell user_project_wrapper (0) disconnected node: la_data_out[4]
+Cell user_project_wrapper (0) disconnected node: la_data_out[50]
+Cell user_project_wrapper (0) disconnected node: la_data_out[51]
+Cell user_project_wrapper (0) disconnected node: la_data_out[52]
+Cell user_project_wrapper (0) disconnected node: la_data_out[53]
+Cell user_project_wrapper (0) disconnected node: la_data_out[54]
+Cell user_project_wrapper (0) disconnected node: la_data_out[55]
+Cell user_project_wrapper (0) disconnected node: la_data_out[56]
+Cell user_project_wrapper (0) disconnected node: la_data_out[57]
+Cell user_project_wrapper (0) disconnected node: la_data_out[58]
+Cell user_project_wrapper (0) disconnected node: la_data_out[59]
+Cell user_project_wrapper (0) disconnected node: la_data_out[5]
+Cell user_project_wrapper (0) disconnected node: la_data_out[60]
+Cell user_project_wrapper (0) disconnected node: la_data_out[61]
+Cell user_project_wrapper (0) disconnected node: la_data_out[62]
+Cell user_project_wrapper (0) disconnected node: la_data_out[63]
+Cell user_project_wrapper (0) disconnected node: la_data_out[6]
+Cell user_project_wrapper (0) disconnected node: la_data_out[7]
+Cell user_project_wrapper (0) disconnected node: la_data_out[8]
+Cell user_project_wrapper (0) disconnected node: la_data_out[9]
+Cell user_project_wrapper (0) disconnected node: la_oenb[0]
+Cell user_project_wrapper (0) disconnected node: la_oenb[10]
+Cell user_project_wrapper (0) disconnected node: la_oenb[11]
+Cell user_project_wrapper (0) disconnected node: la_oenb[12]
+Cell user_project_wrapper (0) disconnected node: la_oenb[13]
+Cell user_project_wrapper (0) disconnected node: la_oenb[14]
+Cell user_project_wrapper (0) disconnected node: la_oenb[15]
+Cell user_project_wrapper (0) disconnected node: la_oenb[16]
+Cell user_project_wrapper (0) disconnected node: la_oenb[17]
+Cell user_project_wrapper (0) disconnected node: la_oenb[18]
+Cell user_project_wrapper (0) disconnected node: la_oenb[19]
+Cell user_project_wrapper (0) disconnected node: la_oenb[1]
+Cell user_project_wrapper (0) disconnected node: la_oenb[20]
+Cell user_project_wrapper (0) disconnected node: la_oenb[21]
+Cell user_project_wrapper (0) disconnected node: la_oenb[22]
+Cell user_project_wrapper (0) disconnected node: la_oenb[23]
+Cell user_project_wrapper (0) disconnected node: la_oenb[24]
+Cell user_project_wrapper (0) disconnected node: la_oenb[25]
+Cell user_project_wrapper (0) disconnected node: la_oenb[26]
+Cell user_project_wrapper (0) disconnected node: la_oenb[27]
+Cell user_project_wrapper (0) disconnected node: la_oenb[28]
+Cell user_project_wrapper (0) disconnected node: la_oenb[29]
+Cell user_project_wrapper (0) disconnected node: la_oenb[2]
+Cell user_project_wrapper (0) disconnected node: la_oenb[30]
+Cell user_project_wrapper (0) disconnected node: la_oenb[31]
+Cell user_project_wrapper (0) disconnected node: la_oenb[32]
+Cell user_project_wrapper (0) disconnected node: la_oenb[33]
+Cell user_project_wrapper (0) disconnected node: la_oenb[34]
+Cell user_project_wrapper (0) disconnected node: la_oenb[35]
+Cell user_project_wrapper (0) disconnected node: la_oenb[36]
+Cell user_project_wrapper (0) disconnected node: la_oenb[37]
+Cell user_project_wrapper (0) disconnected node: la_oenb[38]
+Cell user_project_wrapper (0) disconnected node: la_oenb[39]
+Cell user_project_wrapper (0) disconnected node: la_oenb[3]
+Cell user_project_wrapper (0) disconnected node: la_oenb[40]
+Cell user_project_wrapper (0) disconnected node: la_oenb[41]
+Cell user_project_wrapper (0) disconnected node: la_oenb[42]
+Cell user_project_wrapper (0) disconnected node: la_oenb[43]
+Cell user_project_wrapper (0) disconnected node: la_oenb[44]
+Cell user_project_wrapper (0) disconnected node: la_oenb[45]
+Cell user_project_wrapper (0) disconnected node: la_oenb[46]
+Cell user_project_wrapper (0) disconnected node: la_oenb[47]
+Cell user_project_wrapper (0) disconnected node: la_oenb[48]
+Cell user_project_wrapper (0) disconnected node: la_oenb[49]
+Cell user_project_wrapper (0) disconnected node: la_oenb[4]
+Cell user_project_wrapper (0) disconnected node: la_oenb[50]
+Cell user_project_wrapper (0) disconnected node: la_oenb[51]
+Cell user_project_wrapper (0) disconnected node: la_oenb[52]
+Cell user_project_wrapper (0) disconnected node: la_oenb[53]
+Cell user_project_wrapper (0) disconnected node: la_oenb[54]
+Cell user_project_wrapper (0) disconnected node: la_oenb[55]
+Cell user_project_wrapper (0) disconnected node: la_oenb[56]
+Cell user_project_wrapper (0) disconnected node: la_oenb[57]
+Cell user_project_wrapper (0) disconnected node: la_oenb[58]
+Cell user_project_wrapper (0) disconnected node: la_oenb[59]
+Cell user_project_wrapper (0) disconnected node: la_oenb[5]
+Cell user_project_wrapper (0) disconnected node: la_oenb[60]
+Cell user_project_wrapper (0) disconnected node: la_oenb[61]
+Cell user_project_wrapper (0) disconnected node: la_oenb[62]
+Cell user_project_wrapper (0) disconnected node: la_oenb[63]
+Cell user_project_wrapper (0) disconnected node: la_oenb[6]
+Cell user_project_wrapper (0) disconnected node: la_oenb[7]
+Cell user_project_wrapper (0) disconnected node: la_oenb[8]
+Cell user_project_wrapper (0) disconnected node: la_oenb[9]
+Cell user_project_wrapper (0) disconnected node: user_clock2
+Cell user_project_wrapper (0) disconnected node: user_irq[0]
+Cell user_project_wrapper (0) disconnected node: user_irq[1]
+Cell user_project_wrapper (0) disconnected node: user_irq[2]
+Cell user_project_wrapper (0) disconnected node: wbs_ack_o
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[0]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[10]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[11]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[12]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[13]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[14]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[15]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[16]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[17]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[18]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[19]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[1]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[20]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[21]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[22]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[23]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[24]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[25]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[26]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[27]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[28]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[29]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[2]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[30]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[31]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[3]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[4]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[5]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[6]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[7]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[8]
+Cell user_project_wrapper (0) disconnected node: wbs_adr_i[9]
+Cell user_project_wrapper (0) disconnected node: wbs_cyc_i
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[0]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[10]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[11]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[12]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[13]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[14]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[15]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[16]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[17]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[18]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[19]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[1]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[20]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[21]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[22]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[23]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[24]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[25]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[26]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[27]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[28]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[29]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[2]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[30]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[31]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[3]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[4]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[5]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[6]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[7]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[8]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_i[9]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[0]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[10]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[11]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[12]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[13]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[14]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[15]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[16]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[17]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[18]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[19]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[1]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[20]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[21]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[22]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[23]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[24]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[25]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[26]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[27]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[28]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[29]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[2]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[30]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[31]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[3]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[4]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[5]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[6]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[7]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[8]
+Cell user_project_wrapper (0) disconnected node: wbs_dat_o[9]
+Cell user_project_wrapper (0) disconnected node: wbs_sel_i[0]
+Cell user_project_wrapper (0) disconnected node: wbs_sel_i[1]
+Cell user_project_wrapper (0) disconnected node: wbs_sel_i[2]
+Cell user_project_wrapper (0) disconnected node: wbs_sel_i[3]
+Cell user_project_wrapper (0) disconnected node: wbs_stb_i
+Cell user_project_wrapper (0) disconnected node: wbs_we_i
+Cell user_project_wrapper (1) disconnected node: user_clock2
+Cell user_project_wrapper (1) disconnected node: wbs_ack_o
+Cell user_project_wrapper (1) disconnected node: wbs_cyc_i
+Cell user_project_wrapper (1) disconnected node: wbs_stb_i
+Cell user_project_wrapper (1) disconnected node: wbs_we_i
+Cell user_project_wrapper (1) disconnected node: io_in[37]
+Cell user_project_wrapper (1) disconnected node: io_in[36]
+Cell user_project_wrapper (1) disconnected node: io_in[35]
+Cell user_project_wrapper (1) disconnected node: io_in[34]
+Cell user_project_wrapper (1) disconnected node: io_in[33]
+Cell user_project_wrapper (1) disconnected node: io_in[32]
+Cell user_project_wrapper (1) disconnected node: io_in[31]
+Cell user_project_wrapper (1) disconnected node: io_in[30]
+Cell user_project_wrapper (1) disconnected node: io_in[29]
+Cell user_project_wrapper (1) disconnected node: io_in[28]
+Cell user_project_wrapper (1) disconnected node: io_in[27]
+Cell user_project_wrapper (1) disconnected node: io_in[26]
+Cell user_project_wrapper (1) disconnected node: io_in[25]
+Cell user_project_wrapper (1) disconnected node: io_in[24]
+Cell user_project_wrapper (1) disconnected node: io_in[23]
+Cell user_project_wrapper (1) disconnected node: io_in[22]
+Cell user_project_wrapper (1) disconnected node: io_in[21]
+Cell user_project_wrapper (1) disconnected node: io_in[20]
+Cell user_project_wrapper (1) disconnected node: io_in[19]
+Cell user_project_wrapper (1) disconnected node: io_in[18]
+Cell user_project_wrapper (1) disconnected node: io_in[17]
+Cell user_project_wrapper (1) disconnected node: io_in[16]
+Cell user_project_wrapper (1) disconnected node: io_in[15]
+Cell user_project_wrapper (1) disconnected node: io_in[14]
+Cell user_project_wrapper (1) disconnected node: io_in[13]
+Cell user_project_wrapper (1) disconnected node: io_in[12]
+Cell user_project_wrapper (1) disconnected node: io_in[11]
+Cell user_project_wrapper (1) disconnected node: io_in[10]
+Cell user_project_wrapper (1) disconnected node: io_in[9]
+Cell user_project_wrapper (1) disconnected node: io_in[8]
+Cell user_project_wrapper (1) disconnected node: io_in[7]
+Cell user_project_wrapper (1) disconnected node: io_in[6]
+Cell user_project_wrapper (1) disconnected node: io_in[5]
+Cell user_project_wrapper (1) disconnected node: io_in[4]
+Cell user_project_wrapper (1) disconnected node: io_in[3]
+Cell user_project_wrapper (1) disconnected node: io_in[2]
+Cell user_project_wrapper (1) disconnected node: io_in[1]
+Cell user_project_wrapper (1) disconnected node: io_in[0]
+Cell user_project_wrapper (1) disconnected node: io_oeb[37]
+Cell user_project_wrapper (1) disconnected node: io_oeb[36]
+Cell user_project_wrapper (1) disconnected node: io_oeb[35]
+Cell user_project_wrapper (1) disconnected node: io_oeb[34]
+Cell user_project_wrapper (1) disconnected node: io_oeb[33]
+Cell user_project_wrapper (1) disconnected node: io_oeb[32]
+Cell user_project_wrapper (1) disconnected node: io_oeb[31]
+Cell user_project_wrapper (1) disconnected node: io_oeb[30]
+Cell user_project_wrapper (1) disconnected node: io_oeb[29]
+Cell user_project_wrapper (1) disconnected node: io_oeb[28]
+Cell user_project_wrapper (1) disconnected node: io_oeb[27]
+Cell user_project_wrapper (1) disconnected node: io_oeb[26]
+Cell user_project_wrapper (1) disconnected node: io_oeb[25]
+Cell user_project_wrapper (1) disconnected node: io_oeb[24]
+Cell user_project_wrapper (1) disconnected node: io_oeb[23]
+Cell user_project_wrapper (1) disconnected node: io_oeb[22]
+Cell user_project_wrapper (1) disconnected node: io_oeb[21]
+Cell user_project_wrapper (1) disconnected node: io_oeb[20]
+Cell user_project_wrapper (1) disconnected node: io_oeb[19]
+Cell user_project_wrapper (1) disconnected node: io_oeb[18]
+Cell user_project_wrapper (1) disconnected node: io_oeb[17]
+Cell user_project_wrapper (1) disconnected node: io_oeb[16]
+Cell user_project_wrapper (1) disconnected node: io_oeb[15]
+Cell user_project_wrapper (1) disconnected node: io_oeb[14]
+Cell user_project_wrapper (1) disconnected node: io_oeb[13]
+Cell user_project_wrapper (1) disconnected node: io_oeb[12]
+Cell user_project_wrapper (1) disconnected node: io_oeb[11]
+Cell user_project_wrapper (1) disconnected node: io_oeb[10]
+Cell user_project_wrapper (1) disconnected node: io_oeb[9]
+Cell user_project_wrapper (1) disconnected node: io_oeb[8]
+Cell user_project_wrapper (1) disconnected node: io_oeb[7]
+Cell user_project_wrapper (1) disconnected node: io_oeb[6]
+Cell user_project_wrapper (1) disconnected node: io_oeb[5]
+Cell user_project_wrapper (1) disconnected node: io_oeb[4]
+Cell user_project_wrapper (1) disconnected node: io_oeb[3]
+Cell user_project_wrapper (1) disconnected node: io_oeb[2]
+Cell user_project_wrapper (1) disconnected node: io_oeb[1]
+Cell user_project_wrapper (1) disconnected node: io_oeb[0]
+Cell user_project_wrapper (1) disconnected node: io_out[37]
+Cell user_project_wrapper (1) disconnected node: io_out[36]
+Cell user_project_wrapper (1) disconnected node: io_out[35]
+Cell user_project_wrapper (1) disconnected node: io_out[34]
+Cell user_project_wrapper (1) disconnected node: io_out[33]
+Cell user_project_wrapper (1) disconnected node: io_out[32]
+Cell user_project_wrapper (1) disconnected node: io_out[31]
+Cell user_project_wrapper (1) disconnected node: io_out[30]
+Cell user_project_wrapper (1) disconnected node: io_out[29]
+Cell user_project_wrapper (1) disconnected node: io_out[28]
+Cell user_project_wrapper (1) disconnected node: io_out[27]
+Cell user_project_wrapper (1) disconnected node: io_out[26]
+Cell user_project_wrapper (1) disconnected node: io_out[25]
+Cell user_project_wrapper (1) disconnected node: io_out[24]
+Cell user_project_wrapper (1) disconnected node: io_out[23]
+Cell user_project_wrapper (1) disconnected node: io_out[22]
+Cell user_project_wrapper (1) disconnected node: io_out[21]
+Cell user_project_wrapper (1) disconnected node: io_out[20]
+Cell user_project_wrapper (1) disconnected node: la_data_in[63]
+Cell user_project_wrapper (1) disconnected node: la_data_in[62]
+Cell user_project_wrapper (1) disconnected node: la_data_in[61]
+Cell user_project_wrapper (1) disconnected node: la_data_in[60]
+Cell user_project_wrapper (1) disconnected node: la_data_in[59]
+Cell user_project_wrapper (1) disconnected node: la_data_in[58]
+Cell user_project_wrapper (1) disconnected node: la_data_in[57]
+Cell user_project_wrapper (1) disconnected node: la_data_in[56]
+Cell user_project_wrapper (1) disconnected node: la_data_in[55]
+Cell user_project_wrapper (1) disconnected node: la_data_in[54]
+Cell user_project_wrapper (1) disconnected node: la_data_in[53]
+Cell user_project_wrapper (1) disconnected node: la_data_in[52]
+Cell user_project_wrapper (1) disconnected node: la_data_in[51]
+Cell user_project_wrapper (1) disconnected node: la_data_in[50]
+Cell user_project_wrapper (1) disconnected node: la_data_in[49]
+Cell user_project_wrapper (1) disconnected node: la_data_in[48]
+Cell user_project_wrapper (1) disconnected node: la_data_in[47]
+Cell user_project_wrapper (1) disconnected node: la_data_in[46]
+Cell user_project_wrapper (1) disconnected node: la_data_in[45]
+Cell user_project_wrapper (1) disconnected node: la_data_in[44]
+Cell user_project_wrapper (1) disconnected node: la_data_in[43]
+Cell user_project_wrapper (1) disconnected node: la_data_in[42]
+Cell user_project_wrapper (1) disconnected node: la_data_in[41]
+Cell user_project_wrapper (1) disconnected node: la_data_in[40]
+Cell user_project_wrapper (1) disconnected node: la_data_in[39]
+Cell user_project_wrapper (1) disconnected node: la_data_in[38]
+Cell user_project_wrapper (1) disconnected node: la_data_in[37]
+Cell user_project_wrapper (1) disconnected node: la_data_in[36]
+Cell user_project_wrapper (1) disconnected node: la_data_in[35]
+Cell user_project_wrapper (1) disconnected node: la_data_in[34]
+Cell user_project_wrapper (1) disconnected node: la_data_in[33]
+Cell user_project_wrapper (1) disconnected node: la_data_in[32]
+Cell user_project_wrapper (1) disconnected node: la_data_in[31]
+Cell user_project_wrapper (1) disconnected node: la_data_in[30]
+Cell user_project_wrapper (1) disconnected node: la_data_in[29]
+Cell user_project_wrapper (1) disconnected node: la_data_in[28]
+Cell user_project_wrapper (1) disconnected node: la_data_in[27]
+Cell user_project_wrapper (1) disconnected node: la_data_in[26]
+Cell user_project_wrapper (1) disconnected node: la_data_in[25]
+Cell user_project_wrapper (1) disconnected node: la_data_in[24]
+Cell user_project_wrapper (1) disconnected node: la_data_in[23]
+Cell user_project_wrapper (1) disconnected node: la_data_in[22]
+Cell user_project_wrapper (1) disconnected node: la_data_in[21]
+Cell user_project_wrapper (1) disconnected node: la_data_in[20]
+Cell user_project_wrapper (1) disconnected node: la_data_in[19]
+Cell user_project_wrapper (1) disconnected node: la_data_in[18]
+Cell user_project_wrapper (1) disconnected node: la_data_in[17]
+Cell user_project_wrapper (1) disconnected node: la_data_in[16]
+Cell user_project_wrapper (1) disconnected node: la_data_in[15]
+Cell user_project_wrapper (1) disconnected node: la_data_in[14]
+Cell user_project_wrapper (1) disconnected node: la_data_in[13]
+Cell user_project_wrapper (1) disconnected node: la_data_in[12]
+Cell user_project_wrapper (1) disconnected node: la_data_in[11]
+Cell user_project_wrapper (1) disconnected node: la_data_in[10]
+Cell user_project_wrapper (1) disconnected node: la_data_in[9]
+Cell user_project_wrapper (1) disconnected node: la_data_in[8]
+Cell user_project_wrapper (1) disconnected node: la_data_in[7]
+Cell user_project_wrapper (1) disconnected node: la_data_in[6]
+Cell user_project_wrapper (1) disconnected node: la_data_in[5]
+Cell user_project_wrapper (1) disconnected node: la_data_in[4]
+Cell user_project_wrapper (1) disconnected node: la_data_in[3]
+Cell user_project_wrapper (1) disconnected node: la_data_in[2]
+Cell user_project_wrapper (1) disconnected node: la_data_in[1]
+Cell user_project_wrapper (1) disconnected node: la_data_in[0]
+Cell user_project_wrapper (1) disconnected node: la_data_out[63]
+Cell user_project_wrapper (1) disconnected node: la_data_out[62]
+Cell user_project_wrapper (1) disconnected node: la_data_out[61]
+Cell user_project_wrapper (1) disconnected node: la_data_out[60]
+Cell user_project_wrapper (1) disconnected node: la_data_out[59]
+Cell user_project_wrapper (1) disconnected node: la_data_out[58]
+Cell user_project_wrapper (1) disconnected node: la_data_out[57]
+Cell user_project_wrapper (1) disconnected node: la_data_out[56]
+Cell user_project_wrapper (1) disconnected node: la_data_out[55]
+Cell user_project_wrapper (1) disconnected node: la_data_out[54]
+Cell user_project_wrapper (1) disconnected node: la_data_out[53]
+Cell user_project_wrapper (1) disconnected node: la_data_out[52]
+Cell user_project_wrapper (1) disconnected node: la_data_out[51]
+Cell user_project_wrapper (1) disconnected node: la_data_out[50]
+Cell user_project_wrapper (1) disconnected node: la_data_out[49]
+Cell user_project_wrapper (1) disconnected node: la_data_out[48]
+Cell user_project_wrapper (1) disconnected node: la_data_out[47]
+Cell user_project_wrapper (1) disconnected node: la_data_out[46]
+Cell user_project_wrapper (1) disconnected node: la_data_out[45]
+Cell user_project_wrapper (1) disconnected node: la_data_out[44]
+Cell user_project_wrapper (1) disconnected node: la_data_out[43]
+Cell user_project_wrapper (1) disconnected node: la_data_out[42]
+Cell user_project_wrapper (1) disconnected node: la_data_out[41]
+Cell user_project_wrapper (1) disconnected node: la_data_out[40]
+Cell user_project_wrapper (1) disconnected node: la_data_out[39]
+Cell user_project_wrapper (1) disconnected node: la_data_out[38]
+Cell user_project_wrapper (1) disconnected node: la_data_out[37]
+Cell user_project_wrapper (1) disconnected node: la_data_out[36]
+Cell user_project_wrapper (1) disconnected node: la_data_out[35]
+Cell user_project_wrapper (1) disconnected node: la_data_out[34]
+Cell user_project_wrapper (1) disconnected node: la_data_out[33]
+Cell user_project_wrapper (1) disconnected node: la_data_out[32]
+Cell user_project_wrapper (1) disconnected node: la_data_out[31]
+Cell user_project_wrapper (1) disconnected node: la_data_out[30]
+Cell user_project_wrapper (1) disconnected node: la_data_out[29]
+Cell user_project_wrapper (1) disconnected node: la_data_out[28]
+Cell user_project_wrapper (1) disconnected node: la_data_out[27]
+Cell user_project_wrapper (1) disconnected node: la_data_out[26]
+Cell user_project_wrapper (1) disconnected node: la_data_out[25]
+Cell user_project_wrapper (1) disconnected node: la_data_out[24]
+Cell user_project_wrapper (1) disconnected node: la_data_out[23]
+Cell user_project_wrapper (1) disconnected node: la_data_out[22]
+Cell user_project_wrapper (1) disconnected node: la_data_out[21]
+Cell user_project_wrapper (1) disconnected node: la_data_out[20]
+Cell user_project_wrapper (1) disconnected node: la_data_out[19]
+Cell user_project_wrapper (1) disconnected node: la_data_out[18]
+Cell user_project_wrapper (1) disconnected node: la_data_out[17]
+Cell user_project_wrapper (1) disconnected node: la_data_out[16]
+Cell user_project_wrapper (1) disconnected node: la_data_out[15]
+Cell user_project_wrapper (1) disconnected node: la_data_out[14]
+Cell user_project_wrapper (1) disconnected node: la_data_out[13]
+Cell user_project_wrapper (1) disconnected node: la_data_out[12]
+Cell user_project_wrapper (1) disconnected node: la_data_out[11]
+Cell user_project_wrapper (1) disconnected node: la_data_out[10]
+Cell user_project_wrapper (1) disconnected node: la_data_out[9]
+Cell user_project_wrapper (1) disconnected node: la_data_out[8]
+Cell user_project_wrapper (1) disconnected node: la_data_out[7]
+Cell user_project_wrapper (1) disconnected node: la_data_out[6]
+Cell user_project_wrapper (1) disconnected node: la_data_out[5]
+Cell user_project_wrapper (1) disconnected node: la_data_out[4]
+Cell user_project_wrapper (1) disconnected node: la_data_out[3]
+Cell user_project_wrapper (1) disconnected node: la_data_out[2]
+Cell user_project_wrapper (1) disconnected node: la_data_out[1]
+Cell user_project_wrapper (1) disconnected node: la_data_out[0]
+Cell user_project_wrapper (1) disconnected node: la_oenb[63]
+Cell user_project_wrapper (1) disconnected node: la_oenb[62]
+Cell user_project_wrapper (1) disconnected node: la_oenb[61]
+Cell user_project_wrapper (1) disconnected node: la_oenb[60]
+Cell user_project_wrapper (1) disconnected node: la_oenb[59]
+Cell user_project_wrapper (1) disconnected node: la_oenb[58]
+Cell user_project_wrapper (1) disconnected node: la_oenb[57]
+Cell user_project_wrapper (1) disconnected node: la_oenb[56]
+Cell user_project_wrapper (1) disconnected node: la_oenb[55]
+Cell user_project_wrapper (1) disconnected node: la_oenb[54]
+Cell user_project_wrapper (1) disconnected node: la_oenb[53]
+Cell user_project_wrapper (1) disconnected node: la_oenb[52]
+Cell user_project_wrapper (1) disconnected node: la_oenb[51]
+Cell user_project_wrapper (1) disconnected node: la_oenb[50]
+Cell user_project_wrapper (1) disconnected node: la_oenb[49]
+Cell user_project_wrapper (1) disconnected node: la_oenb[48]
+Cell user_project_wrapper (1) disconnected node: la_oenb[47]
+Cell user_project_wrapper (1) disconnected node: la_oenb[46]
+Cell user_project_wrapper (1) disconnected node: la_oenb[45]
+Cell user_project_wrapper (1) disconnected node: la_oenb[44]
+Cell user_project_wrapper (1) disconnected node: la_oenb[43]
+Cell user_project_wrapper (1) disconnected node: la_oenb[42]
+Cell user_project_wrapper (1) disconnected node: la_oenb[41]
+Cell user_project_wrapper (1) disconnected node: la_oenb[40]
+Cell user_project_wrapper (1) disconnected node: la_oenb[39]
+Cell user_project_wrapper (1) disconnected node: la_oenb[38]
+Cell user_project_wrapper (1) disconnected node: la_oenb[37]
+Cell user_project_wrapper (1) disconnected node: la_oenb[36]
+Cell user_project_wrapper (1) disconnected node: la_oenb[35]
+Cell user_project_wrapper (1) disconnected node: la_oenb[34]
+Cell user_project_wrapper (1) disconnected node: la_oenb[33]
+Cell user_project_wrapper (1) disconnected node: la_oenb[32]
+Cell user_project_wrapper (1) disconnected node: la_oenb[31]
+Cell user_project_wrapper (1) disconnected node: la_oenb[30]
+Cell user_project_wrapper (1) disconnected node: la_oenb[29]
+Cell user_project_wrapper (1) disconnected node: la_oenb[28]
+Cell user_project_wrapper (1) disconnected node: la_oenb[27]
+Cell user_project_wrapper (1) disconnected node: la_oenb[26]
+Cell user_project_wrapper (1) disconnected node: la_oenb[25]
+Cell user_project_wrapper (1) disconnected node: la_oenb[24]
+Cell user_project_wrapper (1) disconnected node: la_oenb[23]
+Cell user_project_wrapper (1) disconnected node: la_oenb[22]
+Cell user_project_wrapper (1) disconnected node: la_oenb[21]
+Cell user_project_wrapper (1) disconnected node: la_oenb[20]
+Cell user_project_wrapper (1) disconnected node: la_oenb[19]
+Cell user_project_wrapper (1) disconnected node: la_oenb[18]
+Cell user_project_wrapper (1) disconnected node: la_oenb[17]
+Cell user_project_wrapper (1) disconnected node: la_oenb[16]
+Cell user_project_wrapper (1) disconnected node: la_oenb[15]
+Cell user_project_wrapper (1) disconnected node: la_oenb[14]
+Cell user_project_wrapper (1) disconnected node: la_oenb[13]
+Cell user_project_wrapper (1) disconnected node: la_oenb[12]
+Cell user_project_wrapper (1) disconnected node: la_oenb[11]
+Cell user_project_wrapper (1) disconnected node: la_oenb[10]
+Cell user_project_wrapper (1) disconnected node: la_oenb[9]
+Cell user_project_wrapper (1) disconnected node: la_oenb[8]
+Cell user_project_wrapper (1) disconnected node: la_oenb[7]
+Cell user_project_wrapper (1) disconnected node: la_oenb[6]
+Cell user_project_wrapper (1) disconnected node: la_oenb[5]
+Cell user_project_wrapper (1) disconnected node: la_oenb[4]
+Cell user_project_wrapper (1) disconnected node: la_oenb[3]
+Cell user_project_wrapper (1) disconnected node: la_oenb[2]
+Cell user_project_wrapper (1) disconnected node: la_oenb[1]
+Cell user_project_wrapper (1) disconnected node: la_oenb[0]
+Cell user_project_wrapper (1) disconnected node: user_irq[2]
+Cell user_project_wrapper (1) disconnected node: user_irq[1]
+Cell user_project_wrapper (1) disconnected node: user_irq[0]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[31]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[30]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[29]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[28]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[27]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[26]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[25]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[24]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[23]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[22]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[21]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[20]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[19]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[18]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[17]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[16]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[15]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[14]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[13]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[12]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[11]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[10]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[9]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[8]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[7]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[6]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[5]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[4]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[3]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[2]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[1]
+Cell user_project_wrapper (1) disconnected node: wbs_adr_i[0]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[31]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[30]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[29]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[28]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[27]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[26]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[25]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[24]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[23]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[22]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[21]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[20]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[19]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[18]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[17]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[16]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[15]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[14]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[13]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[12]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[11]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[10]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[9]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[8]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[7]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[6]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[5]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[4]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[3]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[2]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[1]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_i[0]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[31]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[30]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[29]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[28]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[27]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[26]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[25]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[24]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[23]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[22]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[21]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[20]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[19]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[18]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[17]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[16]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[15]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[14]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[13]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[12]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[11]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[10]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[9]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[8]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[7]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[6]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[5]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[4]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[3]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[2]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[1]
+Cell user_project_wrapper (1) disconnected node: wbs_dat_o[0]
+Cell user_project_wrapper (1) disconnected node: wbs_sel_i[3]
+Cell user_project_wrapper (1) disconnected node: wbs_sel_i[2]
+Cell user_project_wrapper (1) disconnected node: wbs_sel_i[1]
+Cell user_project_wrapper (1) disconnected node: wbs_sel_i[0]
+Subcircuit summary:
+Circuit 1: user_project_wrapper            |Circuit 2: user_project_wrapper            
+-------------------------------------------|-------------------------------------------
+cntr_example (1)                           |cntr_example (1)                           
+Number of devices: 1                       |Number of devices: 1                       
+Number of nets: 42                         |Number of nets: 42                         
+---------------------------------------------------------------------------------------
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: user_project_wrapper            |Circuit 2: user_project_wrapper            
+-------------------------------------------|-------------------------------------------
+vdd                                        |vdd                                        
+vss                                        |vss                                        
+wb_clk_i                                   |wb_clk_i                                   
+wb_rst_i                                   |wb_rst_i                                   
+io_out[19]                                 |io_out[19]                                 
+io_out[18]                                 |io_out[18]                                 
+io_out[17]                                 |io_out[17]                                 
+io_out[16]                                 |io_out[16]                                 
+io_out[15]                                 |io_out[15]                                 
+io_out[14]                                 |io_out[14]                                 
+io_out[13]                                 |io_out[13]                                 
+io_out[12]                                 |io_out[12]                                 
+io_out[11]                                 |io_out[11]                                 
+io_out[10]                                 |io_out[10]                                 
+io_out[9]                                  |io_out[9]                                  
+io_out[8]                                  |io_out[8]                                  
+io_out[7]                                  |io_out[7]                                  
+io_out[6]                                  |io_out[6]                                  
+io_out[5]                                  |io_out[5]                                  
+io_out[4]                                  |io_out[4]                                  
+io_out[3]                                  |io_out[3]                                  
+io_out[2]                                  |io_out[2]                                  
+io_out[1]                                  |io_out[1]                                  
+io_out[0]                                  |io_out[0]                                  
+io_in[0]                                   |io_in[0]                                   
+io_in[10]                                  |io_in[10]                                  
+io_in[11]                                  |io_in[11]                                  
+io_in[12]                                  |io_in[12]                                  
+io_in[13]                                  |io_in[13]                                  
+io_in[14]                                  |io_in[14]                                  
+io_in[15]                                  |io_in[15]                                  
+io_in[16]                                  |io_in[16]                                  
+io_in[17]                                  |io_in[17]                                  
+io_in[18]                                  |io_in[18]                                  
+io_in[19]                                  |io_in[19]                                  
+io_in[1]                                   |io_in[1]                                   
+io_in[20]                                  |io_in[20]                                  
+io_in[21]                                  |io_in[21]                                  
+io_in[22]                                  |io_in[22]                                  
+io_in[23]                                  |io_in[23]                                  
+io_in[24]                                  |io_in[24]                                  
+io_in[25]                                  |io_in[25]                                  
+io_in[26]                                  |io_in[26]                                  
+io_in[27]                                  |io_in[27]                                  
+io_in[28]                                  |io_in[28]                                  
+io_in[29]                                  |io_in[29]                                  
+io_in[2]                                   |io_in[2]                                   
+io_in[30]                                  |io_in[30]                                  
+io_in[31]                                  |io_in[31]                                  
+io_in[32]                                  |io_in[32]                                  
+io_in[33]                                  |io_in[33]                                  
+io_in[34]                                  |io_in[34]                                  
+io_in[35]                                  |io_in[35]                                  
+io_in[36]                                  |io_in[36]                                  
+io_in[37]                                  |io_in[37]                                  
+io_in[3]                                   |io_in[3]                                   
+io_in[4]                                   |io_in[4]                                   
+io_in[5]                                   |io_in[5]                                   
+io_in[6]                                   |io_in[6]                                   
+io_in[7]                                   |io_in[7]                                   
+io_in[8]                                   |io_in[8]                                   
+io_in[9]                                   |io_in[9]                                   
+io_oeb[0]                                  |io_oeb[0]                                  
+io_oeb[10]                                 |io_oeb[10]                                 
+io_oeb[11]                                 |io_oeb[11]                                 
+io_oeb[12]                                 |io_oeb[12]                                 
+io_oeb[13]                                 |io_oeb[13]                                 
+io_oeb[14]                                 |io_oeb[14]                                 
+io_oeb[15]                                 |io_oeb[15]                                 
+io_oeb[16]                                 |io_oeb[16]                                 
+io_oeb[17]                                 |io_oeb[17]                                 
+io_oeb[18]                                 |io_oeb[18]                                 
+io_oeb[19]                                 |io_oeb[19]                                 
+io_oeb[1]                                  |io_oeb[1]                                  
+io_oeb[20]                                 |io_oeb[20]                                 
+io_oeb[21]                                 |io_oeb[21]                                 
+io_oeb[22]                                 |io_oeb[22]                                 
+io_oeb[23]                                 |io_oeb[23]                                 
+io_oeb[24]                                 |io_oeb[24]                                 
+io_oeb[25]                                 |io_oeb[25]                                 
+io_oeb[26]                                 |io_oeb[26]                                 
+io_oeb[27]                                 |io_oeb[27]                                 
+io_oeb[28]                                 |io_oeb[28]                                 
+io_oeb[29]                                 |io_oeb[29]                                 
+io_oeb[2]                                  |io_oeb[2]                                  
+io_oeb[30]                                 |io_oeb[30]                                 
+io_oeb[31]                                 |io_oeb[31]                                 
+io_oeb[32]                                 |io_oeb[32]                                 
+io_oeb[33]                                 |io_oeb[33]                                 
+io_oeb[34]                                 |io_oeb[34]                                 
+io_oeb[35]                                 |io_oeb[35]                                 
+io_oeb[36]                                 |io_oeb[36]                                 
+io_oeb[37]                                 |io_oeb[37]                                 
+io_oeb[3]                                  |io_oeb[3]                                  
+io_oeb[4]                                  |io_oeb[4]                                  
+io_oeb[5]                                  |io_oeb[5]                                  
+io_oeb[6]                                  |io_oeb[6]                                  
+io_oeb[7]                                  |io_oeb[7]                                  
+io_oeb[8]                                  |io_oeb[8]                                  
+io_oeb[9]                                  |io_oeb[9]                                  
+io_out[20]                                 |io_out[20]                                 
+io_out[21]                                 |io_out[21]                                 
+io_out[22]                                 |io_out[22]                                 
+io_out[23]                                 |io_out[23]                                 
+io_out[24]                                 |io_out[24]                                 
+io_out[25]                                 |io_out[25]                                 
+io_out[26]                                 |io_out[26]                                 
+io_out[27]                                 |io_out[27]                                 
+io_out[28]                                 |io_out[28]                                 
+io_out[29]                                 |io_out[29]                                 
+io_out[30]                                 |io_out[30]                                 
+io_out[31]                                 |io_out[31]                                 
+io_out[32]                                 |io_out[32]                                 
+io_out[33]                                 |io_out[33]                                 
+io_out[34]                                 |io_out[34]                                 
+io_out[35]                                 |io_out[35]                                 
+io_out[36]                                 |io_out[36]                                 
+io_out[37]                                 |io_out[37]                                 
+la_data_in[0]                              |la_data_in[0]                              
+la_data_in[10]                             |la_data_in[10]                             
+la_data_in[11]                             |la_data_in[11]                             
+la_data_in[12]                             |la_data_in[12]                             
+la_data_in[13]                             |la_data_in[13]                             
+la_data_in[14]                             |la_data_in[14]                             
+la_data_in[15]                             |la_data_in[15]                             
+la_data_in[16]                             |la_data_in[16]                             
+la_data_in[17]                             |la_data_in[17]                             
+la_data_in[18]                             |la_data_in[18]                             
+la_data_in[19]                             |la_data_in[19]                             
+la_data_in[1]                              |la_data_in[1]                              
+la_data_in[20]                             |la_data_in[20]                             
+la_data_in[21]                             |la_data_in[21]                             
+la_data_in[22]                             |la_data_in[22]                             
+la_data_in[23]                             |la_data_in[23]                             
+la_data_in[24]                             |la_data_in[24]                             
+la_data_in[25]                             |la_data_in[25]                             
+la_data_in[26]                             |la_data_in[26]                             
+la_data_in[27]                             |la_data_in[27]                             
+la_data_in[28]                             |la_data_in[28]                             
+la_data_in[29]                             |la_data_in[29]                             
+la_data_in[2]                              |la_data_in[2]                              
+la_data_in[30]                             |la_data_in[30]                             
+la_data_in[31]                             |la_data_in[31]                             
+la_data_in[32]                             |la_data_in[32]                             
+la_data_in[33]                             |la_data_in[33]                             
+la_data_in[34]                             |la_data_in[34]                             
+la_data_in[35]                             |la_data_in[35]                             
+la_data_in[36]                             |la_data_in[36]                             
+la_data_in[37]                             |la_data_in[37]                             
+la_data_in[38]                             |la_data_in[38]                             
+la_data_in[39]                             |la_data_in[39]                             
+la_data_in[3]                              |la_data_in[3]                              
+la_data_in[40]                             |la_data_in[40]                             
+la_data_in[41]                             |la_data_in[41]                             
+la_data_in[42]                             |la_data_in[42]                             
+la_data_in[43]                             |la_data_in[43]                             
+la_data_in[44]                             |la_data_in[44]                             
+la_data_in[45]                             |la_data_in[45]                             
+la_data_in[46]                             |la_data_in[46]                             
+la_data_in[47]                             |la_data_in[47]                             
+la_data_in[48]                             |la_data_in[48]                             
+la_data_in[49]                             |la_data_in[49]                             
+la_data_in[4]                              |la_data_in[4]                              
+la_data_in[50]                             |la_data_in[50]                             
+la_data_in[51]                             |la_data_in[51]                             
+la_data_in[52]                             |la_data_in[52]                             
+la_data_in[53]                             |la_data_in[53]                             
+la_data_in[54]                             |la_data_in[54]                             
+la_data_in[55]                             |la_data_in[55]                             
+la_data_in[56]                             |la_data_in[56]                             
+la_data_in[57]                             |la_data_in[57]                             
+la_data_in[58]                             |la_data_in[58]                             
+la_data_in[59]                             |la_data_in[59]                             
+la_data_in[5]                              |la_data_in[5]                              
+la_data_in[60]                             |la_data_in[60]                             
+la_data_in[61]                             |la_data_in[61]                             
+la_data_in[62]                             |la_data_in[62]                             
+la_data_in[63]                             |la_data_in[63]                             
+la_data_in[6]                              |la_data_in[6]                              
+la_data_in[7]                              |la_data_in[7]                              
+la_data_in[8]                              |la_data_in[8]                              
+la_data_in[9]                              |la_data_in[9]                              
+la_data_out[0]                             |la_data_out[0]                             
+la_data_out[10]                            |la_data_out[10]                            
+la_data_out[11]                            |la_data_out[11]                            
+la_data_out[12]                            |la_data_out[12]                            
+la_data_out[13]                            |la_data_out[13]                            
+la_data_out[14]                            |la_data_out[14]                            
+la_data_out[15]                            |la_data_out[15]                            
+la_data_out[16]                            |la_data_out[16]                            
+la_data_out[17]                            |la_data_out[17]                            
+la_data_out[18]                            |la_data_out[18]                            
+la_data_out[19]                            |la_data_out[19]                            
+la_data_out[1]                             |la_data_out[1]                             
+la_data_out[20]                            |la_data_out[20]                            
+la_data_out[21]                            |la_data_out[21]                            
+la_data_out[22]                            |la_data_out[22]                            
+la_data_out[23]                            |la_data_out[23]                            
+la_data_out[24]                            |la_data_out[24]                            
+la_data_out[25]                            |la_data_out[25]                            
+la_data_out[26]                            |la_data_out[26]                            
+la_data_out[27]                            |la_data_out[27]                            
+la_data_out[28]                            |la_data_out[28]                            
+la_data_out[29]                            |la_data_out[29]                            
+la_data_out[2]                             |la_data_out[2]                             
+la_data_out[30]                            |la_data_out[30]                            
+la_data_out[31]                            |la_data_out[31]                            
+la_data_out[32]                            |la_data_out[32]                            
+la_data_out[33]                            |la_data_out[33]                            
+la_data_out[34]                            |la_data_out[34]                            
+la_data_out[35]                            |la_data_out[35]                            
+la_data_out[36]                            |la_data_out[36]                            
+la_data_out[37]                            |la_data_out[37]                            
+la_data_out[38]                            |la_data_out[38]                            
+la_data_out[39]                            |la_data_out[39]                            
+la_data_out[3]                             |la_data_out[3]                             
+la_data_out[40]                            |la_data_out[40]                            
+la_data_out[41]                            |la_data_out[41]                            
+la_data_out[42]                            |la_data_out[42]                            
+la_data_out[43]                            |la_data_out[43]                            
+la_data_out[44]                            |la_data_out[44]                            
+la_data_out[45]                            |la_data_out[45]                            
+la_data_out[46]                            |la_data_out[46]                            
+la_data_out[47]                            |la_data_out[47]                            
+la_data_out[48]                            |la_data_out[48]                            
+la_data_out[49]                            |la_data_out[49]                            
+la_data_out[4]                             |la_data_out[4]                             
+la_data_out[50]                            |la_data_out[50]                            
+la_data_out[51]                            |la_data_out[51]                            
+la_data_out[52]                            |la_data_out[52]                            
+la_data_out[53]                            |la_data_out[53]                            
+la_data_out[54]                            |la_data_out[54]                            
+la_data_out[55]                            |la_data_out[55]                            
+la_data_out[56]                            |la_data_out[56]                            
+la_data_out[57]                            |la_data_out[57]                            
+la_data_out[58]                            |la_data_out[58]                            
+la_data_out[59]                            |la_data_out[59]                            
+la_data_out[5]                             |la_data_out[5]                             
+la_data_out[60]                            |la_data_out[60]                            
+la_data_out[61]                            |la_data_out[61]                            
+la_data_out[62]                            |la_data_out[62]                            
+la_data_out[63]                            |la_data_out[63]                            
+la_data_out[6]                             |la_data_out[6]                             
+la_data_out[7]                             |la_data_out[7]                             
+la_data_out[8]                             |la_data_out[8]                             
+la_data_out[9]                             |la_data_out[9]                             
+la_oenb[0]                                 |la_oenb[0]                                 
+la_oenb[10]                                |la_oenb[10]                                
+la_oenb[11]                                |la_oenb[11]                                
+la_oenb[12]                                |la_oenb[12]                                
+la_oenb[13]                                |la_oenb[13]                                
+la_oenb[14]                                |la_oenb[14]                                
+la_oenb[15]                                |la_oenb[15]                                
+la_oenb[16]                                |la_oenb[16]                                
+la_oenb[17]                                |la_oenb[17]                                
+la_oenb[18]                                |la_oenb[18]                                
+la_oenb[19]                                |la_oenb[19]                                
+la_oenb[1]                                 |la_oenb[1]                                 
+la_oenb[20]                                |la_oenb[20]                                
+la_oenb[21]                                |la_oenb[21]                                
+la_oenb[22]                                |la_oenb[22]                                
+la_oenb[23]                                |la_oenb[23]                                
+la_oenb[24]                                |la_oenb[24]                                
+la_oenb[25]                                |la_oenb[25]                                
+la_oenb[26]                                |la_oenb[26]                                
+la_oenb[27]                                |la_oenb[27]                                
+la_oenb[28]                                |la_oenb[28]                                
+la_oenb[29]                                |la_oenb[29]                                
+la_oenb[2]                                 |la_oenb[2]                                 
+la_oenb[30]                                |la_oenb[30]                                
+la_oenb[31]                                |la_oenb[31]                                
+la_oenb[32]                                |la_oenb[32]                                
+la_oenb[33]                                |la_oenb[33]                                
+la_oenb[34]                                |la_oenb[34]                                
+la_oenb[35]                                |la_oenb[35]                                
+la_oenb[36]                                |la_oenb[36]                                
+la_oenb[37]                                |la_oenb[37]                                
+la_oenb[38]                                |la_oenb[38]                                
+la_oenb[39]                                |la_oenb[39]                                
+la_oenb[3]                                 |la_oenb[3]                                 
+la_oenb[40]                                |la_oenb[40]                                
+la_oenb[41]                                |la_oenb[41]                                
+la_oenb[42]                                |la_oenb[42]                                
+la_oenb[43]                                |la_oenb[43]                                
+la_oenb[44]                                |la_oenb[44]                                
+la_oenb[45]                                |la_oenb[45]                                
+la_oenb[46]                                |la_oenb[46]                                
+la_oenb[47]                                |la_oenb[47]                                
+la_oenb[48]                                |la_oenb[48]                                
+la_oenb[49]                                |la_oenb[49]                                
+la_oenb[4]                                 |la_oenb[4]                                 
+la_oenb[50]                                |la_oenb[50]                                
+la_oenb[51]                                |la_oenb[51]                                
+la_oenb[52]                                |la_oenb[52]                                
+la_oenb[53]                                |la_oenb[53]                                
+la_oenb[54]                                |la_oenb[54]                                
+la_oenb[55]                                |la_oenb[55]                                
+la_oenb[56]                                |la_oenb[56]                                
+la_oenb[57]                                |la_oenb[57]                                
+la_oenb[58]                                |la_oenb[58]                                
+la_oenb[59]                                |la_oenb[59]                                
+la_oenb[5]                                 |la_oenb[5]                                 
+la_oenb[60]                                |la_oenb[60]                                
+la_oenb[61]                                |la_oenb[61]                                
+la_oenb[62]                                |la_oenb[62]                                
+la_oenb[63]                                |la_oenb[63]                                
+la_oenb[6]                                 |la_oenb[6]                                 
+la_oenb[7]                                 |la_oenb[7]                                 
+la_oenb[8]                                 |la_oenb[8]                                 
+la_oenb[9]                                 |la_oenb[9]                                 
+user_clock2                                |user_clock2                                
+user_irq[0]                                |user_irq[0]                                
+user_irq[1]                                |user_irq[1]                                
+user_irq[2]                                |user_irq[2]                                
+wbs_ack_o                                  |wbs_ack_o                                  
+wbs_adr_i[0]                               |wbs_adr_i[0]                               
+wbs_adr_i[10]                              |wbs_adr_i[10]                              
+wbs_adr_i[11]                              |wbs_adr_i[11]                              
+wbs_adr_i[12]                              |wbs_adr_i[12]                              
+wbs_adr_i[13]                              |wbs_adr_i[13]                              
+wbs_adr_i[14]                              |wbs_adr_i[14]                              
+wbs_adr_i[15]                              |wbs_adr_i[15]                              
+wbs_adr_i[16]                              |wbs_adr_i[16]                              
+wbs_adr_i[17]                              |wbs_adr_i[17]                              
+wbs_adr_i[18]                              |wbs_adr_i[18]                              
+wbs_adr_i[19]                              |wbs_adr_i[19]                              
+wbs_adr_i[1]                               |wbs_adr_i[1]                               
+wbs_adr_i[20]                              |wbs_adr_i[20]                              
+wbs_adr_i[21]                              |wbs_adr_i[21]                              
+wbs_adr_i[22]                              |wbs_adr_i[22]                              
+wbs_adr_i[23]                              |wbs_adr_i[23]                              
+wbs_adr_i[24]                              |wbs_adr_i[24]                              
+wbs_adr_i[25]                              |wbs_adr_i[25]                              
+wbs_adr_i[26]                              |wbs_adr_i[26]                              
+wbs_adr_i[27]                              |wbs_adr_i[27]                              
+wbs_adr_i[28]                              |wbs_adr_i[28]                              
+wbs_adr_i[29]                              |wbs_adr_i[29]                              
+wbs_adr_i[2]                               |wbs_adr_i[2]                               
+wbs_adr_i[30]                              |wbs_adr_i[30]                              
+wbs_adr_i[31]                              |wbs_adr_i[31]                              
+wbs_adr_i[3]                               |wbs_adr_i[3]                               
+wbs_adr_i[4]                               |wbs_adr_i[4]                               
+wbs_adr_i[5]                               |wbs_adr_i[5]                               
+wbs_adr_i[6]                               |wbs_adr_i[6]                               
+wbs_adr_i[7]                               |wbs_adr_i[7]                               
+wbs_adr_i[8]                               |wbs_adr_i[8]                               
+wbs_adr_i[9]                               |wbs_adr_i[9]                               
+wbs_cyc_i                                  |wbs_cyc_i                                  
+wbs_dat_i[0]                               |wbs_dat_i[0]                               
+wbs_dat_i[10]                              |wbs_dat_i[10]                              
+wbs_dat_i[11]                              |wbs_dat_i[11]                              
+wbs_dat_i[12]                              |wbs_dat_i[12]                              
+wbs_dat_i[13]                              |wbs_dat_i[13]                              
+wbs_dat_i[14]                              |wbs_dat_i[14]                              
+wbs_dat_i[15]                              |wbs_dat_i[15]                              
+wbs_dat_i[16]                              |wbs_dat_i[16]                              
+wbs_dat_i[17]                              |wbs_dat_i[17]                              
+wbs_dat_i[18]                              |wbs_dat_i[18]                              
+wbs_dat_i[19]                              |wbs_dat_i[19]                              
+wbs_dat_i[1]                               |wbs_dat_i[1]                               
+wbs_dat_i[20]                              |wbs_dat_i[20]                              
+wbs_dat_i[21]                              |wbs_dat_i[21]                              
+wbs_dat_i[22]                              |wbs_dat_i[22]                              
+wbs_dat_i[23]                              |wbs_dat_i[23]                              
+wbs_dat_i[24]                              |wbs_dat_i[24]                              
+wbs_dat_i[25]                              |wbs_dat_i[25]                              
+wbs_dat_i[26]                              |wbs_dat_i[26]                              
+wbs_dat_i[27]                              |wbs_dat_i[27]                              
+wbs_dat_i[28]                              |wbs_dat_i[28]                              
+wbs_dat_i[29]                              |wbs_dat_i[29]                              
+wbs_dat_i[2]                               |wbs_dat_i[2]                               
+wbs_dat_i[30]                              |wbs_dat_i[30]                              
+wbs_dat_i[31]                              |wbs_dat_i[31]                              
+wbs_dat_i[3]                               |wbs_dat_i[3]                               
+wbs_dat_i[4]                               |wbs_dat_i[4]                               
+wbs_dat_i[5]                               |wbs_dat_i[5]                               
+wbs_dat_i[6]                               |wbs_dat_i[6]                               
+wbs_dat_i[7]                               |wbs_dat_i[7]                               
+wbs_dat_i[8]                               |wbs_dat_i[8]                               
+wbs_dat_i[9]                               |wbs_dat_i[9]                               
+wbs_dat_o[0]                               |wbs_dat_o[0]                               
+wbs_dat_o[10]                              |wbs_dat_o[10]                              
+wbs_dat_o[11]                              |wbs_dat_o[11]                              
+wbs_dat_o[12]                              |wbs_dat_o[12]                              
+wbs_dat_o[13]                              |wbs_dat_o[13]                              
+wbs_dat_o[14]                              |wbs_dat_o[14]                              
+wbs_dat_o[15]                              |wbs_dat_o[15]                              
+wbs_dat_o[16]                              |wbs_dat_o[16]                              
+wbs_dat_o[17]                              |wbs_dat_o[17]                              
+wbs_dat_o[18]                              |wbs_dat_o[18]                              
+wbs_dat_o[19]                              |wbs_dat_o[19]                              
+wbs_dat_o[1]                               |wbs_dat_o[1]                               
+wbs_dat_o[20]                              |wbs_dat_o[20]                              
+wbs_dat_o[21]                              |wbs_dat_o[21]                              
+wbs_dat_o[22]                              |wbs_dat_o[22]                              
+wbs_dat_o[23]                              |wbs_dat_o[23]                              
+wbs_dat_o[24]                              |wbs_dat_o[24]                              
+wbs_dat_o[25]                              |wbs_dat_o[25]                              
+wbs_dat_o[26]                              |wbs_dat_o[26]                              
+wbs_dat_o[27]                              |wbs_dat_o[27]                              
+wbs_dat_o[28]                              |wbs_dat_o[28]                              
+wbs_dat_o[29]                              |wbs_dat_o[29]                              
+wbs_dat_o[2]                               |wbs_dat_o[2]                               
+wbs_dat_o[30]                              |wbs_dat_o[30]                              
+wbs_dat_o[31]                              |wbs_dat_o[31]                              
+wbs_dat_o[3]                               |wbs_dat_o[3]                               
+wbs_dat_o[4]                               |wbs_dat_o[4]                               
+wbs_dat_o[5]                               |wbs_dat_o[5]                               
+wbs_dat_o[6]                               |wbs_dat_o[6]                               
+wbs_dat_o[7]                               |wbs_dat_o[7]                               
+wbs_dat_o[8]                               |wbs_dat_o[8]                               
+wbs_dat_o[9]                               |wbs_dat_o[9]                               
+wbs_sel_i[0]                               |wbs_sel_i[0]                               
+wbs_sel_i[1]                               |wbs_sel_i[1]                               
+wbs_sel_i[2]                               |wbs_sel_i[2]                               
+wbs_sel_i[3]                               |wbs_sel_i[3]                               
+wbs_stb_i                                  |wbs_stb_i                                  
+wbs_we_i                                   |wbs_we_i                                   
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes user_project_wrapper and user_project_wrapper are equivalent.
+
+Final result: Circuits match uniquely.
+.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/23-drc.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/23-drc.log
new file mode 100644
index 0000000..8dd1a38
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/23-drc.log
@@ -0,0 +1,160 @@
+
+Magic 8.3 revision 331 - Compiled on Sat Oct 22 18:40:56 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology gf180mcuC ...
+10 Magic internal units = 1 Lambda
+Input style import: scaleFactor=10, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    obsactive mvobsactive filldiff fillpoly m1hole obsm1 fillm1 obsv1 m2hole obsm2 fillm2 obsv2 m3hole obsm3 fillm3 m4hole obsm4 fillm4 m5hole obsm5 fillm5 glass fillblock lvstext obscomment 
+Scaled tech values by 10 / 1 to match internal grid scaling
+Loading gf180mcuC Device Generator Menu ...
+Using technology "gf180mcuC", version 1.0.349-0-g0059588
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_project_wrapper
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkbuf_4".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.
+Reading "gf180mcu_fd_sc_mcu7t5v0__filltie".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__filltie.
+Reading "gf180mcu_fd_sc_mcu7t5v0__endcap".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__endcap.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fill_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fill_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fill_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_4".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_4.
+Reading "gf180mcu_fd_sc_mcu7t5v0__antenna".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__antenna.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_32".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_32.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_8".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_8.
+Reading "gf180mcu_fd_sc_mcu7t5v0__tiel".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__tiel.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_16".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_16.
+Reading "gf180mcu_fd_sc_mcu7t5v0__fillcap_64".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__fillcap_64.
+Reading "gf180mcu_fd_sc_mcu7t5v0__dffq_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__dffq_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__dffq_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkbuf_16".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkbuf_16.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nor2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nor2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__aoi21_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__aoi21_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__xor2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__xor2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nand2_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand2_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__nand3_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__nand3_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__oai21_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__oai21_1.
+Reading "gf180mcu_fd_sc_mcu7t5v0__buf_2".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_2.
+Reading "gf180mcu_fd_sc_mcu7t5v0__clkinv_3".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__clkinv_3.
+Reading "gf180mcu_fd_sc_mcu7t5v0__buf_1".
+Moving label "& Vendor GLOBALFOUNDRIES" from space to nwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Product GF018hv5v_mcu_sc7" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Version 2015q2v1" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Moving label "& Metric 1.00" from space to pwell in cell gf180mcu_fd_sc_mcu7t5v0__buf_1.
+Reading "cntr_example".
+    5000 uses
+    10000 uses
+    15000 uses
+    20000 uses
+    25000 uses
+    30000 uses
+    35000 uses
+    40000 uses
+    45000 uses
+    50000 uses
+    55000 uses
+Reading "user_project_wrapper".
+[INFO]: Loading user_project_wrapper
+
+DRC style is now "drc(full)"
+Loading DRC CIF style.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/signoff/drc.rpt)
+[INFO]: Saving mag view with DRC errors (/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/signoff/user_project_wrapper.drc.mag)
+[INFO]: Saved
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/24-antenna.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/24-antenna.log
new file mode 100644
index 0000000..a2bb29a
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/24-antenna.log
@@ -0,0 +1,5 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ANT-0002] Found 0 net violations.
+[INFO ANT-0001] Found 0 pin violations.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log
new file mode 100644
index 0000000..1e9f601
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log
@@ -0,0 +1,273 @@
+
+ /----------------------------------------------------------------------------\
+ |                                                                            |
+ |  yosys -- Yosys Open SYnthesis Suite                                       |
+ |                                                                            |
+ |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire@yosyshq.com>         |
+ |                                                                            |
+ |  Permission to use, copy, modify, and/or distribute this software for any  |
+ |  purpose with or without fee is hereby granted, provided that the above    |
+ |  copyright notice and this permission notice appear in all copies.         |
+ |                                                                            |
+ |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
+ |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
+ |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
+ |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
+ |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
+ |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
+ |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
+ |                                                                            |
+ \----------------------------------------------------------------------------/
+
+ Yosys 0.22 (git sha1 f109fa3d4c5, gcc 8.3.1 -fPIC -Os)
+
+[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
+
+1. Executing Verilog-2005 frontend: /home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v
+Parsing Verilog input from `/home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v' to AST representation.
+Successfully finished Verilog frontend.
+
+2. Executing Verilog-2005 frontend: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/cntr_example.v
+Parsing Verilog input from `/home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/cntr_example.v' to AST representation.
+Generating RTLIL representation for module `\cntr_example'.
+Generating RTLIL representation for module `\cntr_1'.
+Generating RTLIL representation for module `\cntr_2'.
+Generating RTLIL representation for module `\cntr_3'.
+Generating RTLIL representation for module `\cntr_4'.
+Generating RTLIL representation for module `\cntr_5'.
+Successfully finished Verilog frontend.
+
+3. Executing Verilog-2005 frontend: /home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v
+Parsing Verilog input from `/home/htf6ry/gf180-demo/caravel/verilog/rtl/defines.v' to AST representation.
+Successfully finished Verilog frontend.
+
+4. Executing Verilog-2005 frontend: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v
+Parsing Verilog input from `/home/htf6ry/gf180-demo/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v' to AST representation.
+Generating RTLIL representation for module `\user_project_wrapper'.
+Successfully finished Verilog frontend.
+
+5. Generating Graphviz representation of design.
+Writing dot description to `/home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/synthesis/hierarchy.dot'.
+Dumping module user_project_wrapper to page 1.
+Warning: WIDTHLABEL \io_out [19:0] 20
+
+6. Executing HIERARCHY pass (managing design hierarchy).
+
+6.1. Analyzing design hierarchy..
+Top module:  \user_project_wrapper
+
+6.2. Analyzing design hierarchy..
+Top module:  \user_project_wrapper
+Removed 0 unused modules.
+Warning: Resizing cell port user_project_wrapper.cntr_example_1.io_out from 20 bits to 38 bits.
+
+7. Printing statistics.
+
+=== user_project_wrapper ===
+
+   Number of wires:                 19
+   Number of wire bits:            434
+   Number of public wires:          18
+   Number of public wire bits:     416
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                  1
+     cntr_example                    1
+
+8. Executing SPLITNETS pass (splitting up multi-bit signals).
+
+9. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \user_project_wrapper..
+
+10. Executing CHECK pass (checking for obvious problems).
+Checking module user_project_wrapper...
+Warning: Wire user_project_wrapper.\wbs_dat_o [31] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [30] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [29] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [28] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [27] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [26] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [25] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [24] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [23] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [22] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [21] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [20] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [19] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [18] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [17] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [16] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [15] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [14] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [13] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [12] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [11] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [10] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [9] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [8] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [7] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [6] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [5] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [4] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [3] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [2] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [1] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_dat_o [0] is used but has no driver.
+Warning: Wire user_project_wrapper.\wbs_ack_o is used but has no driver.
+Warning: Wire user_project_wrapper.\user_irq [2] is used but has no driver.
+Warning: Wire user_project_wrapper.\user_irq [1] is used but has no driver.
+Warning: Wire user_project_wrapper.\user_irq [0] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [63] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [62] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [61] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [60] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [59] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [58] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [57] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [56] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [55] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [54] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [53] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [52] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [51] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [50] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [49] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [48] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [47] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [46] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [45] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [44] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [43] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [42] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [41] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [40] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [39] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [38] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [37] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [36] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [35] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [34] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [33] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [32] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [31] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [30] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [29] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [28] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [27] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [26] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [25] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [24] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [23] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [22] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [21] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [20] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [19] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [18] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [17] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [16] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [15] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [14] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [13] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [12] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [11] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [10] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [9] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [8] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [7] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [6] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [5] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [4] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [3] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [2] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [1] is used but has no driver.
+Warning: Wire user_project_wrapper.\la_data_out [0] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [37] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [36] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [35] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [34] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [33] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [32] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [31] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [30] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [29] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [28] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [27] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [26] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [25] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [24] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [23] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [22] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [21] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_out [20] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [37] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [36] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [35] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [34] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [33] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [32] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [31] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [30] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [29] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [28] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [27] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [26] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [25] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [24] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [23] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [22] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [21] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [20] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [19] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [18] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [17] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [16] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [15] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [14] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [13] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [12] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [11] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [10] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [9] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [8] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [7] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [6] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [5] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [4] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [3] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [2] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [1] is used but has no driver.
+Warning: Wire user_project_wrapper.\io_oeb [0] is used but has no driver.
+Found and reported 156 problems.
+
+11. Printing statistics.
+
+=== user_project_wrapper ===
+
+   Number of wires:                 36
+   Number of wire bits:            434
+   Number of public wires:          18
+   Number of public wire bits:     416
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                  1
+     cntr_example                    1
+
+   Area for cell type \cntr_example is unknown!
+
+12. Executing Verilog backend.
+
+12.1. Executing BMUXMAP pass.
+
+12.2. Executing DEMUXMAP pass.
+Dumping module `\user_project_wrapper'.
+
+Warnings: 158 unique messages, 158 total
+End of script. Logfile hash: 41461fe4cd, CPU: user 0.50s system 0.04s, MEM: 56.12 MB peak
+Yosys 0.22 (git sha1 f109fa3d4c5, gcc 8.3.1 -fPIC -Os)
+Time spent: 97% 2x stat (0 sec), 0% 8x read_verilog (0 sec), ...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-sta.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-sta.log
new file mode 100644
index 0000000..17add5b
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-sta.log
@@ -0,0 +1,129 @@
+OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7 
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+[INFO ODB-0223]     Created 13 technology layers
+[INFO ODB-0224]     Created 60 technology vias
+[INFO ODB-0225]     Created 230 library cells
+[INFO ODB-0226] Finished LEF file:  /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/tmp/merged.nom.lef
+Reading netlist...
+[WARNING ORD-1011] LEF master cntr_example has no liberty cell.
+[INFO]: Setting output delay to: 13.0
+[INFO]: Setting input delay to: 13.0
+[INFO]: Setting load to: 0.07291
+[INFO]: Setting clock uncertainty to: 0.25
+[INFO]: Setting clock transition to: 0.15
+[INFO]: Setting timing derate to: 0.5 %
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+No paths found.
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+No paths found.
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+Startpoint: wb_clk_i (input port clocked by user_clock2)
+Endpoint: cntr_example_1/wb_clk_i (internal pin)
+Path Group: (none)
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                         13.00   13.00 ^ input external delay
+                  0.07    0.00   13.00 ^ wb_clk_i (in)
+     1    0.00                           wb_clk_i (net)
+                  0.07    0.00   13.00 ^ cntr_example_1/wb_clk_i (cntr_example)
+                                 13.00   data arrival time
+-----------------------------------------------------------------------------
+(Path is unconstrained)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+===========================================================================
+max slew violation count 0
+max fanout violation count 0
+max cap violation count 0
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns 0.00
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns 0.00
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack INF
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack INF
+worst_slack_end
+clock_skew
+
+===========================================================================
+ report_clock_skew
+============================================================================
+Clock user_clock2
+No launch/capture paths found.
+
+clock_skew_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+Group                  Internal  Switching    Leakage      Total
+                          Power      Power      Power      Power (Watts)
+----------------------------------------------------------------
+Sequential             0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Combinational          0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+----------------------------------------------------------------
+Total                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
+                           0.0%       0.0%       0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 2250000 u^2 100% utilization.
+area_report_end
+[WARNING] Did not save OpenROAD database!
+Writing SDF to /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/synthesis/user_project_wrapper.sdf...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log b/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log
index d00491f..dd8c7db 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log
@@ -1 +1,47 @@
-1
+[INFO]: Run Directory: /home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43
+[INFO]: Preparing LEF files for the nom corner...
+[INFO]: Running Synthesis (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/synthesis/1-synthesis.log)...
+[INFO]: Running Single-Corner Static Timing Analysis (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/synthesis/2-sta.log)...
+[INFO]: Creating a netlist with power/ground pins.
+[INFO]: Running Initial Floorplanning (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan/3-initial_fp.log)...
+[INFO]: Floorplanned with width 2956.24 and height 2951.76.
+[INFO]: Running IO Placement...
+[INFO]: Applying DEF template...
+[INFO]: Performing Manual Macro Placement (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement/5-macro_placement.log)...
+[INFO]: Power planning with power {vdd} and ground {vss}...
+[INFO]: Generating PDN (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/floorplan/6-pdn.log)...
+[INFO]: Performing Random Global Placement (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement/7-global.log)...
+[INFO]: Skipping Placement Resizer Design Optimizations.
+[INFO]: Running Detailed Placement (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/placement/8-detailed.log)...
+[INFO]: Skipping Placement Resizer Timing Optimizations.
+[INFO]: Running Global Routing Resizer Timing Optimizations (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/9-resizer.log)...
+[INFO]: Running Detailed Placement (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/10-diode_legalization.log)...
+[INFO]: Running Global Routing (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/11-global.log)...
+[INFO]: Writing Verilog (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/11-global_write_netlist.log)...
+[INFO]: Running Detailed Routing (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/13-detailed.log)...
+[INFO]: No DRC violations after detailed routing.
+[INFO]: Checking Wire Lengths (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/routing/14-wire_lengths.log)...
+[INFO]: Running SPEF Extraction at the nom process corner (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/15-parasitics_extraction.nom.log)...
+[INFO]: Running Multi-Corner Static Timing Analysis at the nom process corner (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/16-rcx_mcsta.nom.log)...
+[INFO]: Running Single-Corner Static Timing Analysis at the nom process corner (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/17-rcx_sta.log)...
+[INFO]: Running Magic to generate various views...
+[INFO]: Streaming out GDSII with Magic (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/18-gdsii.log)...
+[INFO]: Generating MAGLEF views...
+[INFO]: Running Magic Spice Export from LEF (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/19-spice.log)...
+[INFO]: Writing Powered Verilog (logs: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/20-write_powered_def.log, ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/20-write_powered_verilog.log)...
+[INFO]: Writing Verilog (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/20-write_powered_verilog.log)...
+[INFO]: Running LVS (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/22-lvs.lef.log)...
+[INFO]: Running Magic DRC (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/23-drc.log)...
+[INFO]: Converting Magic DRC database to various tool-readable formats...
+[INFO]: No DRC violations after GDS streaming out.
+[INFO]: Running OpenROAD Antenna Rule Checker (log: ../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/logs/signoff/24-antenna.log)...
+[INFO]: Saving current set of views in '../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/results/final'...
+[INFO]: Saving current set of views in '../home/htf6ry/gf180-demo'...
+[INFO]: Saving runtime environment...
+[INFO]: Generating final set of reports...
+[INFO]: Created manufacturability report at '../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/manufacturability.rpt'.
+[INFO]: Created metrics report at '../home/htf6ry/gf180-demo/openlane/user_project_wrapper/runs/22_12_03_16_43/reports/metrics.csv'.
+[INFO]: There are no max slew, max fanout or max capacitance violations in the design at the typical corner.
+[INFO]: There are no hold violations in the design at the typical corner.
+[INFO]: There are no setup violations in the design at the typical corner.
+[SUCCESS]: Flow complete.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/runtime.yaml b/openlane/user_project_wrapper/runs/user_project_wrapper/runtime.yaml
new file mode 100644
index 0000000..d57a882
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/runtime.yaml
@@ -0,0 +1,79 @@
+- status: 0 - openlane design prep
+  runtime_s: 1.88
+  runtime_ts: 0h0m1s881ms
+- status: 1 - synthesis - yosys
+  runtime_s: 1.15
+  runtime_ts: 0h0m1s153ms
+- status: 2 - sta - openroad
+  runtime_s: 0.73
+  runtime_ts: 0h0m0s726ms
+- status: 3 - floorplan initialization - openroad
+  runtime_s: 1.54
+  runtime_ts: 0h0m1s544ms
+- status: 4 - ioplace - openroad
+  runtime_s: 0.76
+  runtime_ts: 0h0m0s755ms
+- status: 6 - pdn generation - openroad
+  runtime_s: 0.81
+  runtime_ts: 0h0m0s807ms
+- status: 7 - random global placement - openlane
+  runtime_s: 0.27
+  runtime_ts: 0h0m0s271ms
+- status: 8 - detailed placement - openroad
+  runtime_s: 0.96
+  runtime_ts: 0h0m0s959ms
+- status: 9 - resizer timing optimizations - openroad
+  runtime_s: 1.4
+  runtime_ts: 0h0m1s401ms
+- status: 10 - detailed placement - openroad
+  runtime_s: 1.19
+  runtime_ts: 0h0m1s185ms
+- status: 12 - write verilog - openroad
+  runtime_s: 0.91
+  runtime_ts: 0h0m0s907ms
+- status: 12 - global routing - openroad
+  runtime_s: 1.02
+  runtime_ts: 0h0m1s24ms
+- status: 13 - detailed_routing - openroad
+  runtime_s: 15.5
+  runtime_ts: 0h0m15s497ms
+- status: 14 - wire lengths - openlane
+  runtime_s: 0.7
+  runtime_ts: 0h0m0s702ms
+- status: 15 - parasitics extraction - openroad
+  runtime_s: 0.76
+  runtime_ts: 0h0m0s760ms
+- status: 16 - sta - openroad
+  runtime_s: 2.27
+  runtime_ts: 0h0m2s272ms
+- status: 17 - sta - openroad
+  runtime_s: 1.04
+  runtime_ts: 0h0m1s44ms
+- status: 18 - gdsii - magic
+  runtime_s: 8.62
+  runtime_ts: 0h0m8s622ms
+- status: 19 - spice extraction - magic
+  runtime_s: 3.96
+  runtime_ts: 0h0m3s958ms
+- status: 21 - write verilog - openroad
+  runtime_s: 1.03
+  runtime_ts: 0h0m1s26ms
+- status: 21 - write powered verilog - openlane
+  runtime_s: 1.13
+  runtime_ts: 0h0m1s125ms
+- status: 22 - lvs - netgen
+  runtime_s: 0.08
+  runtime_ts: 0h0m0s77ms
+- status: 23 - drc - magic
+  runtime_s: 158.16
+  runtime_ts: 0h2m38s157ms
+- status: 24 - antenna check - openroad
+  runtime_s: 1.08
+  runtime_ts: 0h0m1s78ms
+---
+- status: routed
+  runtime_s: 32.0
+  runtime_ts: 0h0m32s0ms
+- status: flow completed
+  runtime_s: 212.0
+  runtime_ts: 0h3m32s0ms