blob: da9492372e9a79e708305d9cfa540db09ca2115d [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "DiffDigota"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 INmb
*2 INpb
*3 OUTm
*4 OUTp
*5 cmnmos
*6 cmpmos
*7 oe
*8 omnmos
*9 ompmos
*10 opnmos
*11 oppmos
*14 _00_
*15 _01_
*16 _02_
*17 _03_
*18 _04_
*19 _05_
*20 _06_
*21 net1
*22 net10
*23 net11
*24 net2
*25 net3
*26 net4
*27 net5
*28 net6
*29 net7
*30 net8
*31 net9
*32 ANTENNA__09__A1
*33 ANTENNA__10__I
*34 ANTENNA__17__A1
*35 ANTENNA__19__B
*36 ANTENNA_input1_I
*37 ANTENNA_input2_I
*38 ANTENNA_input3_I
*39 ANTENNA_input4_I
*40 ANTENNA_input5_I
*41 FILLER_0_102
*42 FILLER_0_104
*43 FILLER_0_107
*44 FILLER_0_115
*45 FILLER_0_2
*46 FILLER_0_20
*47 FILLER_0_26
*48 FILLER_0_34
*49 FILLER_0_37
*50 FILLER_0_54
*51 FILLER_0_58
*52 FILLER_0_66
*53 FILLER_0_72
*54 FILLER_0_80
*55 FILLER_0_90
*56 FILLER_0_98
*57 FILLER_10_108
*58 FILLER_10_17
*59 FILLER_10_2
*60 FILLER_10_33
*61 FILLER_10_37
*62 FILLER_10_69
*63 FILLER_10_77
*64 FILLER_10_81
*65 FILLER_10_83
*66 FILLER_10_98
*67 FILLER_11_103
*68 FILLER_11_107
*69 FILLER_11_115
*70 FILLER_11_2
*71 FILLER_11_21
*72 FILLER_11_29
*73 FILLER_11_33
*74 FILLER_11_37
*75 FILLER_11_41
*76 FILLER_11_56
*77 FILLER_11_6
*78 FILLER_11_64
*79 FILLER_11_68
*80 FILLER_11_72
*81 FILLER_11_76
*82 FILLER_11_91
*83 FILLER_11_99
*84 FILLER_1_108
*85 FILLER_1_17
*86 FILLER_1_2
*87 FILLER_1_21
*88 FILLER_1_29
*89 FILLER_1_39
*90 FILLER_1_49
*91 FILLER_1_59
*92 FILLER_1_67
*93 FILLER_1_73
*94 FILLER_1_89
*95 FILLER_1_92
*96 FILLER_2_105
*97 FILLER_2_108
*98 FILLER_2_2
*99 FILLER_2_21
*100 FILLER_2_32
*101 FILLER_2_34
*102 FILLER_2_37
*103 FILLER_2_49
*104 FILLER_2_5
*105 FILLER_2_81
*106 FILLER_2_97
*107 FILLER_3_105
*108 FILLER_3_113
*109 FILLER_3_115
*110 FILLER_3_2
*111 FILLER_3_36
*112 FILLER_3_40
*113 FILLER_3_48
*114 FILLER_3_52
*115 FILLER_3_54
*116 FILLER_3_59
*117 FILLER_3_63
*118 FILLER_3_73
*119 FILLER_4_103
*120 FILLER_4_105
*121 FILLER_4_108
*122 FILLER_4_18
*123 FILLER_4_2
*124 FILLER_4_26
*125 FILLER_4_34
*126 FILLER_4_37
*127 FILLER_4_47
*128 FILLER_4_51
*129 FILLER_4_83
*130 FILLER_4_99
*131 FILLER_5_105
*132 FILLER_5_113
*133 FILLER_5_115
*134 FILLER_5_18
*135 FILLER_5_2
*136 FILLER_5_26
*137 FILLER_5_36
*138 FILLER_5_44
*139 FILLER_5_46
*140 FILLER_5_55
*141 FILLER_5_65
*142 FILLER_5_69
*143 FILLER_5_73
*144 FILLER_6_101
*145 FILLER_6_105
*146 FILLER_6_108
*147 FILLER_6_2
*148 FILLER_6_34
*149 FILLER_6_37
*150 FILLER_7_105
*151 FILLER_7_113
*152 FILLER_7_115
*153 FILLER_7_2
*154 FILLER_7_66
*155 FILLER_7_70
*156 FILLER_7_73
*157 FILLER_8_101
*158 FILLER_8_105
*159 FILLER_8_108
*160 FILLER_8_2
*161 FILLER_8_34
*162 FILLER_8_37
*163 FILLER_9_105
*164 FILLER_9_113
*165 FILLER_9_115
*166 FILLER_9_2
*167 FILLER_9_66
*168 FILLER_9_70
*169 FILLER_9_73
*170 PHY_0
*171 PHY_1
*172 PHY_10
*173 PHY_11
*174 PHY_12
*175 PHY_13
*176 PHY_14
*177 PHY_15
*178 PHY_16
*179 PHY_17
*180 PHY_18
*181 PHY_19
*182 PHY_2
*183 PHY_20
*184 PHY_21
*185 PHY_22
*186 PHY_23
*187 PHY_3
*188 PHY_4
*189 PHY_5
*190 PHY_6
*191 PHY_7
*192 PHY_8
*193 PHY_9
*194 TAP_24
*195 TAP_25
*196 TAP_26
*197 TAP_27
*198 TAP_28
*199 TAP_29
*200 TAP_30
*201 TAP_31
*202 TAP_32
*203 TAP_33
*204 TAP_34
*205 TAP_35
*206 TAP_36
*207 TAP_37
*208 TAP_38
*209 TAP_39
*210 TAP_40
*211 TAP_41
*212 TAP_42
*213 TAP_43
*214 TAP_44
*215 _07_
*216 _08_
*217 _09_
*218 _10_
*219 _11_
*220 _12_
*221 _13_
*222 _14_
*223 _15_
*224 _16_
*225 _17_
*226 _18_
*227 _19_
*228 input1
*229 input2
*230 input3
*231 input4
*232 input5
*233 output10
*234 output11
*235 output6
*236 output7
*237 output8
*238 output9
*PORTS
INmb I
INpb I
OUTm I
OUTp I
cmnmos O
cmpmos O
oe I
omnmos O
ompmos O
opnmos O
oppmos O
*D_NET *1 0.00271414
*CONN
*P INmb I
*I *36:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *228:I I *D gf180mcu_fd_sc_mcu7t5v0__dlyb_1
*CAP
1 INmb 0.000772648
2 *36:I 0
3 *228:I 0.000300964
4 *1:13 0.00107361
5 *228:I *215:I 0
6 *228:I *224:A2 0
7 *228:I *24:8 0.000361382
8 *228:I *24:18 0.00020554
*RES
1 INmb *1:13 6.525
2 *1:13 *228:I 16.29
3 *1:13 *36:I 4.5
*END
*D_NET *2 0.00220416
*CONN
*P INpb I
*I *229:I I *D gf180mcu_fd_sc_mcu7t5v0__dlyb_1
*I *37:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*CAP
1 INpb 0.000617722
2 *229:I 5.74538e-05
3 *37:I 0.000344869
4 *2:7 0.00102004
5 *37:I cmpmos 0.000164067
*RES
1 INpb *2:7 4.635
2 *2:7 *37:I 6.93
3 *2:7 *229:I 4.95
*END
*D_NET *3 0.00201856
*CONN
*P OUTm I
*I *38:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *230:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 OUTm 0.000634238
2 *38:I 0.000198268
3 *230:I 0
4 *3:11 0.000832507
5 *38:I *25:8 5.45444e-05
6 *38:I *27:10 0.000121424
7 *3:11 *25:8 0.00017758
8 *3:11 *27:10 0
*RES
1 OUTm *3:11 5.175
2 *3:11 *230:I 4.5
3 *3:11 *38:I 6.3
*END
*D_NET *4 0.00192178
*CONN
*P OUTp I
*I *39:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *231:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 OUTp 0.000707614
2 *39:I 0
3 *231:I 0.000250288
4 *4:11 0.000957902
5 *231:I *25:8 0
6 *4:11 *26:8 5.97909e-06
*RES
1 OUTp *4:11 5.355
2 *4:11 *231:I 14.85
3 *4:11 *39:I 4.5
*END
*D_NET *5 0.000804981
*CONN
*P cmnmos O
*I *235:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cmnmos 0.000402491
2 *235:Z 0.000402491
*RES
1 *235:Z cmnmos 11.835
*END
*D_NET *6 0.000851712
*CONN
*P cmpmos O
*I *236:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 cmpmos 0.000343822
2 *236:Z 0.000343822
3 *37:I cmpmos 0.000164067
*RES
1 *236:Z cmpmos 11.475
*END
*D_NET *7 0.00224251
*CONN
*P oe I
*I *40:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *232:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 oe 0.000989978
2 *40:I 0
3 *232:I 0.000131278
4 *7:8 0.00112126
*RES
1 oe *7:8 11.475
2 *7:8 *232:I 9.99
3 *7:8 *40:I 9
*END
*D_NET *8 0.00259764
*CONN
*P omnmos O
*I *237:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 omnmos 0.00129882
2 *237:Z 0.00129882
*RES
1 *237:Z omnmos 22.635
*END
*D_NET *9 0.00186974
*CONN
*P ompmos O
*I *238:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 ompmos 0.000914959
2 *238:Z 0.000914959
3 ompmos *238:I 3.98162e-05
*RES
1 *238:Z ompmos 20.295
*END
*D_NET *10 0.00203133
*CONN
*P opnmos O
*I *233:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 opnmos 0.00100968
2 *233:Z 0.00100968
3 opnmos *233:I 1.19803e-05
*RES
1 *233:Z opnmos 20.295
*END
*D_NET *11 0.00147674
*CONN
*P oppmos O
*I *234:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*CAP
1 oppmos 0.00073837
2 *234:Z 0.00073837
*RES
1 *234:Z oppmos 10.035
*END
*D_NET *14 0.00332358
*CONN
*I *227:A1 I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *219:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *225:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *217:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *215:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *227:A1 0.000231227
2 *219:A2 0.000140861
3 *225:A2 0
4 *217:A2 0
5 *215:Z 0.000146698
6 *14:22 0.000209896
7 *14:18 0.000678201
8 *14:7 0.000524636
9 *219:A2 *219:A3 4.44281e-05
10 *219:A2 *225:A1 7.56244e-06
11 *219:A2 *234:I 5.69667e-05
12 *219:A2 *15:21 0.00041172
13 *227:A1 *15:24 0
14 *227:A1 *27:22 0.000132732
15 *227:A1 *27:28 5.62005e-05
16 *227:A1 *27:32 2.55938e-05
17 *14:7 *217:A1 0.000106548
18 *14:7 *15:9 5.50917e-05
19 *14:7 *15:21 7.56244e-06
20 *14:18 *217:A1 0.000199829
21 *14:18 *225:A1 7.20607e-05
22 *14:18 *15:21 0.000119819
23 *14:18 *27:32 9.59442e-05
*RES
1 *215:Z *14:7 5.76
2 *14:7 *217:A2 4.5
3 *14:7 *14:18 7.65
4 *14:18 *14:22 4.95
5 *14:22 *225:A2 4.5
6 *14:22 *219:A2 6.12
7 *14:18 *227:A1 11.07
*END
*D_NET *15 0.00690695
*CONN
*I *219:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *221:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *223:A2 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *217:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *216:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *219:A3 5.80705e-05
2 *221:A1 4.20353e-05
3 *223:A2 0.000399903
4 *217:A3 3.34078e-05
5 *216:Z 0.000276067
6 *15:24 0.000743594
7 *15:21 0.000713392
8 *15:9 0.000663141
9 *219:A3 *234:I 1.19582e-05
10 *219:A3 *235:I 4.44281e-05
11 *221:A1 *221:A2 3.12451e-05
12 *221:A1 *223:A3 6.00916e-06
13 *223:A2 *219:A1 3.62647e-05
14 *223:A2 *223:A1 3.35279e-05
15 *223:A2 *16:8 2.24242e-05
16 *223:A2 *16:10 6.25636e-05
17 *223:A2 *27:17 0
18 *223:A2 *27:22 4.35099e-06
19 *15:9 *225:A3 0.000302501
20 *15:9 *24:18 0.000545029
21 *15:21 *225:A1 1.86548e-05
22 *15:21 *225:A3 0.000963504
23 *15:21 *234:I 1.19582e-05
24 *15:21 *27:25 0
25 *15:24 *219:A1 0.00120114
26 *15:24 *27:22 4.31577e-05
27 *219:A2 *219:A3 4.44281e-05
28 *219:A2 *15:21 0.00041172
29 *227:A1 *15:24 0
30 *14:7 *15:9 5.50917e-05
31 *14:7 *15:21 7.56244e-06
32 *14:18 *15:21 0.000119819
*RES
1 *216:Z *15:9 7.47
2 *15:9 *217:A3 4.77
3 *15:9 *15:21 4.23
4 *15:21 *15:24 7.83
5 *15:24 *223:A2 11.61
6 *15:24 *221:A1 9.27
7 *15:21 *219:A3 5.22
*END
*D_NET *16 0.00386822
*CONN
*I *223:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *221:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *219:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *218:ZN O *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*CAP
1 *223:A1 0.000178754
2 *221:B 0
3 *219:A1 0.000295305
4 *218:ZN 0.000246715
5 *16:10 0.000378285
6 *16:8 0.000508449
7 *219:A1 *238:I 2.87921e-05
8 *223:A1 *237:I 9.84748e-05
9 *223:A1 *238:I 2.10403e-05
10 *16:8 *223:A3 0.000702104
11 *16:10 *238:I 5.43793e-05
12 *223:A2 *219:A1 3.62647e-05
13 *223:A2 *223:A1 3.35279e-05
14 *223:A2 *16:8 2.24242e-05
15 *223:A2 *16:10 6.25636e-05
16 *15:24 *219:A1 0.00120114
*RES
1 *218:ZN *16:8 11.34
2 *16:8 *16:10 0.81
3 *16:10 *219:A1 12.51
4 *16:10 *221:B 9
5 *16:8 *223:A1 10.17
*END
*D_NET *17 0.002252
*CONN
*I *221:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *220:ZN O *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*CAP
1 *221:A2 0.000588347
2 *220:ZN 0.000588347
3 *221:A2 *223:A3 0
4 *221:A2 *226:A1 0.000153628
5 *221:A2 *226:A2 0.000162573
6 *221:A2 *227:A2 0.000336169
7 *221:A2 *233:I 0.000195987
8 *221:A2 *26:17 1.19957e-05
9 *221:A2 *27:17 0.000183707
10 *221:A2 *27:25 0
11 *221:A1 *221:A2 3.12451e-05
*RES
1 *220:ZN *221:A2 23.4
*END
*D_NET *18 0.00222063
*CONN
*I *223:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*I *222:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *223:A3 0.000728975
2 *222:ZN 0.000728975
3 *223:A3 *226:A2 0
4 *223:A3 *27:17 5.45665e-05
5 *221:A1 *223:A3 6.00916e-06
6 *221:A2 *223:A3 0
7 *16:8 *223:A3 0.000702104
*RES
1 *222:ZN *223:A3 14.4
*END
*D_NET *19 0.0024465
*CONN
*I *225:A3 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *224:ZN O *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*CAP
1 *225:A3 0.000310217
2 *224:ZN 0.000310217
3 *225:A3 *215:I 8.43466e-05
4 *225:A3 *224:A1 0.000109348
5 *225:A3 *226:B 0.000317262
6 *225:A3 *24:18 3.01487e-05
7 *225:A3 *27:25 1.89556e-05
8 *15:9 *225:A3 0.000302501
9 *15:21 *225:A3 0.000963504
*RES
1 *224:ZN *225:A3 22.14
*END
*D_NET *20 0.000877958
*CONN
*I *227:A2 I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *226:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *227:A2 0.000248681
2 *226:ZN 0.000248681
3 *227:A2 *233:I 4.44281e-05
4 *227:A2 *27:25 0
5 *221:A2 *227:A2 0.000336169
*RES
1 *226:ZN *227:A2 11.34
*END
*D_NET *21 0.00361466
*CONN
*I *222:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *220:B I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *215:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *228:Z O *D gf180mcu_fd_sc_mcu7t5v0__dlyb_1
*CAP
1 *222:B 0
2 *220:B 0
3 *215:I 0.000337321
4 *228:Z 0.000213477
5 *21:8 0.000383099
6 *21:5 0.000259255
7 *215:I *224:A1 0.00046357
8 *215:I *224:A2 0.000320343
9 *215:I *236:I 0
10 *215:I *24:8 0
11 *215:I *24:18 0
12 *21:5 *222:A2 2.33247e-06
13 *21:5 *226:A2 2.00305e-06
14 *21:5 *25:22 6.00916e-06
15 *21:5 *26:8 0
16 *21:8 *224:A1 0.000284599
17 *21:8 *224:A2 0.000383351
18 *21:8 *25:10 0.000385532
19 *21:8 *26:17 0.000489424
20 *225:A3 *215:I 8.43466e-05
21 *228:I *215:I 0
*RES
1 *228:Z *21:5 5.67
2 *21:5 *21:8 6.57
3 *21:8 *215:I 11.97
4 *21:8 *220:B 9
5 *21:5 *222:B 4.5
*END
*D_NET *22 0.00311611
*CONN
*I *233:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *227:ZN O *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*CAP
1 *233:I 0.00141187
2 *227:ZN 0.00141187
3 *233:I *238:I 3.99668e-05
4 *233:I *27:25 0
5 opnmos *233:I 1.19803e-05
6 *221:A2 *233:I 0.000195987
7 *227:A2 *233:I 4.44281e-05
*RES
1 *227:ZN *233:I 18.63
*END
*D_NET *23 0.00309419
*CONN
*I *234:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *225:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *234:I 0.001382
2 *225:ZN 0.001382
3 *234:I *235:I 0.000249298
4 *219:A2 *234:I 5.69667e-05
5 *219:A3 *234:I 1.19582e-05
6 *15:21 *234:I 1.19582e-05
*RES
1 *225:ZN *234:I 19.08
*END
*D_NET *24 0.0031323
*CONN
*I *226:B I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *224:B I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *216:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*I *229:Z O *D gf180mcu_fd_sc_mcu7t5v0__dlyb_1
*CAP
1 *226:B 0.000262478
2 *224:B 0
3 *216:I 0
4 *229:Z 0.000306372
5 *24:18 0.000484342
6 *24:8 0.000528236
7 *226:B *224:A1 7.55508e-05
8 *226:B *27:28 0
9 *226:B *27:32 0
10 *24:18 *224:A2 1.59607e-05
11 *215:I *24:8 0
12 *215:I *24:18 0
13 *225:A3 *226:B 0.000317262
14 *225:A3 *24:18 3.01487e-05
15 *228:I *24:8 0.000361382
16 *228:I *24:18 0.00020554
17 *15:9 *24:18 0.000545029
*RES
1 *229:Z *24:8 11.52
2 *24:8 *216:I 9
3 *24:8 *24:18 6.84
4 *24:18 *224:B 4.5
5 *24:18 *226:B 16.02
*END
*D_NET *25 0.00506161
*CONN
*I *222:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *226:A2 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *220:A2 I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *224:A2 I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *230:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *222:A2 5.03985e-05
2 *226:A2 0.000140256
3 *220:A2 0
4 *224:A2 0.000205378
5 *230:Z 0.000861961
6 *25:22 0.000181008
7 *25:10 0.000418033
8 *25:8 0.00108426
9 *222:A2 *26:8 1.47961e-05
10 *226:A2 *226:A1 7.43457e-05
11 *25:8 *26:8 0.000209308
12 *25:10 *26:8 0.000228585
13 *25:10 *26:17 8.30426e-05
14 *38:I *25:8 5.45444e-05
15 *215:I *224:A2 0.000320343
16 *221:A2 *226:A2 0.000162573
17 *223:A3 *226:A2 0
18 *228:I *224:A2 0
19 *231:I *25:8 0
20 *3:11 *25:8 0.00017758
21 *21:5 *222:A2 2.33247e-06
22 *21:5 *226:A2 2.00305e-06
23 *21:5 *25:22 6.00916e-06
24 *21:8 *224:A2 0.000383351
25 *21:8 *25:10 0.000385532
26 *24:18 *224:A2 1.59607e-05
*RES
1 *230:Z *25:8 15.48
2 *25:8 *25:10 2.07
3 *25:10 *224:A2 11.16
4 *25:10 *25:22 4.77
5 *25:22 *220:A2 4.5
6 *25:22 *226:A2 5.67
7 *25:8 *222:A2 9.27
*END
*D_NET *26 0.00389453
*CONN
*I *226:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *220:A1 I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *224:A1 I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *222:A1 I *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*I *231:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *226:A1 0.000169968
2 *220:A1 0
3 *224:A1 0.000117304
4 *222:A1 0
5 *231:Z 0.000389686
6 *26:26 0.000169968
7 *26:17 0.000285523
8 *26:8 0.000557906
9 *215:I *224:A1 0.00046357
10 *221:A2 *226:A1 0.000153628
11 *221:A2 *26:17 1.19957e-05
12 *222:A2 *26:8 1.47961e-05
13 *225:A3 *224:A1 0.000109348
14 *226:A2 *226:A1 7.43457e-05
15 *226:B *224:A1 7.55508e-05
16 *4:11 *26:8 5.97909e-06
17 *21:5 *26:8 0
18 *21:8 *224:A1 0.000284599
19 *21:8 *26:17 0.000489424
20 *25:8 *26:8 0.000209308
21 *25:10 *26:8 0.000228585
22 *25:10 *26:17 8.30426e-05
*RES
1 *231:Z *26:8 11.7
2 *26:8 *222:A1 9
3 *26:8 *26:17 2.16
4 *26:17 *224:A1 10.89
5 *26:17 *26:26 4.5
6 *26:26 *220:A1 4.5
7 *26:26 *226:A1 5.76
*END
*D_NET *27 0.00749392
*CONN
*I *33:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *218:I I *D gf180mcu_fd_sc_mcu7t5v0__clkinv_1
*I *35:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *227:B I *D gf180mcu_fd_sc_mcu7t5v0__oai21_1
*I *32:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *34:I I *D gf180mcu_fd_sc_mcu7t5v0__antenna
*I *225:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *217:A1 I *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*I *232:Z O *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_1
*CAP
1 *33:I 0
2 *218:I 0
3 *35:I 0
4 *227:B 0
5 *32:I 0
6 *34:I 0
7 *225:A1 0.000195882
8 *217:A1 0.000152437
9 *232:Z 0.0014566
10 *27:32 0.00059226
11 *27:28 0.000316993
12 *27:25 0.000338321
13 *27:22 0.000590627
14 *27:17 0.000633971
15 *27:12 0.000463771
16 *27:10 0.00161176
17 *38:I *27:10 0.000121424
18 *219:A2 *225:A1 7.56244e-06
19 *221:A2 *27:17 0.000183707
20 *221:A2 *27:25 0
21 *223:A2 *27:17 0
22 *223:A2 *27:22 4.35099e-06
23 *223:A3 *27:17 5.45665e-05
24 *225:A3 *27:25 1.89556e-05
25 *226:B *27:28 0
26 *226:B *27:32 0
27 *227:A1 *27:22 0.000132732
28 *227:A1 *27:28 5.62005e-05
29 *227:A1 *27:32 2.55938e-05
30 *227:A2 *27:25 0
31 *233:I *27:25 0
32 *3:11 *27:10 0
33 *14:7 *217:A1 0.000106548
34 *14:18 *217:A1 0.000199829
35 *14:18 *225:A1 7.20607e-05
36 *14:18 *27:32 9.59442e-05
37 *15:21 *225:A1 1.86548e-05
38 *15:21 *27:25 0
39 *15:24 *27:22 4.31577e-05
*RES
1 *232:Z *27:10 19.35
2 *27:10 *27:12 0.81
3 *27:12 *27:17 7.02
4 *27:17 *27:22 11.07
5 *27:22 *27:25 1.62
6 *27:25 *27:28 5.31
7 *27:28 *27:32 6.03
8 *27:32 *217:A1 6.03
9 *27:32 *225:A1 5.94
10 *27:28 *34:I 9
11 *27:25 *32:I 4.5
12 *27:22 *227:B 4.5
13 *27:17 *35:I 4.5
14 *27:12 *218:I 9
15 *27:10 *33:I 9
*END
*D_NET *28 0.00300265
*CONN
*I *235:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *219:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *235:I 0.00135446
2 *219:ZN 0.00135446
3 *219:A3 *235:I 4.44281e-05
4 *234:I *235:I 0.000249298
*RES
1 *219:ZN *235:I 27.45
*END
*D_NET *29 0.00102686
*CONN
*I *236:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *217:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nand3_1
*CAP
1 *236:I 0.000513429
2 *217:ZN 0.000513429
3 *215:I *236:I 0
*RES
1 *217:ZN *236:I 21.24
*END
*D_NET *30 0.00337049
*CONN
*I *237:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *223:ZN O *D gf180mcu_fd_sc_mcu7t5v0__nor3_1
*CAP
1 *237:I 0.00144252
2 *223:ZN 0.00144252
3 *237:I *238:I 0.000386974
4 *223:A1 *237:I 9.84748e-05
*RES
1 *223:ZN *237:I 28.8
*END
*D_NET *31 0.00402
*CONN
*I *238:I I *D gf180mcu_fd_sc_mcu7t5v0__clkbuf_3
*I *221:ZN O *D gf180mcu_fd_sc_mcu7t5v0__aoi21_1
*CAP
1 *238:I 0.00172451
2 *221:ZN 0.00172451
3 ompmos *238:I 3.98162e-05
4 *219:A1 *238:I 2.87921e-05
5 *223:A1 *238:I 2.10403e-05
6 *233:I *238:I 3.99668e-05
7 *237:I *238:I 0.000386974
8 *16:10 *238:I 5.43793e-05
*RES
1 *221:ZN *238:I 30.51
*END