Additional small change to user_defines.v to denote the correct set of
definitions that are not used in the caravan project (although that is
not relevant here).  Only changed comment lines.
diff --git a/verilog/rtl/user_defines.v b/verilog/rtl/user_defines.v
index c5f1c75..ee44b08 100644
--- a/verilog/rtl/user_defines.v
+++ b/verilog/rtl/user_defines.v
@@ -61,9 +61,9 @@
 `define USER_CONFIG_GPIO_11_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_12_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_13_INIT `GPIO_MODE_INVALID
-`define USER_CONFIG_GPIO_14_INIT `GPIO_MODE_INVALID
 
-// Configurations of GPIO 15 to 25 are used on caravel but not caravan.
+// Configurations of GPIO 14 to 24 are used on caravel but not caravan.
+`define USER_CONFIG_GPIO_14_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_15_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_16_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_17_INIT `GPIO_MODE_INVALID
@@ -74,8 +74,8 @@
 `define USER_CONFIG_GPIO_22_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_23_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_24_INIT `GPIO_MODE_INVALID
-`define USER_CONFIG_GPIO_25_INIT `GPIO_MODE_INVALID
 
+`define USER_CONFIG_GPIO_25_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_26_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_27_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_28_INIT `GPIO_MODE_INVALID