blob: d3d95f472c5e04cc3f9a61817cd2eec974387238 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO sky130_ef_sc_hd__fill_8
CLASS CORE SPACER ;
FOREIGN sky130_ef_sc_hd__fill_8 ;
ORIGIN 0.000 0.000 ;
SIZE 3.680 BY 2.720 ;
SYMMETRY X Y R90 ;
SITE unithd ;
PIN VPWR
USE POWER ;
PORT
LAYER met1 ;
RECT 0.000 2.480 3.680 2.960 ;
END
END VPWR
PIN VGND
USE GROUND ;
PORT
LAYER met1 ;
RECT 0.000 -0.240 3.680 0.240 ;
END
END VGND
PIN VPB
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER nwell ;
RECT -0.190 1.305 3.870 2.910 ;
END
END VPB
PIN VNB
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER pwell ;
RECT 0.025 0.065 3.655 1.015 ;
RECT 0.130 -0.120 0.350 0.065 ;
END
END VNB
OBS
LAYER li1 ;
RECT 0.000 2.635 3.680 2.805 ;
RECT 0.000 -0.085 3.680 0.085 ;
LAYER mcon ;
RECT 0.145 2.635 0.315 2.805 ;
RECT 0.605 2.635 0.775 2.805 ;
RECT 1.065 2.635 1.235 2.805 ;
RECT 1.525 2.635 1.695 2.805 ;
RECT 1.985 2.635 2.155 2.805 ;
RECT 2.445 2.635 2.615 2.805 ;
RECT 2.905 2.635 3.075 2.805 ;
RECT 3.365 2.635 3.535 2.805 ;
RECT 0.145 -0.085 0.315 0.085 ;
RECT 0.605 -0.085 0.775 0.085 ;
RECT 1.065 -0.085 1.235 0.085 ;
RECT 1.525 -0.085 1.695 0.085 ;
RECT 1.985 -0.085 2.155 0.085 ;
RECT 2.445 -0.085 2.615 0.085 ;
RECT 2.905 -0.085 3.075 0.085 ;
RECT 3.365 -0.085 3.535 0.085 ;
END
END sky130_ef_sc_hd__fill_8
END LIBRARY