sky130_{ef,fd}_io*.lib: final fix for unmatched end comment tokens

Adding lines:
  /* no_internal_power () {
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr.lib
index 5eab0c5..e0ff3fc 100644
--- a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr.lib
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr.lib
@@ -1088,6 +1088,7 @@
 			rise_capacitance : 0.028484;
 			capacitance : 0.028428;
 			fall_capacitance : 0.028373;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0546577, 0.0614792, 0.1776162, 0.3267601, 0.4699383, 0.6190822, 0.7682261");
@@ -1279,6 +1280,7 @@
 			fall_capacitance : 0.032530;
 			capacitance : 0.032824;
 			rise_capacitance : 0.033119;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.1512753, 0.1519221, 0.1525690, 0.1554335, 0.1581834, 0.1610478, 0.1639123");
@@ -1470,6 +1472,7 @@
 			fall_capacitance : 0.051707;
 			capacitance : 0.052126;
 			rise_capacitance : 0.052544;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0196528, -0.0197741, -0.0198954, -0.0198871, -0.0198792, -0.0198709, -0.0198626");
@@ -1660,6 +1663,7 @@
 			rise_capacitance : 0.016757;
 			capacitance : 0.016704;
 			fall_capacitance : 0.016651;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0254142, 0.0264072, 0.0274003, 0.0297905, 0.0320852, 0.0344754, 0.0368656");
@@ -2110,6 +2114,7 @@
 			fall_capacitance : 0.012664;
 			capacitance : 0.012997;
 			rise_capacitance : 0.013330;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("9.0413111, 16.2959050, 23.5504980, 25.2256580, 26.8338110, 28.5089700, 30.1841300");
@@ -2494,6 +2499,7 @@
 			fall_capacitance : 0.017565;
 			capacitance : 0.017619;
 			rise_capacitance : 0.017673;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.1334713, 0.2267727, 0.3200742, 0.3385628, 0.3563119, 0.3748005, 0.3932890");
@@ -3947,6 +3953,7 @@
 			fall_capacitance : 0.018312;
 			capacitance : 0.019257;
 			rise_capacitance : 0.020202;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.6754388, 0.8967032, 1.1179677, 1.3825258, 1.6365017, 1.9010598, 2.1656179");
@@ -4138,6 +4145,7 @@
 			rise_capacitance : 0.033266;
 			capacitance : 0.033204;
 			fall_capacitance : 0.033142;
+			/* no_internal_power () {
 				fall_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("1.1505141, 1.3466635, 1.5428128, 1.8090585, 2.0646545, 2.3309002, 2.5971460");
@@ -4846,6 +4854,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 1.994940;
 			max_transition : 1.506563;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -4871,7 +4880,7 @@
 					  "9.1813319, 9.1822270, 9.1828836, 9.2741361, 9.2787904, 9.0652477, 9.2695773");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -4897,6 +4906,7 @@
 					  "134.3410700, 134.3361100, 134.3115300, 134.4255000, 134.4540600, 134.4139800, 134.1844100");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -4922,6 +4932,7 @@
 					  "86.0841470, 86.0526030, 86.1934200, 86.0598880, 86.0833490, 86.0653150, 86.0914740");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5219,6 +5230,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 10.316900;
 			max_transition : 3.762950;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5244,7 +5256,7 @@
 					  "9.1751242, 9.0454476, 8.8763068, 8.5748839, 8.1745843, 8.1299516, 8.1177695");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5270,6 +5282,7 @@
 					  "86.0636910, 85.9061020, 85.7871900, 85.3987510, 85.2552330, 84.9522470, 84.7712390");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5295,6 +5308,7 @@
 					  "134.4083300, 134.2711600, 134.0047700, 133.7182900, 133.4470500, 133.2517200, 132.9611000");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				rise_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5596,6 +5610,7 @@
 			capacitance : 1.047768;
 			max_capacitance : 551.048000;
 			max_transition : 25.0;
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5621,6 +5636,7 @@
 					  "68.8982463, 68.8753063, 68.9327673, 69.0183023, 68.9782933, 58.7276733, -127.2749087");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5646,6 +5662,7 @@
 					  "39.5039955, 39.5428905, 39.7401355, 40.6343445, 42.5305465, 43.4898345, 43.4161365");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5671,6 +5688,7 @@
 					  "63.5643740, 63.6286290, 63.8335830, 64.2475500, 64.2788510, 58.6103510, -14.8818330");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5696,6 +5714,7 @@
 					  "26.4006090, 26.4469580, 26.3997660, 26.3926610, 26.4287710, 26.4253130, 26.2450910");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5721,6 +5740,7 @@
 					  "20.6979393, 20.6780833, 20.6796573, 20.6288623, 20.5640953, 20.5310143, 20.5165753");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5746,6 +5766,7 @@
 					  "26.4338430, 26.4048610, 26.3995960, 26.3527600, 26.4376390, 26.4317320, 26.2664650");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5771,6 +5792,7 @@
 					  "14.3927278, 14.3952268, 14.3977418, 14.4129658, 14.4270898, 14.4300138, 14.4313498");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5796,6 +5818,7 @@
 					  "21.2975883, 21.2938413, 21.2625923, 21.2236713, 21.1646443, 21.1463233, 21.1164033");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5821,6 +5844,7 @@
 					  "32.2434725, 32.2439345, 32.2550435, 32.2535935, 32.2368425, 32.2621485, 32.0429045");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5846,6 +5870,7 @@
 					  "32.2340675, 32.2343245, 32.2216985, 32.2590165, 32.2365515, 32.2487885, 32.0423625");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5871,6 +5896,7 @@
 					  "25.9337405, 25.9815495, 26.1900845, 27.2128515, 29.2494095, 30.2299555, 30.4493425");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5896,6 +5922,7 @@
 					  "85.4653210, 85.4930490, 85.6015940, 85.3514530, 86.4316450, 79.7391030, 13.2154400");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5921,6 +5948,7 @@
 					  "60.4111775, 60.6926185, 62.5792345, 73.1728435, 101.6115735, 160.1401835, 188.9081235");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5946,6 +5974,7 @@
 					  "44.5017310, 44.7528840, 46.1803640, 54.7487460, 98.4667470, 156.1122100, 168.4282100");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5971,6 +6000,7 @@
 					  "29.5619170, 29.6514740, 30.1136740, 31.7762290, 35.8090730, 48.6325170, 71.4777800");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5996,6 +6026,7 @@
 					  "16.0816968, 16.0723428, 16.0644588, 16.0102498, 15.9576208, 15.9298338, 15.9102828");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6021,6 +6052,7 @@
 					  "26.4279870, 26.4153090, 26.4153370, 26.3496810, 26.4260960, 26.4230010, 26.2903310");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6046,6 +6078,7 @@
 					  "25.9770445, 26.0269575, 26.2321895, 27.2542225, 29.2807695, 30.2728995, 30.4961935");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6071,6 +6104,7 @@
 					  "21.3185698, 21.3540658, 21.5731098, 22.5943188, 24.6245308, 25.6163438, 25.8296468");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6096,6 +6130,7 @@
 					  "26.3852660, 26.3644680, 26.4093370, 26.3645960, 26.4350630, 26.4482670, 26.2185330");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6121,6 +6156,7 @@
 					  "29.5554780, 29.6490630, 30.1124390, 31.7939270, 35.8265660, 48.7254630, 71.4503510");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6146,6 +6182,7 @@
 					  "39.5462975, 39.5791255, 39.7679955, 40.6895535, 42.5229165, 43.5336885, 43.4610105");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6171,6 +6208,7 @@
 					  "68.8110243, 68.7769333, 68.7997063, 68.9066003, 68.8323543, 58.5038803, -125.6113487");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6196,6 +6234,7 @@
 					  "73.1206533, 73.1818123, 73.5105353, 74.3816093, 77.3664023, 74.7326763, 1.8606246");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -6220,6 +6259,7 @@
 					  "84.3547013, 84.3653246, 84.4230577, 84.2929957, 83.9372696, 74.9743381, -43.5319814");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -7942,6 +7982,7 @@
 			rise_capacitance : 0.140543;
 			capacitance : 0.140392;
 			fall_capacitance : 0.140242;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.3606864, -0.3562182, -0.3517500, -0.3521326, -0.3525000, -0.3528826, -0.3532653");
@@ -7972,6 +8013,7 @@
 			rise_capacitance : 0.133581;
 			capacitance : 0.133411;
 			fall_capacitance : 0.133241;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.3609688, -0.3561999, -0.3514311, -0.3520184, -0.3525822, -0.3531695, -0.3537569");
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr.lib
index b9d7610..dd07126 100644
--- a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr.lib
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr.lib
@@ -1089,6 +1089,7 @@
 			rise_capacitance : 0.026190;
 			capacitance : 0.026280;
 			fall_capacitance : 0.026371;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0031046, -0.0033688, -0.0036331, -0.0037343, -0.0038314, -0.0039325, -0.0040337");
@@ -1280,6 +1281,7 @@
 			fall_capacitance : 0.031693;
 			capacitance : 0.032127;
 			rise_capacitance : 0.032560;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0502289, 0.0651197, 0.0800105, 0.0800087, 0.0800069, 0.0800051, 0.0800033");
@@ -1471,6 +1473,7 @@
 			fall_capacitance : 0.050800;
 			capacitance : 0.051200;
 			rise_capacitance : 0.051599;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0119384, -0.0121619, -0.0123855, -0.0123867, -0.0123878, -0.0123889, -0.0123901");
@@ -1661,6 +1664,7 @@
 			rise_capacitance : 0.016687;
 			capacitance : 0.016507;
 			fall_capacitance : 0.016327;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0177023, 0.0173015, 0.0169008, 0.0168346, 0.0167711, 0.0167049, 0.0166387");
@@ -2111,6 +2115,7 @@
 			fall_capacitance : 0.009441;
 			capacitance : 0.009687;
 			rise_capacitance : 0.009934;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0040147, 0.0182602, 0.0405350, 0.2355953, 0.4228531, 0.6179133, 0.8129736");
@@ -2561,6 +2566,7 @@
 			fall_capacitance : 0.017243;
 			capacitance : 0.017403;
 			rise_capacitance : 0.017563;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0592545, 0.0885380, 0.1178214, 0.1480761, 0.1771206, 0.2073752, 0.2376299");
@@ -4080,6 +4086,7 @@
 			fall_capacitance : 0.014607;
 			capacitance : 0.015082;
 			rise_capacitance : 0.015556;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0116067, -0.0013654, 0.0088758, 0.0208884, 0.0324205, 0.0444331, 0.0564456");
@@ -4271,6 +4278,7 @@
 			rise_capacitance : 0.030962;
 			capacitance : 0.030976;
 			fall_capacitance : 0.030989;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0044549, -0.0042680, -0.0040812, -0.0042472, -0.0044066, -0.0045726, -0.0047387");
@@ -4979,6 +4987,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 0.672007;
 			max_transition : 1.518265;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5004,7 +5013,7 @@
 					  "1.8586261, 1.8558636, 1.8463917, 1.8465917, 1.8598445, 1.8493696, 1.8617453");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5030,6 +5039,7 @@
 					  "1.9915688, 1.9898343, 2.0021797, 2.0040380, 2.0043260, 2.0078891, 1.9982336");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5055,6 +5065,7 @@
 					  "1.7942172, 1.8129210, 1.8141714, 1.8472960, 1.8767312, 1.9414418, 1.9869588");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5372,6 +5383,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 1.269730;
 			max_transition : 3.753621;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5397,7 +5409,7 @@
 					  "1.8468159, 1.8588985, 1.8573979, 1.8506386, 1.8646189, 1.8542307, 1.8761765");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				fall_power ("power_outputs_1") {
@@ -5423,6 +5435,7 @@
 					  "-0.1238265, -0.1331743, -0.1326800, -0.1304831, -0.1190560, -0.1121293, -0.1251196");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5448,6 +5461,7 @@
 					  "1.9926814, 2.0016308, 1.9937663, 1.9942537, 2.0075306, 2.0147035, 2.0659511");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				rise_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5769,6 +5783,7 @@
 			capacitance : 1.195242;
 			max_capacitance : 551.195000;
 			max_transition : 25.0;
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5794,6 +5809,7 @@
 					  "7.8567578, 7.8726496, 7.8559694, 7.8897063, 7.7787698, 6.8342797, -9.5074495");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5819,6 +5835,7 @@
 					  "6.5865109, 6.5946563, 6.6559271, 7.0052298, 7.7287612, 7.4421415, 1.2443958");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5844,6 +5861,7 @@
 					  "5.5460497, 5.5466022, 5.5492650, 5.5557785, 5.5540923, 5.3182627, -0.9037996");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5869,6 +5887,7 @@
 					  "2.4165910, 2.4170750, 2.4179887, 2.4184831, 2.4243571, 2.4337814, 2.4283296");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5894,6 +5913,7 @@
 					  "0.6921904, 0.6921875, 0.6921691, 0.6921407, 0.6922556, 0.6918403, 0.6920585");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5919,6 +5939,7 @@
 					  "0.7076633, 0.7076610, 0.7076309, 0.7075149, 0.7069778, 0.7065232, 0.7064253");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5944,6 +5965,7 @@
 					  "2.4175997, 2.4212021, 2.4174857, 2.4203443, 2.4269445, 2.4313359, 2.4295004");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5969,6 +5991,7 @@
 					  "0.4673188, 0.4673181, 0.4673247, 0.4673316, 0.4673427, 0.4673410, 0.4672751");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5994,6 +6017,7 @@
 					  "2.8711309, 2.8701690, 2.8740711, 2.8705268, 2.8778114, 2.8884491, 2.8751846");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6019,6 +6043,7 @@
 					  "6.7110666, 6.7170735, 6.7426555, 6.8601218, 6.7591666, 5.5608907, -0.1111942");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6044,6 +6069,7 @@
 					  "2.8710015, 2.8701500, 2.8629496, 2.8710403, 2.8780022, 2.8626534, 2.8838246");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6069,6 +6095,7 @@
 					  "0.4072829, 0.4072198, 0.4068596, 0.4056876, 0.4046439, 0.4040420, 0.4038179");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6094,6 +6121,7 @@
 					  "4.4140127, 4.4184700, 4.4527976, 4.5897371, 4.9516455, 5.9028478, 6.3205141");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6119,6 +6147,7 @@
 					  "3.0238422, 3.0283180, 3.0407692, 3.1019579, 3.1787010, 3.4448245, 5.0452190");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6144,6 +6173,7 @@
 					  "0.4492822, 0.4492805, 0.4492819, 0.4492770, 0.4492085, 0.4492733, 0.4492108");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6169,6 +6199,7 @@
 					  "2.4256662, 2.4276735, 2.4307006, 2.4520505, 2.5858209, 3.4462086, 4.2183821");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6194,6 +6225,7 @@
 					  "5.5472618, 5.5465354, 5.5493032, 5.5558075, 5.5623427, 5.2958202, -0.7191433");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6219,6 +6251,7 @@
 					  "0.4072852, 0.4072212, 0.4070006, 0.4056915, 0.4046496, 0.4040168, 0.4039253");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6244,6 +6277,7 @@
 					  "5.5454573, 5.5461516, 5.5480299, 5.5541027, 5.5567863, 5.3181209, -0.9191256");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6269,6 +6303,7 @@
 					  "2.4190948, 2.4159155, 2.4178413, 2.4163594, 2.4279931, 2.4209490, 2.4437715");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6294,6 +6329,7 @@
 					  "0.4671195, 0.4671163, 0.4671103, 0.4672028, 0.4676969, 0.4680785, 0.4681054");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6319,6 +6355,7 @@
 					  "7.6839707, 7.6920163, 7.7286580, 7.9096313, 8.1962397, 8.0159039, 2.0186765");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6344,6 +6381,7 @@
 					  "3.6328407, 3.6348655, 3.6448458, 3.6952191, 3.8620531, 4.7366786, 5.5109347");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6369,6 +6407,7 @@
 					  "7.8708151, 7.8782831, 7.8421089, 7.8941602, 7.7723756, 6.7673181, -9.7943025");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -6393,6 +6432,7 @@
 					  "6.2835583, 6.2844475, 6.2912519, 6.3161402, 6.2255157, 5.3417697, -4.7521956");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -8217,6 +8257,7 @@
 			rise_capacitance : 0.157976;
 			capacitance : 0.157476;
 			fall_capacitance : 0.156975;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0487250, -0.0473064, -0.0458878, -0.0462409, -0.0465798, -0.0469328, -0.0472858");
@@ -8247,6 +8288,7 @@
 			rise_capacitance : 0.150650;
 			capacitance : 0.150117;
 			fall_capacitance : 0.149584;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0490589, -0.0476052, -0.0461516, -0.0464106, -0.0466593, -0.0469183, -0.0471773");
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr.lib
index cbb459d..ca61584 100644
--- a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr.lib
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr.lib
@@ -1088,6 +1088,7 @@
 			rise_capacitance : 0.028484;
 			capacitance : 0.028428;
 			fall_capacitance : 0.028373;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0546577, 0.0614792, 0.1776162, 0.3267601, 0.4699383, 0.6190822, 0.7682261");
@@ -1279,6 +1280,7 @@
 			fall_capacitance : 0.032530;
 			capacitance : 0.032824;
 			rise_capacitance : 0.033119;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.1512753, 0.1519221, 0.1525690, 0.1554335, 0.1581834, 0.1610478, 0.1639123");
@@ -1470,6 +1472,7 @@
 			fall_capacitance : 0.051707;
 			capacitance : 0.052126;
 			rise_capacitance : 0.052544;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0196528, -0.0197741, -0.0198954, -0.0198871, -0.0198792, -0.0198709, -0.0198626");
@@ -1660,6 +1663,7 @@
 			rise_capacitance : 0.016757;
 			capacitance : 0.016704;
 			fall_capacitance : 0.016651;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0254142, 0.0264072, 0.0274003, 0.0297905, 0.0320852, 0.0344754, 0.0368656");
@@ -2110,6 +2114,7 @@
 			fall_capacitance : 0.012664;
 			capacitance : 0.012997;
 			rise_capacitance : 0.013330;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("9.0413111, 16.2959050, 23.5504980, 25.2256580, 26.8338110, 28.5089700, 30.1841300");
@@ -2494,6 +2499,7 @@
 			fall_capacitance : 0.017565;
 			capacitance : 0.017619;
 			rise_capacitance : 0.017673;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.1334713, 0.2267727, 0.3200742, 0.3385628, 0.3563119, 0.3748005, 0.3932890");
@@ -3947,6 +3953,7 @@
 			fall_capacitance : 0.018312;
 			capacitance : 0.019257;
 			rise_capacitance : 0.020202;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.6754388, 0.8967032, 1.1179677, 1.3825258, 1.6365017, 1.9010598, 2.1656179");
@@ -4138,6 +4145,7 @@
 			rise_capacitance : 0.033266;
 			capacitance : 0.033204;
 			fall_capacitance : 0.033142;
+			/* no_internal_power () {
 				fall_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("1.1505141, 1.3466635, 1.5428128, 1.8090585, 2.0646545, 2.3309002, 2.5971460");
@@ -4846,6 +4854,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 1.994940;
 			max_transition : 1.506563;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -4871,7 +4880,7 @@
 					  "9.1813319, 9.1822270, 9.1828836, 9.2741361, 9.2787904, 9.0652477, 9.2695773");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -4897,6 +4906,7 @@
 					  "134.3410700, 134.3361100, 134.3115300, 134.4255000, 134.4540600, 134.4139800, 134.1844100");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -4922,6 +4932,7 @@
 					  "86.0841470, 86.0526030, 86.1934200, 86.0598880, 86.0833490, 86.0653150, 86.0914740");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5219,6 +5230,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 10.316900;
 			max_transition : 3.762950;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5244,7 +5256,7 @@
 					  "9.1751242, 9.0454476, 8.8763068, 8.5748839, 8.1745843, 8.1299516, 8.1177695");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5270,6 +5282,7 @@
 					  "86.0636910, 85.9061020, 85.7871900, 85.3987510, 85.2552330, 84.9522470, 84.7712390");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5295,6 +5308,7 @@
 					  "134.4083300, 134.2711600, 134.0047700, 133.7182900, 133.4470500, 133.2517200, 132.9611000");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				rise_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5596,6 +5610,7 @@
 			capacitance : 1.047768;
 			max_capacitance : 551.048000;
 			max_transition : 25.0;
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5621,6 +5636,7 @@
 					  "68.8982463, 68.8753063, 68.9327673, 69.0183023, 68.9782933, 58.7276733, -127.2749087");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5646,6 +5662,7 @@
 					  "39.5039955, 39.5428905, 39.7401355, 40.6343445, 42.5305465, 43.4898345, 43.4161365");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5671,6 +5688,7 @@
 					  "63.5643740, 63.6286290, 63.8335830, 64.2475500, 64.2788510, 58.6103510, -14.8818330");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5696,6 +5714,7 @@
 					  "26.4006090, 26.4469580, 26.3997660, 26.3926610, 26.4287710, 26.4253130, 26.2450910");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5721,6 +5740,7 @@
 					  "20.6979393, 20.6780833, 20.6796573, 20.6288623, 20.5640953, 20.5310143, 20.5165753");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5746,6 +5766,7 @@
 					  "26.4338430, 26.4048610, 26.3995960, 26.3527600, 26.4376390, 26.4317320, 26.2664650");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5771,6 +5792,7 @@
 					  "14.3927278, 14.3952268, 14.3977418, 14.4129658, 14.4270898, 14.4300138, 14.4313498");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5796,6 +5818,7 @@
 					  "21.2975883, 21.2938413, 21.2625923, 21.2236713, 21.1646443, 21.1463233, 21.1164033");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5821,6 +5844,7 @@
 					  "32.2434725, 32.2439345, 32.2550435, 32.2535935, 32.2368425, 32.2621485, 32.0429045");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5846,6 +5870,7 @@
 					  "32.2340675, 32.2343245, 32.2216985, 32.2590165, 32.2365515, 32.2487885, 32.0423625");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5871,6 +5896,7 @@
 					  "25.9337405, 25.9815495, 26.1900845, 27.2128515, 29.2494095, 30.2299555, 30.4493425");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5896,6 +5922,7 @@
 					  "85.4653210, 85.4930490, 85.6015940, 85.3514530, 86.4316450, 79.7391030, 13.2154400");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5921,6 +5948,7 @@
 					  "60.4111775, 60.6926185, 62.5792345, 73.1728435, 101.6115735, 160.1401835, 188.9081235");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5946,6 +5974,7 @@
 					  "44.5017310, 44.7528840, 46.1803640, 54.7487460, 98.4667470, 156.1122100, 168.4282100");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5971,6 +6000,7 @@
 					  "29.5619170, 29.6514740, 30.1136740, 31.7762290, 35.8090730, 48.6325170, 71.4777800");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5996,6 +6026,7 @@
 					  "16.0816968, 16.0723428, 16.0644588, 16.0102498, 15.9576208, 15.9298338, 15.9102828");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6021,6 +6052,7 @@
 					  "26.4279870, 26.4153090, 26.4153370, 26.3496810, 26.4260960, 26.4230010, 26.2903310");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6046,6 +6078,7 @@
 					  "25.9770445, 26.0269575, 26.2321895, 27.2542225, 29.2807695, 30.2728995, 30.4961935");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6071,6 +6104,7 @@
 					  "21.3185698, 21.3540658, 21.5731098, 22.5943188, 24.6245308, 25.6163438, 25.8296468");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6096,6 +6130,7 @@
 					  "26.3852660, 26.3644680, 26.4093370, 26.3645960, 26.4350630, 26.4482670, 26.2185330");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6121,6 +6156,7 @@
 					  "29.5554780, 29.6490630, 30.1124390, 31.7939270, 35.8265660, 48.7254630, 71.4503510");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6146,6 +6182,7 @@
 					  "39.5462975, 39.5791255, 39.7679955, 40.6895535, 42.5229165, 43.5336885, 43.4610105");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6171,6 +6208,7 @@
 					  "68.8110243, 68.7769333, 68.7997063, 68.9066003, 68.8323543, 58.5038803, -125.6113487");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6196,6 +6234,7 @@
 					  "73.1206533, 73.1818123, 73.5105353, 74.3816093, 77.3664023, 74.7326763, 1.8606246");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -6220,6 +6259,7 @@
 					  "84.3547013, 84.3653246, 84.4230577, 84.2929957, 83.9372696, 74.9743381, -43.5319814");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -7942,6 +7982,7 @@
 			rise_capacitance : 0.140543;
 			capacitance : 0.140392;
 			fall_capacitance : 0.140242;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.3606864, -0.3562182, -0.3517500, -0.3521326, -0.3525000, -0.3528826, -0.3532653");
@@ -7972,6 +8013,7 @@
 			rise_capacitance : 0.133581;
 			capacitance : 0.133411;
 			fall_capacitance : 0.133241;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.3609688, -0.3561999, -0.3514311, -0.3520184, -0.3525822, -0.3531695, -0.3537569");
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr.lib
index 5e61824..f5c9a32 100644
--- a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr.lib
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr.lib
@@ -1089,6 +1089,7 @@
 			rise_capacitance : 0.026190;
 			capacitance : 0.026280;
 			fall_capacitance : 0.026371;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0031046, -0.0033688, -0.0036331, -0.0037343, -0.0038314, -0.0039325, -0.0040337");
@@ -1280,6 +1281,7 @@
 			fall_capacitance : 0.031693;
 			capacitance : 0.032127;
 			rise_capacitance : 0.032560;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0502289, 0.0651197, 0.0800105, 0.0800087, 0.0800069, 0.0800051, 0.0800033");
@@ -1471,6 +1473,7 @@
 			fall_capacitance : 0.050800;
 			capacitance : 0.051200;
 			rise_capacitance : 0.051599;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0119384, -0.0121619, -0.0123855, -0.0123867, -0.0123878, -0.0123889, -0.0123901");
@@ -1661,6 +1664,7 @@
 			rise_capacitance : 0.016687;
 			capacitance : 0.016507;
 			fall_capacitance : 0.016327;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0177023, 0.0173015, 0.0169008, 0.0168346, 0.0167711, 0.0167049, 0.0166387");
@@ -2111,6 +2115,7 @@
 			fall_capacitance : 0.009441;
 			capacitance : 0.009687;
 			rise_capacitance : 0.009934;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0040147, 0.0182602, 0.0405350, 0.2355953, 0.4228531, 0.6179133, 0.8129736");
@@ -2561,6 +2566,7 @@
 			fall_capacitance : 0.017243;
 			capacitance : 0.017403;
 			rise_capacitance : 0.017563;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("0.0592545, 0.0885380, 0.1178214, 0.1480761, 0.1771206, 0.2073752, 0.2376299");
@@ -4080,6 +4086,7 @@
 			fall_capacitance : 0.014607;
 			capacitance : 0.015082;
 			rise_capacitance : 0.015556;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0116067, -0.0013654, 0.0088758, 0.0208884, 0.0324205, 0.0444331, 0.0564456");
@@ -4271,6 +4278,7 @@
 			rise_capacitance : 0.030962;
 			capacitance : 0.030976;
 			fall_capacitance : 0.030989;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0044549, -0.0042680, -0.0040812, -0.0042472, -0.0044066, -0.0045726, -0.0047387");
@@ -4979,6 +4987,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 0.672007;
 			max_transition : 1.518265;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5004,7 +5013,7 @@
 					  "1.8586261, 1.8558636, 1.8463917, 1.8465917, 1.8598445, 1.8493696, 1.8617453");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5030,6 +5039,7 @@
 					  "1.9915688, 1.9898343, 2.0021797, 2.0040380, 2.0043260, 2.0078891, 1.9982336");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5055,6 +5065,7 @@
 					  "1.7942172, 1.8129210, 1.8141714, 1.8472960, 1.8767312, 1.9414418, 1.9869588");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5372,6 +5383,7 @@
 			function : "(PAD&!INP_DIS)";
 			max_capacitance : 1.269730;
 			max_transition : 3.753621;
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  IB_MODE_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5397,7 +5409,7 @@
 					  "1.8468159, 1.8588985, 1.8573979, 1.8506386, 1.8646189, 1.8542307, 1.8761765");
 				}
 			}*/
-
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
 				fall_power ("power_outputs_1") {
@@ -5423,6 +5435,7 @@
 					  "-0.1238265, -0.1331743, -0.1326800, -0.1304831, -0.1190560, -0.1121293, -0.1251196");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "PAD";
 				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
 				rise_power ("power_outputs_1") {
@@ -5448,6 +5461,7 @@
 					  "1.9926814, 2.0016308, 1.9937663, 1.9942537, 2.0075306, 2.0147035, 2.0659511");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "INP_DIS";
 				rise_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -5769,6 +5783,7 @@
 			capacitance : 1.195242;
 			max_capacitance : 551.195000;
 			max_transition : 25.0;
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5794,6 +5809,7 @@
 					  "7.8567578, 7.8726496, 7.8559694, 7.8897063, 7.7787698, 6.8342797, -9.5074495");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5819,6 +5835,7 @@
 					  "6.5865109, 6.5946563, 6.6559271, 7.0052298, 7.7287612, 7.4421415, 1.2443958");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5844,6 +5861,7 @@
 					  "5.5460497, 5.5466022, 5.5492650, 5.5557785, 5.5540923, 5.3182627, -0.9037996");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5869,6 +5887,7 @@
 					  "2.4165910, 2.4170750, 2.4179887, 2.4184831, 2.4243571, 2.4337814, 2.4283296");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5894,6 +5913,7 @@
 					  "0.6921904, 0.6921875, 0.6921691, 0.6921407, 0.6922556, 0.6918403, 0.6920585");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -5919,6 +5939,7 @@
 					  "0.7076633, 0.7076610, 0.7076309, 0.7075149, 0.7069778, 0.7065232, 0.7064253");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5944,6 +5965,7 @@
 					  "2.4175997, 2.4212021, 2.4174857, 2.4203443, 2.4269445, 2.4313359, 2.4295004");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5969,6 +5991,7 @@
 					  "0.4673188, 0.4673181, 0.4673247, 0.4673316, 0.4673427, 0.4673410, 0.4672751");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -5994,6 +6017,7 @@
 					  "2.8711309, 2.8701690, 2.8740711, 2.8705268, 2.8778114, 2.8884491, 2.8751846");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6019,6 +6043,7 @@
 					  "6.7110666, 6.7170735, 6.7426555, 6.8601218, 6.7591666, 5.5608907, -0.1111942");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6044,6 +6069,7 @@
 					  "2.8710015, 2.8701500, 2.8629496, 2.8710403, 2.8780022, 2.8626534, 2.8838246");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6069,6 +6095,7 @@
 					  "0.4072829, 0.4072198, 0.4068596, 0.4056876, 0.4046439, 0.4040420, 0.4038179");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6094,6 +6121,7 @@
 					  "4.4140127, 4.4184700, 4.4527976, 4.5897371, 4.9516455, 5.9028478, 6.3205141");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6119,6 +6147,7 @@
 					  "3.0238422, 3.0283180, 3.0407692, 3.1019579, 3.1787010, 3.4448245, 5.0452190");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6144,6 +6173,7 @@
 					  "0.4492822, 0.4492805, 0.4492819, 0.4492770, 0.4492085, 0.4492733, 0.4492108");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6169,6 +6199,7 @@
 					  "2.4256662, 2.4276735, 2.4307006, 2.4520505, 2.5858209, 3.4462086, 4.2183821");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6194,6 +6225,7 @@
 					  "5.5472618, 5.5465354, 5.5493032, 5.5558075, 5.5623427, 5.2958202, -0.7191433");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6219,6 +6251,7 @@
 					  "0.4072852, 0.4072212, 0.4070006, 0.4056915, 0.4046496, 0.4040168, 0.4039253");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6244,6 +6277,7 @@
 					  "5.5454573, 5.5461516, 5.5480299, 5.5541027, 5.5567863, 5.3181209, -0.9191256");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6269,6 +6303,7 @@
 					  "2.4190948, 2.4159155, 2.4178413, 2.4163594, 2.4279931, 2.4209490, 2.4437715");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6294,6 +6329,7 @@
 					  "0.4671195, 0.4671163, 0.4671103, 0.4672028, 0.4676969, 0.4680785, 0.4681054");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6319,6 +6355,7 @@
 					  "7.6839707, 7.6920163, 7.7286580, 7.9096313, 8.1962397, 8.0159039, 2.0186765");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
 				rise_power ("power_outputs_1") {
@@ -6344,6 +6381,7 @@
 					  "3.6328407, 3.6348655, 3.6448458, 3.6952191, 3.8620531, 4.7366786, 5.5109347");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
 				fall_power ("power_outputs_1") {
@@ -6369,6 +6407,7 @@
 					  "7.8708151, 7.8782831, 7.8421089, 7.8941602, 7.7723756, 6.7673181, -9.7943025");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OE_N";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -6393,6 +6432,7 @@
 					  "6.2835583, 6.2844475, 6.2912519, 6.3161402, 6.2255157, 5.3417697, -4.7521956");
 				}
 			}*/
+			/* no_internal_power () {
 				related_pin : "OUT";
 				fall_power ("power_outputs_1") {
 					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
@@ -8217,6 +8257,7 @@
 			rise_capacitance : 0.157976;
 			capacitance : 0.157476;
 			fall_capacitance : 0.156975;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0487250, -0.0473064, -0.0458878, -0.0462409, -0.0465798, -0.0469328, -0.0472858");
@@ -8247,6 +8288,7 @@
 			rise_capacitance : 0.150650;
 			capacitance : 0.150117;
 			fall_capacitance : 0.149584;
+			/* no_internal_power () {
 				rise_power ("power_inputs_1") {
 					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
 					values("-0.0490589, -0.0476052, -0.0461516, -0.0464106, -0.0466593, -0.0469183, -0.0471773");