blob: 2ab72ff00b94c211d87372abfc720b81aa1d1200 [file] [log] [blame]
// type: TIELO
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_TIELO (Y);
output Y;
// Function
buf (Y, 1'b0);
// Timing
specify
endspecify
endmodule
`endcelldefine