blob: a82fd5640dcad1ba6a0be288b583dacad85091b1 [file] [log] [blame]
// type: TIEHI
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_TIEHI (Y);
output Y;
// Function
buf (Y, 1'b1);
// Timing
specify
endspecify
endmodule
`endcelldefine