blob: 2a43a09b1f15dc3d5b6736ba62d21a855767a85f [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_INVXL (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine