blob: 90c35045909cf2d1f106c5108513a0e8d60bf3e9 [file] [log] [blame]
// type: DLY3
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_DLY3 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine