blob: 45ad1803b0cc56a23e1424ddf57b684bc51307c8 [file] [log] [blame]
// type: TNBUF
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_TNBUFXL (Y, A);
output Y;
input A;
// Function
bufif0 (Y, 1'b1, A);
// Timing
specify
(A => Y) = 0;
(negedge A => (Y:1)) = 0;
endspecify
endmodule
`endcelldefine