blob: dd64263d2304910a87b341d33e367b9b7d4a93a0 [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_INVX3 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine