blob: 6549ee91c3c9fa438062be510d04500585e33d2f [file] [log] [blame]
// type: CLKINV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_CLKINVX1 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine