blob: aaeba784da909c847107fc6669aa7621952b1a70 [file] [log] [blame]
module mux2 #(parameter WIDTH = 8)
(input [WIDTH-1:0] d0, d1,
input s,
output [WIDTH-1:0] y);
assign y = s ? d1 : d0;
endmodule // mux2