blob: 04d8bc4e203e54e4e8ef2531a5097300e0d8c818 [file] [log] [blame]
`timescale 1ns/1ns
module flopr #(parameter WIDTH = 8)
(input clk, reset,
input [WIDTH-1:0] d,
output reg [WIDTH-1:0] q);
always @(posedge clk, posedge reset)
if (reset) q <= #1 0;
else q <= #1 d;
endmodule // flopr