blob: 36a67d3762a9a57be61c7106e07c827882f4fd31 [file] [log] [blame]
// Created on Sun Jan 31 13:30:30 2010
module accum_stat (
accum,
ar,
bio,
gez,
gz,
nz,
z,
lz,
lez,
ov,
arnz,
bioz);
input [32:0] accum;
input [15:0] ar;
input bio;
output gez;
output gz;
output nz;
output z;
output lz;
output lez;
input ov;
output arnz;
output bioz;
// Internal wires
wire n_6;
wire n_7;
wire n_8;
wire n_11;
wire n_12;
wire n_13;
wire n_21;
wire n_23;
wire n_24;
wire n_101;
wire n_102;
wire n_103;
wire n_105;
wire n_106;
wire n_107;
wire n_108;
wire n_109;
wire n_110;
wire n_111;
wire n_112;
OR2X2 g588 (.Y(lez),
.B(z),
.A(accum[31]));
OR2X1 g614 (.Y(n_24),
.B(ar[10]),
.A(ar[9]));
OR2X1 g616 (.Y(n_23),
.B(ar[8]),
.A(ar[13]));
OR2X1 g621 (.Y(n_21),
.B(ar[6]),
.A(ar[5]));
NOR2X1 g669 (.Y(n_13),
.B(accum[4]),
.A(accum[3]));
NOR2X1 g670 (.Y(n_12),
.B(accum[26]),
.A(accum[21]));
NOR2X1 g671 (.Y(n_11),
.B(accum[6]),
.A(accum[13]));
NOR2BX1 g673 (.Y(z),
.B(accum[31]),
.AN(n_101));
NOR2X1 g674 (.Y(n_8),
.B(accum[22]),
.A(accum[20]));
NOR2X1 g675 (.Y(n_7),
.B(accum[7]),
.A(accum[8]));
NOR2X1 g676 (.Y(n_6),
.B(accum[23]),
.A(accum[24]));
AND4X6 g683 (.Y(n_101),
.D(n_102),
.C(n_111),
.B(n_8),
.A(n_12));
NOR4BBX4 g684 (.Y(n_102),
.D(accum[10]),
.C(accum[11]),
.BN(n_103),
.AN(n_7));
NOR4BX4 g2 (.Y(n_103),
.D(accum[5]),
.C(accum[12]),
.B(accum[14]),
.AN(n_105));
OR4X8 g685 (.Y(arnz),
.D(n_106),
.C(n_108),
.B(n_23),
.A(n_24));
AND4X6 g686 (.Y(n_105),
.D(n_107),
.C(n_109),
.B(n_13),
.A(n_11));
OR4X8 g687 (.Y(n_106),
.D(n_110),
.C(n_21),
.B(ar[0]),
.A(ar[2]));
NOR4BBX4 g688 (.Y(n_107),
.D(accum[28]),
.C(accum[29]),
.BN(n_112),
.AN(n_6));
OR4X8 g689 (.Y(n_108),
.D(ar[7]),
.C(ar[1]),
.B(ar[15]),
.A(ar[4]));
NOR4X8 g690 (.Y(n_109),
.D(accum[1]),
.C(accum[9]),
.B(accum[2]),
.A(accum[0]));
OR4X8 g691 (.Y(n_110),
.D(ar[14]),
.C(ar[11]),
.B(ar[3]),
.A(ar[12]));
NOR4X8 g692 (.Y(n_111),
.D(accum[17]),
.C(accum[19]),
.B(accum[30]),
.A(accum[25]));
NOR4X8 g693 (.Y(n_112),
.D(accum[16]),
.C(accum[15]),
.B(accum[18]),
.A(accum[27]));
endmodule
module add_unsigned_2823 (
A,
B,
Z);
input [31:0] A;
input [31:0] B;
output [31:0] Z;
// Internal wires
wire n_6;
wire n_8;
wire n_14;
wire n_16;
wire n_17;
wire n_18;
wire n_20;
wire n_21;
wire n_22;
wire n_24;
wire n_25;
wire n_26;
wire n_27;
wire n_28;
wire n_29;
wire n_30;
wire n_31;
wire n_32;
wire n_33;
wire n_34;
wire n_35;
wire n_36;
wire n_37;
wire n_38;
wire n_39;
wire n_95;
wire n_96;
wire n_97;
wire n_98;
wire n_99;
wire n_100;
wire n_101;
wire n_102;
wire n_103;
wire n_104;
wire n_105;
wire n_106;
wire n_107;
wire n_108;
wire n_111;
wire n_113;
wire n_114;
wire n_116;
wire n_117;
wire n_121;
wire n_124;
wire n_129;
wire n_131;
wire n_132;
wire n_133;
wire n_135;
wire n_137;
wire n_140;
wire n_144;
wire n_145;
wire n_148;
wire n_153;
wire n_157;
wire n_158;
wire n_159;
wire n_161;
wire n_162;
wire n_163;
wire n_164;
wire n_165;
wire n_166;
wire n_167;
wire n_168;
wire n_169;
wire n_170;
wire n_171;
wire n_189;
wire n_196;
wire n_203;
wire n_205;
wire n_207;
wire n_214;
wire n_216;
wire n_217;
wire n_231;
wire n_232;
wire n_234;
wire n_235;
wire n_242;
wire n_269;
wire n_270;
wire n_277;
wire n_279;
wire n_280;
wire n_283;
wire n_373;
wire n_376;
wire n_379;
wire n_382;
wire n_385;
wire n_386;
wire n_388;
wire n_389;
wire n_391;
wire n_392;
wire n_394;
wire n_395;
wire n_397;
wire n_399;
wire n_400;
wire n_405;
wire n_406;
wire n_414;
wire n_417;
wire n_418;
wire n_420;
wire n_421;
wire n_423;
wire n_424;
wire n_431;
wire n_432;
wire n_433;
wire n_434;
wire n_435;
wire n_436;
AND2X2 g2763 (.Y(n_283),
.B(n_30),
.A(n_159));
CLKINVX1 g2769 (.Y(n_280),
.A(n_279));
AND2X1 g2770 (.Y(n_279),
.B(n_36),
.A(n_21));
CLKINVX2 g2775 (.Y(n_277),
.A(n_386));
CLKINVX1 g2786 (.Y(n_270),
.A(n_269));
AND2X1 g2787 (.Y(n_269),
.B(n_29),
.A(n_22));
CLKINVX2 g2832 (.Y(n_242),
.A(n_400));
CLKINVX1 g2843 (.Y(n_235),
.A(n_234));
AND2X1 g2844 (.Y(n_234),
.B(n_34),
.A(n_20));
CLKINVX2 g2849 (.Y(n_232),
.A(n_231));
AND2X2 g2850 (.Y(n_231),
.B(n_33),
.A(n_132));
CLKINVX1 g2878 (.Y(n_217),
.A(n_216));
AND2X1 g2879 (.Y(n_216),
.B(n_25),
.A(n_14));
CLKINVX2 g2884 (.Y(n_214),
.A(n_434));
AND2X2 g2897 (.Y(n_207),
.B(n_26),
.A(n_111));
AND2X2 g2903 (.Y(n_205),
.B(n_38),
.A(n_153));
AND2X2 g2909 (.Y(n_203),
.B(n_31),
.A(n_121));
AND2X2 g2925 (.Y(n_196),
.B(n_32),
.A(n_148));
CLKINVX2 g2940 (.Y(n_189),
.A(n_421));
CLKINVX2 g2967 (.Y(n_171),
.A(n_131));
AND2X1 g2977 (.Y(n_170),
.B(n_153),
.A(n_16));
AND2X1 g2979 (.Y(n_169),
.B(n_121),
.A(n_8));
AND2X1 g2981 (.Y(n_168),
.B(n_111),
.A(n_17));
AND2X1 g2983 (.Y(n_167),
.B(n_113),
.A(n_14));
AND2X1 g2985 (.Y(n_166),
.B(n_148),
.A(n_6));
AND2X1 g2987 (.Y(n_165),
.B(n_159),
.A(n_24));
AND2X1 g2989 (.Y(n_164),
.B(n_140),
.A(n_21));
AND2X1 g2991 (.Y(n_163),
.B(n_117),
.A(n_22));
AND2X1 g2995 (.Y(n_162),
.B(n_132),
.A(n_18));
AND2X1 g2997 (.Y(n_161),
.B(n_133),
.A(n_20));
OR2X1 g2999 (.Y(n_159),
.B(B[26]),
.A(A[26]));
AND2X1 g3002 (.Y(n_158),
.B(B[11]),
.A(A[11]));
OR2X1 g3003 (.Y(n_157),
.B(B[11]),
.A(A[11]));
OR2X1 g3007 (.Y(n_153),
.B(B[8]),
.A(A[8]));
OR2X1 g3014 (.Y(n_148),
.B(B[5]),
.A(A[5]));
OR2X1 g3017 (.Y(n_145),
.B(B[10]),
.A(A[10]));
OR2X2 g3019 (.Y(n_144),
.B(n_98),
.A(n_100));
OR2X2 g3026 (.Y(n_140),
.B(B[25]),
.A(A[25]));
AND2X1 g3030 (.Y(n_137),
.B(B[15]),
.A(A[15]));
OR2X1 g3032 (.Y(n_135),
.B(B[15]),
.A(A[15]));
OR2X2 g3035 (.Y(n_133),
.B(B[17]),
.A(A[17]));
OR2X1 g3038 (.Y(n_132),
.B(B[16]),
.A(A[16]));
AND2X2 g3039 (.Y(n_131),
.B(B[0]),
.A(A[0]));
AND2X1 g3041 (.Y(n_129),
.B(n_98),
.A(n_100));
OR2X1 g3052 (.Y(n_124),
.B(B[14]),
.A(A[14]));
OR2X1 g3055 (.Y(n_121),
.B(B[7]),
.A(A[7]));
OR2X2 g3062 (.Y(n_117),
.B(B[23]),
.A(A[23]));
AND2X1 g3064 (.Y(n_116),
.B(B[10]),
.A(A[10]));
AND2X1 g3068 (.Y(n_114),
.B(B[14]),
.A(A[14]));
OR2X2 g3072 (.Y(n_113),
.B(B[12]),
.A(A[12]));
OR2X1 g3074 (.Y(n_111),
.B(B[9]),
.A(A[9]));
CLKINVX1 g3080 (.Y(n_108),
.A(B[22]));
CLKINVX1 g3081 (.Y(n_107),
.A(A[2]));
CLKINVX1 g3082 (.Y(n_106),
.A(A[21]));
CLKINVX1 g3083 (.Y(n_105),
.A(B[3]));
CLKINVX1 g3084 (.Y(n_104),
.A(A[3]));
CLKINVX1 g3090 (.Y(n_103),
.A(A[20]));
CLKINVX1 g3095 (.Y(n_102),
.A(B[20]));
CLKINVX1 g3097 (.Y(n_101),
.A(B[19]));
CLKINVX2 g3099 (.Y(n_100),
.A(A[1]));
CLKINVX1 g3101 (.Y(n_99),
.A(B[2]));
CLKINVX2 g3109 (.Y(n_98),
.A(B[1]));
CLKINVX1 g3122 (.Y(n_97),
.A(A[19]));
CLKINVX1 g3128 (.Y(n_96),
.A(A[22]));
CLKINVX3 g3131 (.Y(n_95),
.A(B[21]));
NOR2BX4 g3187 (.Y(n_39),
.B(n_158),
.AN(n_157));
NAND2BX4 g3188 (.Y(n_38),
.B(n_16),
.AN(n_203));
NAND2BX4 g3189 (.Y(n_37),
.B(n_144),
.AN(n_129));
NAND2BX4 g3190 (.Y(n_36),
.B(n_140),
.AN(n_277));
NOR2BX4 g3191 (.Y(n_35),
.B(n_137),
.AN(n_135));
NAND2BX4 g3192 (.Y(n_34),
.B(n_133),
.AN(n_232));
NAND2BX4 g3193 (.Y(n_33),
.B(n_18),
.AN(n_432));
NAND2BX4 g3194 (.Y(n_32),
.B(n_6),
.AN(n_418));
NAND2BX4 g3195 (.Y(n_31),
.B(n_8),
.AN(n_414));
NAND2BX4 g3196 (.Y(n_30),
.B(n_24),
.AN(n_280));
NAND2BX4 g3197 (.Y(n_29),
.B(n_117),
.AN(n_389));
NOR2BX4 g3198 (.Y(n_28),
.B(n_116),
.AN(n_145));
NOR2BX4 g3199 (.Y(n_27),
.B(n_114),
.AN(n_124));
NAND2BX4 g3200 (.Y(n_26),
.B(n_17),
.AN(n_205));
NAND2BX4 g3201 (.Y(n_25),
.B(n_113),
.AN(n_214));
NAND2X1 g3202 (.Y(n_24),
.B(B[26]),
.A(A[26]));
NAND2X1 g3204 (.Y(n_22),
.B(B[23]),
.A(A[23]));
NAND2X1 g3205 (.Y(n_21),
.B(B[25]),
.A(A[25]));
NAND2X1 g3206 (.Y(n_20),
.B(B[17]),
.A(A[17]));
NAND2X1 g3208 (.Y(n_18),
.B(B[16]),
.A(A[16]));
NAND2X1 g3209 (.Y(n_17),
.B(B[9]),
.A(A[9]));
NAND2X1 g3210 (.Y(n_16),
.B(B[8]),
.A(A[8]));
NAND2X1 g3212 (.Y(n_14),
.B(B[12]),
.A(A[12]));
NAND2X1 g3218 (.Y(n_8),
.B(B[7]),
.A(A[7]));
NAND2X1 g3220 (.Y(n_6),
.B(B[5]),
.A(A[5]));
ADDFHX4 g3231 (.S(),
.CO(n_373),
.CI(n_376),
.B(B[30]),
.A(A[30]));
ADDFHX4 g3234 (.S(),
.CO(n_376),
.CI(n_379),
.B(B[29]),
.A(A[29]));
ADDFHX4 g3237 (.S(),
.CO(n_379),
.CI(n_382),
.B(B[28]),
.A(A[28]));
ADDFHX4 g3240 (.S(),
.CO(n_382),
.CI(n_283),
.B(B[27]),
.A(A[27]));
CLKMX2X12 g3241 (.Y(Z[26]),
.S0(n_165),
.B(n_279),
.A(n_280));
XNOR2X4 g3242 (.Y(Z[24]),
.B(n_385),
.A(A[24]));
CLKMX2X12 g3243 (.Y(n_385),
.S0(B[24]),
.B(n_270),
.A(n_269));
ADDFHX4 g3244 (.S(),
.CO(n_386),
.CI(n_270),
.B(B[24]),
.A(A[24]));
CLKMX2X12 g3245 (.Y(Z[22]),
.S0(n_388),
.B(B[22]),
.A(n_108));
CLKMX2X12 g3246 (.Y(n_388),
.S0(n_392),
.B(n_96),
.A(A[22]));
ADDFHX4 g3247 (.S(),
.CO(n_389),
.CI(n_392),
.B(n_108),
.A(n_96));
CLKMX2X12 g3248 (.Y(Z[21]),
.S0(n_391),
.B(A[21]),
.A(n_106));
CLKMX2X12 g3249 (.Y(n_391),
.S0(n_395),
.B(n_95),
.A(B[21]));
ADDFHX4 g3250 (.S(),
.CO(n_392),
.CI(n_395),
.B(n_95),
.A(n_106));
CLKMX2X12 g3251 (.Y(Z[20]),
.S0(n_394),
.B(A[20]),
.A(n_103));
CLKMX2X12 g3252 (.Y(n_394),
.S0(n_397),
.B(n_102),
.A(B[20]));
ADDFHX4 g3253 (.S(),
.CO(n_395),
.CI(n_397),
.B(n_102),
.A(n_103));
CLKMX2X12 g3254 (.Y(Z[19]),
.S0(n_431),
.B(A[19]),
.A(n_97));
ADDFHX4 g3255 (.S(),
.CO(n_397),
.CI(n_242),
.B(n_101),
.A(n_97));
XNOR2X4 g3256 (.Y(Z[18]),
.B(n_399),
.A(B[18]));
CLKMX2X12 g3257 (.Y(n_399),
.S0(A[18]),
.B(n_235),
.A(n_234));
ADDFHX4 g3258 (.S(),
.CO(n_400),
.CI(n_235),
.B(B[18]),
.A(A[18]));
XOR2X4 g3259 (.Y(Z[16]),
.B(n_432),
.A(n_162));
XOR2X4 g3260 (.Y(Z[15]),
.B(n_433),
.A(n_35));
XOR2X4 g3261 (.Y(Z[14]),
.B(n_406),
.A(n_27));
XNOR2X4 g3262 (.Y(Z[13]),
.B(n_405),
.A(A[13]));
CLKMX2X12 g3263 (.Y(n_405),
.S0(B[13]),
.B(n_217),
.A(n_216));
ADDFHX4 g3264 (.S(),
.CO(n_406),
.CI(n_217),
.B(B[13]),
.A(A[13]));
XOR2X4 g3265 (.Y(Z[11]),
.B(n_435),
.A(n_39));
XOR2X4 g3266 (.Y(Z[10]),
.B(n_207),
.A(n_28));
XOR2X4 g3267 (.Y(Z[9]),
.B(n_205),
.A(n_168));
XOR2X4 g3268 (.Y(Z[8]),
.B(n_203),
.A(n_170));
XOR2X4 g3269 (.Y(Z[7]),
.B(n_169),
.A(n_414));
ADDFHX4 g3272 (.S(),
.CO(n_414),
.CI(n_196),
.B(B[6]),
.A(A[6]));
XOR2X4 g3273 (.Y(Z[5]),
.B(n_166),
.A(n_418));
XOR2X4 g3274 (.Y(Z[4]),
.B(A[4]),
.A(n_417));
CLKMX2X12 g3275 (.Y(n_417),
.S0(B[4]),
.B(n_421),
.A(n_189));
ADDFHX4 g3276 (.S(),
.CO(n_418),
.CI(n_189),
.B(B[4]),
.A(A[4]));
CLKMX2X12 g3277 (.Y(Z[3]),
.S0(n_420),
.B(n_105),
.A(B[3]));
CLKMX2X12 g3278 (.Y(n_420),
.S0(n_424),
.B(A[3]),
.A(n_104));
ADDFHX4 g3279 (.S(),
.CO(n_421),
.CI(n_424),
.B(n_105),
.A(n_104));
CLKMX2X12 g3280 (.Y(Z[2]),
.S0(n_423),
.B(n_107),
.A(A[2]));
CLKMX2X12 g3281 (.Y(n_423),
.S0(n_436),
.B(B[2]),
.A(n_99));
ADDFHX4 g3282 (.S(),
.CO(n_424),
.CI(n_436),
.B(n_99),
.A(n_107));
MXI2X1 g3283 (.Y(Z[1]),
.S0(n_37),
.B(n_171),
.A(n_131));
XOR2X4 g3284 (.Y(Z[0]),
.B(B[0]),
.A(A[0]));
MXI2X1 g3285 (.Y(Z[25]),
.S0(n_164),
.B(n_386),
.A(n_277));
XNOR2X4 g2 (.Y(Z[23]),
.B(n_389),
.A(n_163));
MXI2X1 g3286 (.Y(Z[17]),
.S0(n_161),
.B(n_231),
.A(n_232));
MXI2X1 g3287 (.Y(Z[12]),
.S0(n_167),
.B(n_434),
.A(n_214));
OA22X4 g3288 (.Y(n_431),
.B1(n_400),
.B0(n_101),
.A1(n_242),
.A0(B[19]));
OA21X4 g3289 (.Y(n_432),
.B0(n_135),
.A1(n_433),
.A0(n_137));
OA21X4 g3290 (.Y(n_433),
.B0(n_124),
.A1(n_406),
.A0(n_114));
OA21X4 g3291 (.Y(n_434),
.B0(n_157),
.A1(n_435),
.A0(n_158));
OA21X4 g3292 (.Y(n_435),
.B0(n_145),
.A1(n_207),
.A0(n_116));
OA21X4 g3293 (.Y(n_436),
.B0(n_144),
.A1(n_129),
.A0(n_171));
XOR3X1 g3294 (.Y(Z[31]),
.C(n_373),
.B(A[31]),
.A(B[31]));
XOR3X1 g3295 (.Y(Z[30]),
.C(n_376),
.B(A[30]),
.A(B[30]));
XOR3X1 g3296 (.Y(Z[29]),
.C(A[29]),
.B(n_379),
.A(B[29]));
XOR3X1 g3297 (.Y(Z[28]),
.C(n_382),
.B(B[28]),
.A(A[28]));
XOR3X1 g3298 (.Y(Z[27]),
.C(A[27]),
.B(n_283),
.A(B[27]));
XOR3X1 g3299 (.Y(Z[6]),
.C(A[6]),
.B(n_196),
.A(B[6]));
endmodule
module increment_unsigned (
A,
CI,
Z);
input [31:0] A;
input CI;
output [32:0] Z;
// Internal wires
wire n_62;
wire n_64;
wire n_66;
wire n_68;
wire n_70;
wire n_72;
wire n_74;
wire n_76;
wire n_78;
wire n_80;
wire n_82;
wire n_84;
wire n_86;
wire n_88;
wire n_90;
wire n_92;
wire n_94;
wire n_98;
wire n_100;
wire n_102;
wire n_104;
wire n_106;
wire n_108;
wire n_110;
wire n_112;
wire n_114;
wire n_116;
wire n_118;
wire n_120;
wire n_122;
AND2X1 g687 (.Y(n_122),
.B(n_120),
.A(A[30]));
AND2X2 g694 (.Y(n_120),
.B(n_118),
.A(A[29]));
AND2X2 g697 (.Y(n_118),
.B(n_116),
.A(A[28]));
AND2X2 g704 (.Y(n_116),
.B(n_114),
.A(A[27]));
AND2X2 g709 (.Y(n_114),
.B(n_112),
.A(A[26]));
AND2X2 g714 (.Y(n_112),
.B(n_110),
.A(A[25]));
AND2X2 g717 (.Y(n_110),
.B(n_108),
.A(A[24]));
AND2X2 g722 (.Y(n_108),
.B(n_106),
.A(A[23]));
AND2X2 g727 (.Y(n_106),
.B(n_104),
.A(A[22]));
AND2X2 g732 (.Y(n_104),
.B(n_102),
.A(A[21]));
AND2X2 g737 (.Y(n_102),
.B(n_100),
.A(A[20]));
AND2X2 g744 (.Y(n_100),
.B(n_98),
.A(A[19]));
AND2X2 g749 (.Y(n_98),
.B(n_94),
.A(A[18]));
AND2X2 g754 (.Y(n_94),
.B(n_92),
.A(A[17]));
AND2X2 g757 (.Y(n_92),
.B(n_90),
.A(A[16]));
AND2X2 g762 (.Y(n_90),
.B(n_88),
.A(A[15]));
AND2X2 g767 (.Y(n_88),
.B(n_86),
.A(A[14]));
AND2X2 g772 (.Y(n_86),
.B(n_84),
.A(A[13]));
AND2X2 g777 (.Y(n_84),
.B(n_82),
.A(A[12]));
AND2X2 g782 (.Y(n_82),
.B(n_80),
.A(A[11]));
AND2X2 g789 (.Y(n_80),
.B(n_78),
.A(A[10]));
AND2X2 g794 (.Y(n_78),
.B(n_76),
.A(A[9]));
AND2X2 g799 (.Y(n_76),
.B(n_74),
.A(A[8]));
AND2X2 g804 (.Y(n_74),
.B(n_72),
.A(A[7]));
AND2X2 g809 (.Y(n_72),
.B(n_70),
.A(A[6]));
AND2X2 g814 (.Y(n_70),
.B(n_68),
.A(A[5]));
AND2X2 g819 (.Y(n_68),
.B(n_66),
.A(A[4]));
AND2X2 g824 (.Y(n_66),
.B(n_64),
.A(A[3]));
AND2X2 g829 (.Y(n_64),
.B(n_62),
.A(A[2]));
AND2X2 g834 (.Y(n_62),
.B(A[1]),
.A(A[0]));
XOR2X4 g928 (.Y(Z[31]),
.B(n_122),
.A(A[31]));
XOR2X4 g2 (.Y(Z[30]),
.B(n_120),
.A(A[30]));
XOR2X4 g929 (.Y(Z[29]),
.B(n_118),
.A(A[29]));
XOR2X4 g930 (.Y(Z[28]),
.B(n_116),
.A(A[28]));
XOR2X4 g931 (.Y(Z[27]),
.B(n_114),
.A(A[27]));
XOR2X4 g932 (.Y(Z[26]),
.B(n_112),
.A(A[26]));
XOR2X4 g933 (.Y(Z[25]),
.B(n_110),
.A(A[25]));
XOR2X4 g934 (.Y(Z[24]),
.B(n_108),
.A(A[24]));
XOR2X4 g935 (.Y(Z[23]),
.B(n_106),
.A(A[23]));
XOR2X4 g936 (.Y(Z[22]),
.B(n_104),
.A(A[22]));
XOR2X4 g937 (.Y(Z[21]),
.B(n_102),
.A(A[21]));
XOR2X4 g938 (.Y(Z[20]),
.B(n_100),
.A(A[20]));
XOR2X4 g939 (.Y(Z[19]),
.B(n_98),
.A(A[19]));
XOR2X4 g940 (.Y(Z[18]),
.B(n_94),
.A(A[18]));
XOR2X4 g941 (.Y(Z[17]),
.B(n_92),
.A(A[17]));
XOR2X4 g942 (.Y(Z[16]),
.B(n_90),
.A(A[16]));
XOR2X4 g943 (.Y(Z[15]),
.B(n_88),
.A(A[15]));
XOR2X4 g944 (.Y(Z[14]),
.B(n_86),
.A(A[14]));
XOR2X4 g945 (.Y(Z[13]),
.B(n_84),
.A(A[13]));
XOR2X4 g946 (.Y(Z[12]),
.B(n_82),
.A(A[12]));
XOR2X4 g947 (.Y(Z[11]),
.B(n_80),
.A(A[11]));
XOR2X4 g948 (.Y(Z[10]),
.B(n_78),
.A(A[10]));
XOR2X4 g949 (.Y(Z[9]),
.B(n_76),
.A(A[9]));
XOR2X4 g950 (.Y(Z[8]),
.B(n_74),
.A(A[8]));
XOR2X4 g951 (.Y(Z[7]),
.B(n_72),
.A(A[7]));
XOR2X4 g952 (.Y(Z[6]),
.B(n_70),
.A(A[6]));
XOR2X4 g953 (.Y(Z[5]),
.B(n_68),
.A(A[5]));
XOR2X4 g954 (.Y(Z[4]),
.B(A[4]),
.A(n_66));
XOR2X4 g955 (.Y(Z[3]),
.B(n_64),
.A(A[3]));
XOR2X4 g956 (.Y(Z[2]),
.B(A[2]),
.A(n_62));
XOR2X4 g957 (.Y(Z[1]),
.B(A[1]),
.A(A[0]));
endmodule
module sub_unsigned_2825 (
A,
B,
Z);
input [31:0] A;
input [31:0] B;
output [31:0] Z;
// Internal wires
wire n_2;
wire n_5;
wire n_14;
wire n_21;
wire n_22;
wire n_23;
wire n_26;
wire n_29;
wire n_31;
wire n_43;
wire n_56;
wire n_58;
wire n_60;
wire n_64;
wire n_68;
wire n_70;
wire n_73;
wire n_77;
wire n_80;
wire n_90;
wire n_91;
wire n_92;
wire n_93;
wire n_94;
wire n_96;
wire n_97;
wire n_98;
wire n_99;
wire n_100;
wire n_101;
wire n_102;
wire n_103;
wire n_104;
wire n_105;
wire n_106;
wire n_107;
wire n_108;
wire n_110;
wire n_111;
wire n_113;
wire n_114;
wire n_115;
wire n_116;
wire n_117;
wire n_182;
wire n_184;
wire n_204;
wire n_208;
wire n_224;
wire n_240;
wire n_254;
wire n_256;
wire n_260;
wire n_261;
wire n_263;
wire n_269;
wire n_270;
wire n_280;
wire n_287;
wire n_288;
wire n_293;
wire n_294;
wire n_296;
wire n_303;
wire n_308;
wire n_309;
wire n_316;
wire n_317;
wire n_392;
wire n_394;
wire n_396;
wire n_401;
wire n_402;
wire n_405;
wire n_408;
wire n_410;
wire n_412;
wire n_414;
wire n_415;
wire n_417;
wire n_418;
wire n_420;
wire n_422;
wire n_424;
wire n_425;
wire n_427;
wire n_428;
wire n_431;
wire n_433;
wire n_435;
wire n_436;
wire n_438;
wire n_439;
wire n_440;
wire n_441;
wire n_442;
wire n_443;
wire n_444;
wire n_445;
wire n_446;
wire n_447;
wire n_448;
wire n_449;
wire n_450;
wire n_451;
wire n_461;
wire n_462;
wire n_463;
wire n_464;
wire n_465;
wire n_466;
wire n_467;
wire n_468;
wire n_469;
wire n_470;
wire n_471;
wire n_472;
OR2X2 g2705 (.Y(n_317),
.B(n_392),
.A(n_106));
AND2X1 g2706 (.Y(n_316),
.B(n_392),
.A(n_106));
OR2X2 g2722 (.Y(n_309),
.B(n_394),
.A(n_108));
AND2X1 g2723 (.Y(n_308),
.B(n_394),
.A(n_108));
CLKINVX1 g2729 (.Y(n_303),
.A(n_396));
OR2X2 g2742 (.Y(n_296),
.B(n_5),
.A(n_73));
OR2X2 g2754 (.Y(n_294),
.B(n_293),
.A(n_70));
AND2X1 g2756 (.Y(n_293),
.B(n_466),
.A(A[24]));
OR2X2 g2767 (.Y(n_288),
.B(n_401),
.A(A[23]));
AND2X1 g2768 (.Y(n_287),
.B(n_401),
.A(A[23]));
CLKINVX1 g2778 (.Y(n_280),
.A(n_402));
AND2X1 g2794 (.Y(n_270),
.B(n_405),
.A(n_102));
OR2X1 g2795 (.Y(n_269),
.B(n_405),
.A(n_102));
OR2X2 g2809 (.Y(n_263),
.B(n_23),
.A(n_60));
AND2X1 g2818 (.Y(n_261),
.B(n_461),
.A(n_26));
AND2X1 g2820 (.Y(n_260),
.B(n_58),
.A(n_26));
AND2X1 g2830 (.Y(n_256),
.B(n_462),
.A(n_29));
AND2X1 g2833 (.Y(n_254),
.B(n_29),
.A(n_56));
CLKINVX1 g2855 (.Y(n_240),
.A(n_415));
CLKINVX2 g2881 (.Y(n_224),
.A(n_422));
CLKINVX2 g2907 (.Y(n_208),
.A(n_472));
OR2X2 g2914 (.Y(n_204),
.B(n_22),
.A(n_43));
AND2X2 g2955 (.Y(n_184),
.B(n_463),
.A(n_2));
AND2X1 g2958 (.Y(n_182),
.B(n_31),
.A(n_2));
OR2X1 g2975 (.Y(Z[0]),
.B(n_21),
.A(n_14));
CLKINVX1 g3052 (.Y(n_117),
.A(A[7]));
CLKINVX1 g3057 (.Y(n_116),
.A(A[8]));
CLKINVX1 g3060 (.Y(n_115),
.A(B[10]));
CLKINVX1 g3066 (.Y(n_114),
.A(A[27]));
CLKINVX1 g3069 (.Y(n_113),
.A(A[9]));
CLKINVX1 g3072 (.Y(n_111),
.A(B[23]));
CLKINVX1 g3074 (.Y(n_110),
.A(A[19]));
CLKINVX1 g3076 (.Y(n_108),
.A(A[28]));
CLKINVX1 g3077 (.Y(n_107),
.A(B[11]));
CLKINVX1 g3078 (.Y(n_106),
.A(A[30]));
CLKINVX1 g3080 (.Y(n_105),
.A(B[22]));
CLKINVX1 g3084 (.Y(n_104),
.A(A[5]));
CLKINVX1 g3086 (.Y(n_103),
.A(A[13]));
CLKINVX1 g3087 (.Y(n_102),
.A(A[20]));
CLKINVX1 g3091 (.Y(n_101),
.A(A[15]));
CLKINVX1 g3092 (.Y(n_100),
.A(B[6]));
CLKINVX1 g3093 (.Y(n_99),
.A(B[25]));
CLKINVX1 g3097 (.Y(n_98),
.A(A[4]));
CLKINVX1 g3099 (.Y(n_97),
.A(B[12]));
CLKINVX1 g3102 (.Y(n_96),
.A(A[2]));
CLKINVX1 g3105 (.Y(n_94),
.A(B[26]));
CLKINVX1 g3108 (.Y(n_93),
.A(A[3]));
CLKINVX1 g3110 (.Y(n_92),
.A(A[21]));
CLKINVX1 g3112 (.Y(n_91),
.A(A[29]));
CLKINVX1 g3113 (.Y(n_90),
.A(A[14]));
NAND2BX4 g3123 (.Y(n_80),
.B(n_317),
.AN(n_316));
NAND2BX4 g3126 (.Y(n_77),
.B(n_309),
.AN(n_308));
NOR2BX4 g3130 (.Y(n_73),
.B(n_470),
.AN(A[25]));
NOR2X1 g3133 (.Y(n_70),
.B(n_466),
.A(A[24]));
NAND2BX4 g3135 (.Y(n_68),
.B(n_288),
.AN(n_287));
NOR2BX4 g3139 (.Y(n_64),
.B(n_270),
.AN(n_269));
NOR2BX4 g3143 (.Y(n_60),
.B(n_261),
.AN(A[18]));
NAND2BX4 g3145 (.Y(n_58),
.B(n_256),
.AN(A[17]));
NAND2BX4 g3147 (.Y(n_56),
.B(n_408),
.AN(A[16]));
NOR2BX4 g3160 (.Y(n_43),
.B(n_431),
.AN(A[6]));
NAND2BX4 g3172 (.Y(n_31),
.B(n_21),
.AN(A[1]));
NAND2BX1 g3174 (.Y(n_29),
.B(A[16]),
.AN(n_408));
NAND2BX1 g3177 (.Y(n_26),
.B(A[17]),
.AN(n_256));
NOR2BX1 g3180 (.Y(n_23),
.B(A[18]),
.AN(n_261));
NOR2BX1 g3181 (.Y(n_22),
.B(A[6]),
.AN(n_431));
NOR2BX1 g3182 (.Y(n_21),
.B(A[0]),
.AN(B[0]));
NOR2BX1 g3189 (.Y(n_14),
.B(B[0]),
.AN(A[0]));
NOR2BX1 g3198 (.Y(n_5),
.B(A[25]),
.AN(n_470));
NAND2BX1 g3201 (.Y(n_2),
.B(A[1]),
.AN(n_21));
XOR2X4 g3206 (.Y(Z[30]),
.B(B[30]),
.A(n_80));
ADDFHX4 g3207 (.S(),
.CO(n_392),
.CI(n_465),
.B(B[29]),
.A(n_91));
XNOR2X4 g3208 (.Y(Z[29]),
.B(n_465),
.A(n_447));
ADDFHX4 g3209 (.S(),
.CO(n_394),
.CI(n_303),
.B(B[27]),
.A(n_114));
CLKMX2X12 g3210 (.Y(Z[27]),
.S0(n_448),
.B(n_303),
.A(n_396));
ADDFHX4 g3211 (.S(),
.CO(n_396),
.CI(n_469),
.B(n_94),
.A(A[26]));
XOR2X4 g3212 (.Y(Z[26]),
.B(n_469),
.A(n_449));
CLKMX2X12 g3213 (.Y(Z[25]),
.S0(n_296),
.B(n_99),
.A(B[25]));
CLKMX2X12 g3214 (.Y(Z[23]),
.S0(n_68),
.B(n_111),
.A(B[23]));
XOR2X4 g3215 (.Y(Z[22]),
.B(A[22]),
.A(n_467));
ADDFHX4 g3216 (.S(),
.CO(n_401),
.CI(n_280),
.B(n_105),
.A(A[22]));
ADDFHX4 g3217 (.S(),
.CO(n_402),
.CI(n_468),
.B(B[21]),
.A(n_92));
XNOR2X4 g3218 (.Y(Z[21]),
.B(n_468),
.A(n_450));
XNOR2X4 g3219 (.Y(Z[20]),
.B(n_64),
.A(B[20]));
ADDFHX4 g3220 (.S(),
.CO(n_405),
.CI(n_471),
.B(B[19]),
.A(n_110));
XNOR2X4 g3221 (.Y(Z[19]),
.B(n_471),
.A(n_451));
XNOR2X4 g3222 (.Y(Z[15]),
.B(n_410),
.A(n_440));
ADDFHX4 g3223 (.S(),
.CO(n_408),
.CI(n_410),
.B(B[15]),
.A(n_101));
XNOR2X4 g3224 (.Y(Z[14]),
.B(n_412),
.A(n_441));
ADDFHX4 g3225 (.S(),
.CO(n_410),
.CI(n_412),
.B(B[14]),
.A(n_90));
CLKMX2X12 g3226 (.Y(Z[13]),
.S0(n_442),
.B(n_240),
.A(n_415));
ADDFHX4 g3227 (.S(),
.CO(n_412),
.CI(n_240),
.B(B[13]),
.A(n_103));
XOR2X4 g3228 (.Y(Z[12]),
.B(A[12]),
.A(n_414));
CLKMX2X12 g3229 (.Y(n_414),
.S0(n_418),
.B(B[12]),
.A(n_97));
ADDFHX4 g3230 (.S(),
.CO(n_415),
.CI(n_418),
.B(n_97),
.A(A[12]));
XNOR2X4 g3231 (.Y(Z[11]),
.B(n_417),
.A(A[11]));
CLKMX2X12 g3232 (.Y(n_417),
.S0(n_420),
.B(n_107),
.A(B[11]));
ADDFHX4 g3233 (.S(),
.CO(n_418),
.CI(n_420),
.B(n_107),
.A(A[11]));
CLKMX2X12 g3234 (.Y(Z[10]),
.S0(n_443),
.B(n_422),
.A(n_224));
ADDFHX4 g3235 (.S(),
.CO(n_420),
.CI(n_224),
.B(n_115),
.A(A[10]));
XNOR2X4 g3236 (.Y(Z[9]),
.B(n_425),
.A(n_444));
ADDFHX4 g3237 (.S(),
.CO(n_422),
.CI(n_425),
.B(B[9]),
.A(n_113));
CLKMX2X12 g3238 (.Y(Z[8]),
.S0(n_424),
.B(A[8]),
.A(n_116));
XNOR2X4 g3239 (.Y(n_424),
.B(B[8]),
.A(n_428));
ADDFHX4 g3240 (.S(),
.CO(n_425),
.CI(n_428),
.B(B[8]),
.A(n_116));
CLKMX2X12 g3241 (.Y(Z[7]),
.S0(n_427),
.B(A[7]),
.A(n_117));
CLKMX2X12 g3242 (.Y(n_427),
.S0(B[7]),
.B(n_208),
.A(n_472));
ADDFHX4 g3243 (.S(),
.CO(n_428),
.CI(n_208),
.B(B[7]),
.A(n_117));
CLKMX2X12 g3244 (.Y(Z[6]),
.S0(n_204),
.B(n_100),
.A(B[6]));
XNOR2X4 g3245 (.Y(Z[5]),
.B(n_433),
.A(n_445));
ADDFHX4 g3246 (.S(),
.CO(n_431),
.CI(n_433),
.B(B[5]),
.A(n_104));
XNOR2X4 g3247 (.Y(Z[4]),
.B(n_436),
.A(n_446));
ADDFHX4 g3248 (.S(),
.CO(n_433),
.CI(n_436),
.B(B[4]),
.A(n_98));
CLKMX2X12 g3249 (.Y(Z[3]),
.S0(n_435),
.B(n_93),
.A(A[3]));
XOR2X4 g3250 (.Y(n_435),
.B(n_439),
.A(B[3]));
ADDFHX4 g3251 (.S(),
.CO(n_436),
.CI(n_439),
.B(B[3]),
.A(n_93));
CLKMX2X12 g3252 (.Y(Z[2]),
.S0(n_438),
.B(n_96),
.A(A[2]));
XOR2X4 g3253 (.Y(n_438),
.B(n_184),
.A(B[2]));
ADDFHX4 g3254 (.S(),
.CO(n_439),
.CI(n_184),
.B(B[2]),
.A(n_96));
CLKMX2X12 g3255 (.Y(n_440),
.S0(B[15]),
.B(A[15]),
.A(n_101));
CLKMX2X12 g3256 (.Y(n_441),
.S0(B[14]),
.B(A[14]),
.A(n_90));
CLKMX2X12 g3257 (.Y(n_442),
.S0(B[13]),
.B(A[13]),
.A(n_103));
CLKMX2X12 g3258 (.Y(n_443),
.S0(A[10]),
.B(B[10]),
.A(n_115));
CLKMX2X12 g3259 (.Y(n_444),
.S0(B[9]),
.B(A[9]),
.A(n_113));
CLKMX2X12 g3260 (.Y(n_445),
.S0(B[5]),
.B(A[5]),
.A(n_104));
CLKMX2X12 g3261 (.Y(n_446),
.S0(B[4]),
.B(A[4]),
.A(n_98));
CLKMX2X12 g3262 (.Y(n_447),
.S0(B[29]),
.B(A[29]),
.A(n_91));
CLKMX2X12 g3263 (.Y(n_448),
.S0(B[27]),
.B(A[27]),
.A(n_114));
CLKMX2X12 g3264 (.Y(n_449),
.S0(A[26]),
.B(B[26]),
.A(n_94));
CLKMX2X12 g3265 (.Y(n_450),
.S0(B[21]),
.B(A[21]),
.A(n_92));
CLKMX2X12 g3266 (.Y(n_451),
.S0(B[19]),
.B(A[19]),
.A(n_110));
XNOR2X4 g3269 (.Y(Z[17]),
.B(n_260),
.A(B[17]));
XNOR2X4 g3270 (.Y(Z[16]),
.B(n_254),
.A(B[16]));
XNOR2X4 g3271 (.Y(Z[1]),
.B(n_182),
.A(B[1]));
XOR2X4 g3272 (.Y(Z[28]),
.B(n_77),
.A(B[28]));
XOR2X4 g3273 (.Y(Z[24]),
.B(n_294),
.A(B[24]));
XOR2X4 g2 (.Y(Z[18]),
.B(n_263),
.A(B[18]));
NAND2BX4 g3274 (.Y(n_461),
.B(n_58),
.AN(B[17]));
NAND2BX4 g3275 (.Y(n_462),
.B(n_56),
.AN(B[16]));
NAND2BX4 g3276 (.Y(n_463),
.B(n_31),
.AN(B[1]));
OA21X4 g3277 (.Y(n_464),
.B0(n_317),
.A1(n_316),
.A0(B[30]));
OA21X4 g3278 (.Y(n_465),
.B0(n_309),
.A1(n_308),
.A0(B[28]));
OA21X4 g3279 (.Y(n_466),
.B0(n_288),
.A1(n_287),
.A0(n_111));
AO22X4 g3280 (.Y(n_467),
.B1(n_402),
.B0(n_105),
.A1(n_280),
.A0(B[22]));
OA21X4 g3281 (.Y(n_468),
.B0(n_269),
.A1(n_270),
.A0(B[20]));
AOI2BB1X4 g3282 (.Y(n_469),
.B0(n_5),
.A1N(n_73),
.A0N(n_99));
AOI2BB1X4 g3283 (.Y(n_470),
.B0(n_293),
.A1N(n_70),
.A0N(B[24]));
AOI2BB1X4 g3284 (.Y(n_471),
.B0(n_60),
.A1N(n_23),
.A0N(B[18]));
AOI2BB1X4 g3285 (.Y(n_472),
.B0(n_22),
.A1N(n_43),
.A0N(n_100));
XOR3X1 g3286 (.Y(Z[31]),
.C(A[31]),
.B(n_464),
.A(B[31]));
endmodule
module alu_32 (
ovm,
op_a,
op_b,
result,
cmd);
input ovm;
input [31:0] op_a;
input [31:0] op_b;
output [32:0] result;
input [3:0] cmd;
// Internal wires
wire UNCONNECTED;
wire UNCONNECTED0;
wire n_1;
wire n_10;
wire n_35;
wire n_37;
wire n_44;
wire n_53;
wire n_60;
wire n_61;
wire n_63;
wire n_72;
wire n_92;
wire n_109;
wire n_110;
wire n_129;
wire n_141;
wire n_142;
wire n_146;
wire n_147;
wire n_148;
wire n_149;
wire n_154;
wire n_155;
wire n_158;
wire n_229;
wire n_326;
wire n_327;
wire n_328;
wire n_329;
wire n_330;
wire n_331;
wire n_332;
wire n_333;
wire n_334;
wire n_335;
wire n_336;
wire n_337;
wire n_338;
wire n_339;
wire n_340;
wire n_342;
wire n_343;
wire n_344;
wire n_345;
wire n_346;
wire n_347;
wire n_348;
wire n_349;
wire n_350;
wire n_351;
wire n_352;
wire n_353;
wire n_354;
wire n_355;
wire n_356;
wire n_357;
wire n_360;
wire n_363;
wire n_366;
wire n_370;
wire n_373;
wire n_374;
wire n_375;
wire n_376;
wire n_377;
wire n_378;
wire n_379;
wire n_380;
wire n_381;
wire n_382;
wire n_383;
wire n_384;
wire n_385;
wire n_386;
wire n_387;
wire n_388;
wire n_389;
wire n_390;
wire n_391;
wire n_392;
wire n_393;
wire n_394;
wire n_395;
wire n_396;
wire n_397;
wire n_398;
wire n_399;
wire n_400;
wire n_401;
wire n_402;
wire n_403;
wire n_591;
wire n_592;
wire n_593;
wire n_594;
wire n_595;
wire n_596;
wire n_597;
wire n_598;
wire n_599;
wire n_600;
wire n_601;
wire n_602;
wire n_603;
wire n_604;
wire n_605;
wire n_606;
wire n_607;
wire n_609;
wire n_610;
wire n_611;
wire n_612;
wire n_613;
wire n_614;
wire n_615;
wire n_616;
wire n_617;
wire n_652;
wire n_653;
wire n_654;
wire n_655;
wire n_692;
wire n_693;
wire n_694;
wire n_695;
wire n_696;
wire n_697;
wire n_698;
wire n_699;
wire n_700;
wire n_701;
wire n_702;
wire n_703;
wire n_704;
wire n_705;
wire n_706;
wire n_707;
wire n_708;
wire n_709;
wire n_710;
wire n_711;
wire n_712;
wire n_713;
wire n_714;
wire n_715;
wire n_716;
wire n_717;
wire n_718;
wire n_719;
wire n_720;
wire n_721;
wire n_722;
wire n_723;
wire n_724;
wire n_725;
wire n_726;
wire n_727;
wire n_728;
wire n_729;
wire n_730;
wire n_731;
wire n_732;
wire n_733;
wire n_734;
wire n_735;
wire n_736;
wire n_737;
wire n_738;
wire n_739;
wire n_740;
wire n_741;
wire n_742;
wire n_743;
wire n_744;
wire n_745;
wire n_746;
wire n_747;
wire n_748;
wire n_749;
wire n_750;
wire n_751;
wire n_752;
wire n_753;
wire n_754;
wire n_755;
wire n_756;
wire n_757;
wire n_758;
wire n_759;
wire n_760;
wire n_761;
wire n_762;
wire n_763;
wire n_764;
wire n_765;
wire n_766;
wire n_767;
wire n_768;
wire n_769;
wire n_770;
wire n_771;
wire n_772;
wire n_773;
wire n_774;
wire n_775;
wire n_776;
wire n_777;
wire n_778;
wire n_779;
wire n_780;
wire n_781;
wire n_782;
wire n_783;
wire n_784;
wire n_785;
wire n_786;
wire n_788;
wire n_790;
wire n_792;
wire n_794;
wire n_796;
wire n_798;
wire n_800;
wire n_802;
wire n_804;
wire n_806;
wire n_808;
wire n_810;
wire n_812;
wire n_814;
wire n_816;
wire n_817;
wire n_818;
wire n_820;
wire n_822;
wire n_824;
wire n_826;
wire n_828;
wire n_830;
wire n_832;
wire n_834;
wire n_836;
wire n_838;
wire n_840;
wire n_842;
wire n_844;
wire n_846;
wire n_848;
wire n_911;
wire n_912;
wire n_914;
wire n_916;
wire n_918;
wire n_920;
wire n_922;
wire n_924;
wire n_926;
wire n_928;
wire n_930;
wire n_932;
wire n_934;
wire n_936;
wire n_938;
wire n_940;
wire n_942;
wire n_944;
wire n_946;
wire n_948;
wire n_949;
wire n_950;
wire n_952;
wire n_954;
wire n_956;
wire n_958;
wire n_960;
wire n_962;
wire n_964;
wire n_966;
wire n_968;
wire n_970;
wire n_972;
wire n_974;
wire n_977;
wire n_979;
wire n_982;
wire n_983;
wire n_984;
wire n_985;
wire n_986;
wire n_987;
wire n_988;
wire n_989;
wire n_990;
wire n_991;
wire n_992;
wire n_994;
wire n_995;
wire n_996;
wire n_997;
wire n_998;
wire n_999;
wire n_1001;
wire n_1002;
wire n_1003;
wire n_1004;
wire n_1005;
wire n_1006;
wire n_1007;
wire n_1008;
wire n_1009;
wire n_1010;
wire n_1011;
wire n_1012;
wire n_1013;
wire n_1014;
wire n_1015;
wire n_1016;
wire n_1017;
wire n_1018;
wire n_1019;
wire n_1020;
wire n_1021;
wire n_1022;
wire n_1023;
wire n_1024;
wire n_1025;
wire n_1026;
wire n_1027;
wire n_1028;
wire n_1029;
wire n_1030;
wire n_1031;
wire n_1032;
wire n_1033;
wire n_1034;
wire n_1035;
wire n_1036;
wire n_1037;
wire n_1038;
wire n_1039;
wire n_1040;
wire n_1041;
wire n_1042;
wire n_1043;
wire n_1044;
wire n_1045;
wire n_1046;
wire n_1047;
wire n_1048;
wire n_1049;
wire n_1050;
wire n_1051;
wire n_1052;
wire n_1053;
wire n_1054;
wire n_1055;
wire n_1056;
wire n_1057;
wire n_1058;
wire n_1059;
wire n_1060;
wire n_1061;
wire n_1062;
wire n_1063;
wire n_1065;
wire n_1066;
add_unsigned_2823 add_81_22 (.A(op_a),
.B(op_b),
.Z({ n_784,
n_750,
n_745,
n_729,
n_735,
n_737,
n_747,
n_741,
n_731,
n_743,
n_727,
n_723,
n_739,
n_725,
n_733,
n_781,
n_779,
n_777,
n_775,
n_773,
n_771,
n_769,
n_767,
n_765,
n_763,
n_761,
n_759,
n_757,
n_755,
n_753,
n_751,
n_749 }));
increment_unsigned inc_add_54_27 (.A({ n_44,
n_617,
n_603,
n_616,
n_60,
n_602,
n_597,
n_615,
n_611,
n_607,
n_652,
n_653,
n_600,
n_596,
n_593,
n_614,
n_612,
n_610,
n_609,
n_606,
n_605,
n_654,
n_604,
n_655,
n_601,
n_599,
n_598,
n_595,
n_594,
n_592,
n_591,
n_613 }),
.CI(1'b1),
.Z({ UNCONNECTED,
n_783,
n_785,
n_746,
n_730,
n_736,
n_738,
n_748,
n_742,
n_732,
n_744,
n_728,
n_724,
n_740,
n_726,
n_734,
n_782,
n_780,
n_778,
n_776,
n_774,
n_772,
n_770,
n_768,
n_766,
n_764,
n_762,
n_760,
n_758,
n_756,
n_754,
n_752,
UNCONNECTED0 }));
sub_unsigned_2825 sub_84_22 (.A(op_a),
.B(op_b),
.Z({ n_786,
n_706,
n_704,
n_703,
n_702,
n_701,
n_700,
n_699,
n_698,
n_697,
n_696,
n_695,
n_694,
n_693,
n_692,
n_722,
n_721,
n_720,
n_719,
n_718,
n_717,
n_716,
n_715,
n_714,
n_713,
n_712,
n_711,
n_710,
n_709,
n_708,
n_707,
n_705 }));
CLKINVX2 g1410 (.Y(n_613),
.A(op_a[0]));
CLKINVX2 g1411 (.Y(n_591),
.A(op_a[1]));
CLKINVX2 g1412 (.Y(n_592),
.A(op_a[2]));
CLKINVX2 g1413 (.Y(n_594),
.A(op_a[3]));
CLKINVX2 g1414 (.Y(n_595),
.A(op_a[4]));
CLKINVX2 g1415 (.Y(n_598),
.A(op_a[5]));
CLKINVX2 g1416 (.Y(n_599),
.A(op_a[6]));
CLKINVX2 g1417 (.Y(n_601),
.A(op_a[7]));
CLKINVX2 g1418 (.Y(n_655),
.A(op_a[8]));
CLKINVX2 g1419 (.Y(n_604),
.A(op_a[9]));
CLKINVX2 g1420 (.Y(n_654),
.A(op_a[10]));
CLKINVX2 g1421 (.Y(n_605),
.A(op_a[11]));
CLKINVX2 g1422 (.Y(n_606),
.A(op_a[12]));
CLKINVX2 g1423 (.Y(n_609),
.A(op_a[13]));
CLKINVX2 g1424 (.Y(n_610),
.A(op_a[14]));
CLKINVX2 g1425 (.Y(n_612),
.A(op_a[15]));
CLKINVX2 g1426 (.Y(n_614),
.A(op_a[16]));
CLKINVX2 g1427 (.Y(n_593),
.A(op_a[17]));
CLKINVX2 g1428 (.Y(n_596),
.A(op_a[18]));
CLKINVX2 g1429 (.Y(n_600),
.A(op_a[19]));
CLKINVX2 g1430 (.Y(n_653),
.A(op_a[20]));
CLKINVX2 g1431 (.Y(n_652),
.A(op_a[21]));
CLKINVX2 g1432 (.Y(n_607),
.A(op_a[22]));
CLKINVX2 g1433 (.Y(n_611),
.A(op_a[23]));
CLKINVX2 g1434 (.Y(n_615),
.A(op_a[24]));
CLKINVX2 g1435 (.Y(n_597),
.A(op_a[25]));
CLKINVX2 g1436 (.Y(n_602),
.A(op_a[26]));
CLKINVX2 g1437 (.Y(n_60),
.A(op_a[27]));
CLKINVX2 g1438 (.Y(n_616),
.A(op_a[28]));
CLKINVX2 g1439 (.Y(n_603),
.A(op_a[29]));
CLKINVX2 g1440 (.Y(n_617),
.A(op_a[30]));
AND2X1 g5985 (.Y(n_403),
.B(n_370),
.A(n_741));
AND2X1 g5986 (.Y(n_402),
.B(n_370),
.A(n_769));
AND2X1 g5987 (.Y(n_401),
.B(n_370),
.A(n_767));
AND2X1 g5988 (.Y(n_400),
.B(n_370),
.A(n_731));
AND2X1 g5989 (.Y(n_399),
.B(n_370),
.A(n_750));
AND2X1 g5990 (.Y(n_398),
.B(n_370),
.A(n_765));
AND2X1 g5991 (.Y(n_397),
.B(n_370),
.A(n_763));
AND2X1 g5992 (.Y(n_396),
.B(n_370),
.A(n_743));
AND2X1 g5993 (.Y(n_395),
.B(n_370),
.A(n_761));
AND2X1 g5994 (.Y(n_394),
.B(n_370),
.A(n_759));
AND2X1 g5995 (.Y(n_393),
.B(n_370),
.A(n_727));
AND2X1 g5996 (.Y(n_392),
.B(n_370),
.A(n_757));
AND2X1 g5997 (.Y(n_391),
.B(n_370),
.A(n_723));
AND2X1 g5998 (.Y(n_390),
.B(n_370),
.A(n_755));
AND2X1 g5999 (.Y(n_389),
.B(n_370),
.A(n_745));
AND2X1 g6000 (.Y(n_388),
.B(n_370),
.A(n_753));
AND2X1 g6001 (.Y(n_387),
.B(n_370),
.A(n_751));
AND2X1 g6002 (.Y(n_386),
.B(n_370),
.A(n_739));
AND2X1 g6003 (.Y(n_385),
.B(n_370),
.A(n_749));
AND2X1 g6004 (.Y(n_384),
.B(n_370),
.A(n_729));
AND2X1 g6005 (.Y(n_383),
.B(n_370),
.A(n_725));
AND2X1 g6006 (.Y(n_382),
.B(n_370),
.A(n_735));
AND2X1 g6007 (.Y(n_381),
.B(n_370),
.A(n_733));
AND2X1 g6008 (.Y(n_380),
.B(n_370),
.A(n_781));
AND2X1 g6009 (.Y(n_379),
.B(n_370),
.A(n_779));
AND2X1 g6010 (.Y(n_378),
.B(n_370),
.A(n_737));
AND2X1 g6011 (.Y(n_377),
.B(n_370),
.A(n_777));
AND2X1 g6012 (.Y(n_376),
.B(n_370),
.A(n_775));
AND2X1 g6013 (.Y(n_375),
.B(n_370),
.A(n_747));
AND2X1 g6014 (.Y(n_374),
.B(n_370),
.A(n_773));
AND2X1 g6015 (.Y(n_373),
.B(n_370),
.A(n_771));
AND2X2 g6019 (.Y(n_370),
.B(n_37),
.A(n_149));
CLKINVX2 g6023 (.Y(n_366),
.A(n_37));
AND2X1 g6029 (.Y(n_363),
.B(n_35),
.A(n_44));
CLKINVX4 g6032 (.Y(n_360),
.A(n_1065));
AND2X1 g6036 (.Y(n_357),
.B(n_326),
.A(n_770));
AND2X1 g6037 (.Y(n_356),
.B(n_326),
.A(n_768));
AND2X1 g6038 (.Y(n_355),
.B(n_326),
.A(n_732));
AND2X1 g6039 (.Y(n_354),
.B(n_326),
.A(n_785));
AND2X1 g6040 (.Y(n_353),
.B(n_326),
.A(n_766));
AND2X1 g6041 (.Y(n_352),
.B(n_326),
.A(n_764));
AND2X1 g6042 (.Y(n_351),
.B(n_326),
.A(n_744));
AND2X1 g6043 (.Y(n_350),
.B(n_326),
.A(n_762));
AND2X1 g6044 (.Y(n_349),
.B(n_326),
.A(n_760));
AND2X1 g6045 (.Y(n_348),
.B(n_326),
.A(n_728));
AND2X1 g6046 (.Y(n_347),
.B(n_326),
.A(n_746));
AND2X1 g6047 (.Y(n_346),
.B(n_326),
.A(n_756));
AND2X1 g6049 (.Y(n_345),
.B(n_326),
.A(n_724));
AND2X1 g6050 (.Y(n_344),
.B(n_326),
.A(n_758));
AND2X1 g6051 (.Y(n_343),
.B(n_326),
.A(n_754));
AND2X1 g6052 (.Y(n_342),
.B(n_326),
.A(n_740));
AND2X1 g6054 (.Y(n_340),
.B(n_326),
.A(n_730));
AND2X1 g6055 (.Y(n_339),
.B(n_326),
.A(n_726));
AND2X1 g6056 (.Y(n_338),
.B(n_326),
.A(n_734));
AND2X1 g6057 (.Y(n_337),
.B(n_326),
.A(n_736));
AND2X1 g6058 (.Y(n_336),
.B(n_326),
.A(n_782));
AND2X1 g6059 (.Y(n_335),
.B(n_326),
.A(n_780));
AND2X1 g6060 (.Y(n_334),
.B(n_326),
.A(n_752));
AND2X1 g6061 (.Y(n_333),
.B(n_326),
.A(n_738));
AND2X1 g6062 (.Y(n_332),
.B(n_326),
.A(n_778));
AND2X1 g6063 (.Y(n_331),
.B(n_326),
.A(n_776));
AND2X1 g6064 (.Y(n_330),
.B(n_326),
.A(n_748));
AND2X1 g6065 (.Y(n_329),
.B(n_326),
.A(n_774));
AND2X1 g6066 (.Y(n_328),
.B(n_326),
.A(n_772));
AND2X1 g6067 (.Y(n_327),
.B(n_326),
.A(n_742));
CLKINVX8 g6167 (.Y(n_229),
.A(n_996));
OR2X2 g6260 (.Y(n_158),
.B(n_146),
.A(n_109));
OR2X1 g6275 (.Y(n_155),
.B(op_b[31]),
.A(n_147));
OR2X1 g6276 (.Y(n_154),
.B(n_10),
.A(n_72));
CLKINVX2 g6282 (.Y(n_148),
.A(n_149));
AND2X2 g6283 (.Y(n_149),
.B(n_142),
.A(n_72));
CLKINVX8 g6284 (.Y(n_146),
.A(n_147));
OR2X2 g6285 (.Y(n_147),
.B(n_129),
.A(cmd[0]));
CLKINVX1 g6289 (.Y(n_142),
.A(n_141));
OR2X2 g6290 (.Y(n_141),
.B(cmd[2]),
.A(cmd[1]));
OR2X2 g6303 (.Y(n_129),
.B(n_61),
.A(cmd[1]));
CLKINVX1 g6322 (.Y(n_110),
.A(n_109));
AND2X1 g6323 (.Y(n_109),
.B(cmd[1]),
.A(cmd[0]));
AND2X1 g6340 (.Y(n_92),
.B(n_617),
.A(ovm));
CLKINVX1 g6361 (.Y(n_72),
.A(cmd[0]));
CLKINVX1 g6377 (.Y(n_63),
.A(cmd[1]));
CLKINVX1 g6382 (.Y(n_61),
.A(cmd[2]));
CLKINVX1 g6400 (.Y(n_53),
.A(op_b[31]));
CLKINVX3 g6415 (.Y(n_44),
.A(op_a[31]));
NAND2X1 g2 (.Y(n_37),
.B(result[32]),
.A(ovm));
NOR2BX4 g6431 (.Y(n_35),
.B(n_1002),
.AN(n_360));
NAND2BX1 g6456 (.Y(n_10),
.B(n_786),
.AN(n_141));
NAND2BX1 g6465 (.Y(n_1),
.B(n_784),
.AN(n_148));
MXI2X1 g3 (.Y(n_788),
.S0(op_b[23]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6469 (.Y(n_790),
.S0(op_b[9]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6471 (.Y(n_792),
.S0(op_b[8]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6473 (.Y(n_794),
.S0(op_b[22]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6475 (.Y(n_796),
.S0(op_b[7]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6477 (.Y(n_798),
.S0(op_b[6]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6479 (.Y(n_800),
.S0(op_b[19]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6481 (.Y(n_802),
.S0(op_b[29]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6483 (.Y(n_804),
.S0(op_b[21]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6485 (.Y(n_806),
.S0(op_b[5]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6487 (.Y(n_808),
.S0(op_b[20]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6489 (.Y(n_810),
.S0(op_b[3]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6491 (.Y(n_812),
.S0(op_b[28]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6493 (.Y(n_814),
.S0(op_b[1]),
.B(cmd[1]),
.A(n_146));
NAND2BX4 g6494 (.Y(n_817),
.B(n_816),
.AN(n_229));
MXI2X1 g6495 (.Y(n_816),
.S0(op_b[0]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6497 (.Y(n_818),
.S0(op_b[18]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6499 (.Y(n_820),
.S0(op_b[27]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6501 (.Y(n_822),
.S0(op_b[17]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6503 (.Y(n_824),
.S0(op_b[16]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6505 (.Y(n_826),
.S0(op_b[26]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6507 (.Y(n_828),
.S0(op_b[15]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6509 (.Y(n_830),
.S0(op_b[14]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6511 (.Y(n_832),
.S0(op_b[2]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6513 (.Y(n_834),
.S0(op_b[25]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6515 (.Y(n_836),
.S0(op_b[13]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6517 (.Y(n_838),
.S0(op_b[12]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6519 (.Y(n_840),
.S0(op_b[4]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6521 (.Y(n_842),
.S0(op_b[24]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6523 (.Y(n_844),
.S0(op_b[11]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6525 (.Y(n_846),
.S0(op_b[30]),
.B(cmd[1]),
.A(n_146));
MXI2X1 g6527 (.Y(n_848),
.S0(op_b[10]),
.B(cmd[1]),
.A(n_146));
OR4X8 g6528 (.Y(result[30]),
.D(n_1053),
.C(n_399),
.B(n_354),
.A(n_911));
OR4X8 g6529 (.Y(result[29]),
.D(n_1019),
.C(n_389),
.B(n_347),
.A(n_911));
OR4X8 g6530 (.Y(result[23]),
.D(n_1005),
.C(n_400),
.B(n_355),
.A(n_911));
OR4X8 g6531 (.Y(result[22]),
.D(n_1011),
.C(n_396),
.B(n_351),
.A(n_911));
OR4X8 g6532 (.Y(result[9]),
.D(n_1007),
.C(n_401),
.B(n_356),
.A(n_911));
OR4X8 g6533 (.Y(result[8]),
.D(n_1009),
.C(n_398),
.B(n_353),
.A(n_911));
OR4X8 g6534 (.Y(result[7]),
.D(n_1013),
.C(n_397),
.B(n_352),
.A(n_911));
OR4X8 g6535 (.Y(result[21]),
.D(n_1017),
.C(n_393),
.B(n_348),
.A(n_911));
OR4X8 g6536 (.Y(result[6]),
.D(n_1015),
.C(n_395),
.B(n_350),
.A(n_911));
OR4X8 g6537 (.Y(result[5]),
.D(n_1021),
.C(n_394),
.B(n_349),
.A(n_911));
OR4X8 g6538 (.Y(result[20]),
.D(n_1025),
.C(n_391),
.B(n_345),
.A(n_911));
OR4X8 g6539 (.Y(result[4]),
.D(n_1023),
.C(n_392),
.B(n_344),
.A(n_911));
OR4X8 g6540 (.Y(result[3]),
.D(n_1027),
.C(n_390),
.B(n_346),
.A(n_911));
OR4X8 g6541 (.Y(result[28]),
.D(n_1031),
.C(n_384),
.B(n_340),
.A(n_911));
OR4X8 g6542 (.Y(result[19]),
.D(n_1033),
.C(n_386),
.B(n_342),
.A(n_911));
OR4X8 g6543 (.Y(result[2]),
.D(n_1029),
.C(n_388),
.B(n_343),
.A(n_911));
OR4X8 g6544 (.Y(result[1]),
.D(n_1035),
.C(n_387),
.B(n_334),
.A(n_911));
OR4X8 g6545 (.Y(result[27]),
.D(n_1039),
.C(n_382),
.B(n_337),
.A(n_911));
OR4X8 g6546 (.Y(result[18]),
.D(n_1037),
.C(n_383),
.B(n_339),
.A(n_911));
OR4X8 g6547 (.Y(result[17]),
.D(n_1041),
.C(n_381),
.B(n_338),
.A(n_911));
OR4X8 g6548 (.Y(result[26]),
.D(n_1045),
.C(n_378),
.B(n_333),
.A(n_911));
OR4X8 g6549 (.Y(result[25]),
.D(n_1051),
.C(n_375),
.B(n_330),
.A(n_911));
OR4X8 g6550 (.Y(result[16]),
.D(n_1043),
.C(n_380),
.B(n_336),
.A(n_911));
OR4X8 g6551 (.Y(result[15]),
.D(n_1047),
.C(n_379),
.B(n_335),
.A(n_911));
OR4X8 g6552 (.Y(result[14]),
.D(n_1049),
.C(n_377),
.B(n_332),
.A(n_911));
OR4X8 g6553 (.Y(result[24]),
.D(n_1059),
.C(n_403),
.B(n_327),
.A(n_911));
OR4X8 g6554 (.Y(result[13]),
.D(n_1055),
.C(n_376),
.B(n_331),
.A(n_911));
OR4X8 g6555 (.Y(result[12]),
.D(n_1057),
.C(n_374),
.B(n_329),
.A(n_911));
OR4X8 g6556 (.Y(result[11]),
.D(n_1061),
.C(n_373),
.B(n_328),
.A(n_911));
OR4X8 g6557 (.Y(result[10]),
.D(n_1063),
.C(n_402),
.B(n_357),
.A(n_911));
OR4X8 g6558 (.Y(result[0]),
.D(n_949),
.C(n_385),
.B(n_979),
.A(n_911));
AO21X4 g6589 (.Y(n_911),
.B0(n_983),
.A1(n_366),
.A0(n_360));
AOI32X4 g6591 (.Y(n_912),
.B1(n_974),
.B0(n_716),
.A2(op_b[10]),
.A1(n_654),
.A0(n_158));
AOI32X4 g6593 (.Y(n_914),
.B1(n_974),
.B0(n_715),
.A2(op_b[9]),
.A1(n_604),
.A0(n_158));
AOI32X4 g6595 (.Y(n_916),
.B1(n_974),
.B0(n_698),
.A2(op_b[23]),
.A1(n_611),
.A0(n_158));
AOI32X4 g6597 (.Y(n_918),
.B1(n_974),
.B0(n_714),
.A2(op_b[8]),
.A1(n_655),
.A0(n_158));
AOI32X4 g6599 (.Y(n_920),
.B1(n_974),
.B0(n_697),
.A2(op_b[22]),
.A1(n_607),
.A0(n_158));
AOI32X4 g6601 (.Y(n_922),
.B1(n_974),
.B0(n_713),
.A2(op_b[7]),
.A1(n_601),
.A0(n_158));
AOI32X4 g6603 (.Y(n_924),
.B1(n_974),
.B0(n_712),
.A2(op_b[6]),
.A1(n_599),
.A0(n_158));
AOI32X4 g6605 (.Y(n_926),
.B1(n_974),
.B0(n_706),
.A2(n_617),
.A1(op_b[30]),
.A0(n_158));
AOI32X4 g6607 (.Y(n_928),
.B1(n_974),
.B0(n_711),
.A2(op_b[5]),
.A1(n_598),
.A0(n_158));
AOI32X4 g6609 (.Y(n_930),
.B1(n_974),
.B0(n_696),
.A2(op_b[21]),
.A1(n_652),
.A0(n_158));
AOI32X4 g6611 (.Y(n_932),
.B1(n_974),
.B0(n_710),
.A2(op_b[4]),
.A1(n_595),
.A0(n_158));
AOI32X4 g6613 (.Y(n_934),
.B1(n_974),
.B0(n_704),
.A2(op_b[29]),
.A1(n_603),
.A0(n_158));
AOI32X4 g6615 (.Y(n_936),
.B1(n_974),
.B0(n_695),
.A2(op_b[20]),
.A1(n_653),
.A0(n_158));
AOI32X4 g6617 (.Y(n_938),
.B1(n_974),
.B0(n_709),
.A2(op_b[3]),
.A1(n_594),
.A0(n_158));
AOI32X4 g6619 (.Y(n_940),
.B1(n_974),
.B0(n_708),
.A2(op_b[2]),
.A1(n_592),
.A0(n_158));
AOI32X4 g6621 (.Y(n_942),
.B1(n_974),
.B0(n_703),
.A2(op_b[28]),
.A1(n_616),
.A0(n_158));
AOI32X4 g6623 (.Y(n_944),
.B1(n_974),
.B0(n_694),
.A2(op_b[19]),
.A1(n_600),
.A0(n_158));
AOI32X4 g6625 (.Y(n_946),
.B1(n_974),
.B0(n_707),
.A2(op_b[1]),
.A1(n_591),
.A0(n_158));
INVXL g6626 (.Y(n_949),
.A(n_948));
AOI32X4 g6627 (.Y(n_948),
.B1(n_974),
.B0(n_705),
.A2(op_b[0]),
.A1(n_613),
.A0(n_158));
AOI32X4 g6629 (.Y(n_950),
.B1(n_974),
.B0(n_693),
.A2(op_b[18]),
.A1(n_596),
.A0(n_158));
AOI32X4 g6631 (.Y(n_952),
.B1(n_974),
.B0(n_702),
.A2(op_b[27]),
.A1(n_60),
.A0(n_158));
AOI32X4 g6633 (.Y(n_954),
.B1(n_974),
.B0(n_692),
.A2(op_b[17]),
.A1(n_593),
.A0(n_158));
AOI32X4 g6635 (.Y(n_956),
.B1(n_974),
.B0(n_722),
.A2(op_b[16]),
.A1(n_614),
.A0(n_158));
AOI32X4 g6637 (.Y(n_958),
.B1(n_974),
.B0(n_721),
.A2(op_b[15]),
.A1(n_612),
.A0(n_158));
AOI32X4 g6639 (.Y(n_960),
.B1(n_974),
.B0(n_720),
.A2(op_b[14]),
.A1(n_610),
.A0(n_158));
AOI32X4 g6641 (.Y(n_962),
.B1(n_974),
.B0(n_701),
.A2(op_b[26]),
.A1(n_602),
.A0(n_158));
AOI32X4 g6643 (.Y(n_964),
.B1(n_974),
.B0(n_719),
.A2(op_b[13]),
.A1(n_609),
.A0(n_158));
AOI32X4 g6645 (.Y(n_966),
.B1(n_974),
.B0(n_700),
.A2(op_b[25]),
.A1(n_597),
.A0(n_158));
AOI32X4 g6647 (.Y(n_968),
.B1(n_974),
.B0(n_718),
.A2(op_b[12]),
.A1(n_606),
.A0(n_158));
AOI32X4 g6649 (.Y(n_970),
.B1(n_974),
.B0(n_717),
.A2(op_b[11]),
.A1(n_605),
.A0(n_158));
AOI32X4 g6651 (.Y(n_972),
.B1(n_974),
.B0(n_699),
.A2(op_b[24]),
.A1(n_615),
.A0(n_158));
AND3X6 g6652 (.Y(n_974),
.C(n_37),
.B(n_142),
.A(cmd[0]));
AO22X4 g6653 (.Y(result[31]),
.B1(n_366),
.B0(n_1065),
.A1(n_37),
.A0(n_360));
OA21X4 g6654 (.Y(result[32]),
.B0(n_142),
.A1(n_977),
.A0(n_363));
AND3X6 g6655 (.Y(n_977),
.C(n_1065),
.B(n_1002),
.A(op_a[31]));
OA21X4 g6657 (.Y(n_979),
.B0(op_a[0]),
.A1(n_326),
.A0(n_817));
NOR4BX4 g6659 (.Y(n_326),
.D(n_983),
.C(n_61),
.B(n_158),
.AN(n_1001));
INVXL g6660 (.Y(n_983),
.A(n_982));
NAND4BX4 g6661 (.Y(n_982),
.D(n_984),
.C(op_a[31]),
.B(cmd[0]),
.AN(n_129));
AND4X6 g6662 (.Y(n_984),
.D(n_985),
.C(n_592),
.B(n_591),
.A(n_613));
AND4X6 g6663 (.Y(n_985),
.D(n_986),
.C(n_598),
.B(n_595),
.A(n_594));
AND4X6 g6664 (.Y(n_986),
.D(n_987),
.C(n_655),
.B(n_601),
.A(n_599));
AND4X6 g6665 (.Y(n_987),
.D(n_988),
.C(n_605),
.B(n_654),
.A(n_604));
AND4X6 g6666 (.Y(n_988),
.D(n_989),
.C(n_610),
.B(n_609),
.A(n_606));
AND4X6 g6667 (.Y(n_989),
.D(n_990),
.C(n_593),
.B(n_614),
.A(n_612));
AND4X6 g6668 (.Y(n_990),
.D(n_991),
.C(n_653),
.B(n_600),
.A(n_596));
AND4X6 g6669 (.Y(n_991),
.D(n_994),
.C(n_611),
.B(n_607),
.A(n_652));
OAI211X4 g6671 (.Y(n_992),
.C0(n_154),
.B0(n_995),
.A1(n_998),
.A0(n_53));
AND4X6 g6672 (.Y(n_994),
.D(n_999),
.C(n_602),
.B(n_597),
.A(n_615));
AO21X4 g6673 (.Y(n_995),
.B0(n_44),
.A1(n_996),
.A0(n_155));
OA21X4 g6674 (.Y(n_996),
.B0(n_1001),
.A1(n_110),
.A0(cmd[2]));
INVXL g6675 (.Y(n_998),
.A(n_997));
OAI221X4 g6676 (.Y(n_997),
.C0(n_110),
.B1(op_a[31]),
.B0(n_147),
.A1(n_44),
.A0(n_63));
AND4X6 g6677 (.Y(n_999),
.D(n_92),
.C(n_603),
.B(n_616),
.A(n_60));
INVXL g6678 (.Y(n_1001),
.A(n_1003));
AO22X4 g6680 (.Y(n_1002),
.B1(op_b[31]),
.B0(n_149),
.A1(n_53),
.A0(n_148));
OAI33X4 g6681 (.Y(n_1003),
.B2(op_a[31]),
.B1(n_129),
.B0(n_72),
.A2(n_61),
.A1(cmd[0]),
.A0(n_63));
OAI2BB1X4 g6682 (.Y(n_1005),
.B0(n_916),
.A1N(op_a[23]),
.A0N(n_1004));
NAND2BX4 g6683 (.Y(n_1004),
.B(n_788),
.AN(n_229));
OAI2BB1X4 g6684 (.Y(n_1007),
.B0(n_914),
.A1N(op_a[9]),
.A0N(n_1006));
NAND2BX4 g6685 (.Y(n_1006),
.B(n_790),
.AN(n_229));
OAI2BB1X4 g6686 (.Y(n_1009),
.B0(n_918),
.A1N(op_a[8]),
.A0N(n_1008));
NAND2BX4 g6687 (.Y(n_1008),
.B(n_792),
.AN(n_229));
OAI2BB1X4 g6688 (.Y(n_1011),
.B0(n_920),
.A1N(op_a[22]),
.A0N(n_1010));
NAND2BX4 g6689 (.Y(n_1010),
.B(n_794),
.AN(n_229));
OAI2BB1X4 g6690 (.Y(n_1013),
.B0(n_922),
.A1N(op_a[7]),
.A0N(n_1012));
NAND2BX4 g6691 (.Y(n_1012),
.B(n_796),
.AN(n_229));
OAI2BB1X4 g6692 (.Y(n_1015),
.B0(n_924),
.A1N(op_a[6]),
.A0N(n_1014));
NAND2BX4 g6693 (.Y(n_1014),
.B(n_798),
.AN(n_229));
OAI2BB1X4 g6694 (.Y(n_1017),
.B0(n_930),
.A1N(op_a[21]),
.A0N(n_1016));
NAND2BX4 g6695 (.Y(n_1016),
.B(n_804),
.AN(n_229));
OAI2BB1X4 g6696 (.Y(n_1019),
.B0(n_934),
.A1N(op_a[29]),
.A0N(n_1018));
NAND2BX4 g6697 (.Y(n_1018),
.B(n_802),
.AN(n_229));
OAI2BB1X4 g6698 (.Y(n_1021),
.B0(n_928),
.A1N(op_a[5]),
.A0N(n_1020));
NAND2BX4 g6699 (.Y(n_1020),
.B(n_806),
.AN(n_229));
OAI2BB1X4 g6700 (.Y(n_1023),
.B0(n_932),
.A1N(op_a[4]),
.A0N(n_1022));
NAND2BX4 g6701 (.Y(n_1022),
.B(n_840),
.AN(n_229));
OAI2BB1X4 g6702 (.Y(n_1025),
.B0(n_936),
.A1N(op_a[20]),
.A0N(n_1024));
NAND2BX4 g6703 (.Y(n_1024),
.B(n_808),
.AN(n_229));
OAI2BB1X4 g6704 (.Y(n_1027),
.B0(n_938),
.A1N(op_a[3]),
.A0N(n_1026));
NAND2BX4 g6705 (.Y(n_1026),
.B(n_810),
.AN(n_229));
OAI2BB1X4 g6706 (.Y(n_1029),
.B0(n_940),
.A1N(op_a[2]),
.A0N(n_1028));
NAND2BX4 g6707 (.Y(n_1028),
.B(n_832),
.AN(n_229));
OAI2BB1X4 g6708 (.Y(n_1031),
.B0(n_942),
.A1N(op_a[28]),
.A0N(n_1030));
NAND2BX4 g6709 (.Y(n_1030),
.B(n_812),
.AN(n_229));
OAI2BB1X4 g6710 (.Y(n_1033),
.B0(n_944),
.A1N(op_a[19]),
.A0N(n_1032));
NAND2BX4 g6711 (.Y(n_1032),
.B(n_800),
.AN(n_229));
OAI2BB1X4 g6712 (.Y(n_1035),
.B0(n_946),
.A1N(op_a[1]),
.A0N(n_1034));
NAND2BX4 g6713 (.Y(n_1034),
.B(n_814),
.AN(n_229));
OAI2BB1X4 g6714 (.Y(n_1037),
.B0(n_950),
.A1N(op_a[18]),
.A0N(n_1036));
NAND2BX4 g6715 (.Y(n_1036),
.B(n_818),
.AN(n_229));
OAI2BB1X4 g6716 (.Y(n_1039),
.B0(n_952),
.A1N(op_a[27]),
.A0N(n_1038));
NAND2BX4 g6717 (.Y(n_1038),
.B(n_820),
.AN(n_229));
OAI2BB1X4 g6718 (.Y(n_1041),
.B0(n_954),
.A1N(op_a[17]),
.A0N(n_1040));
NAND2BX4 g6719 (.Y(n_1040),
.B(n_822),
.AN(n_229));
OAI2BB1X4 g6720 (.Y(n_1043),
.B0(n_956),
.A1N(op_a[16]),
.A0N(n_1042));
NAND2BX4 g6721 (.Y(n_1042),
.B(n_824),
.AN(n_229));
OAI2BB1X4 g6722 (.Y(n_1045),
.B0(n_962),
.A1N(op_a[26]),
.A0N(n_1044));
NAND2BX4 g6723 (.Y(n_1044),
.B(n_826),
.AN(n_229));
OAI2BB1X4 g6724 (.Y(n_1047),
.B0(n_958),
.A1N(op_a[15]),
.A0N(n_1046));
NAND2BX4 g6725 (.Y(n_1046),
.B(n_828),
.AN(n_229));
OAI2BB1X4 g6726 (.Y(n_1049),
.B0(n_960),
.A1N(op_a[14]),
.A0N(n_1048));
NAND2BX4 g6727 (.Y(n_1048),
.B(n_830),
.AN(n_229));
OAI2BB1X4 g6728 (.Y(n_1051),
.B0(n_966),
.A1N(op_a[25]),
.A0N(n_1050));
NAND2BX4 g6729 (.Y(n_1050),
.B(n_834),
.AN(n_229));
OAI2BB1X4 g6730 (.Y(n_1053),
.B0(n_926),
.A1N(op_a[30]),
.A0N(n_1052));
NAND2BX4 g6731 (.Y(n_1052),
.B(n_846),
.AN(n_229));
OAI2BB1X4 g6732 (.Y(n_1055),
.B0(n_964),
.A1N(op_a[13]),
.A0N(n_1054));
NAND2BX4 g6733 (.Y(n_1054),
.B(n_836),
.AN(n_229));
OAI2BB1X4 g6734 (.Y(n_1057),
.B0(n_968),
.A1N(op_a[12]),
.A0N(n_1056));
NAND2BX4 g6735 (.Y(n_1056),
.B(n_838),
.AN(n_229));
OAI2BB1X4 g6736 (.Y(n_1059),
.B0(n_972),
.A1N(op_a[24]),
.A0N(n_1058));
NAND2BX4 g6737 (.Y(n_1058),
.B(n_842),
.AN(n_229));
OAI2BB1X4 g6738 (.Y(n_1061),
.B0(n_970),
.A1N(op_a[11]),
.A0N(n_1060));
NAND2BX4 g6739 (.Y(n_1060),
.B(n_844),
.AN(n_229));
OAI2BB1X4 g6740 (.Y(n_1063),
.B0(n_912),
.A1N(op_a[10]),
.A0N(n_1062));
NAND2BX4 g6741 (.Y(n_1062),
.B(n_848),
.AN(n_229));
NOR3BX4 g6742 (.Y(n_1065),
.C(n_992),
.B(n_1066),
.AN(n_1));
CLKAND2X12 g6744 (.Y(n_1066),
.B(n_326),
.A(n_783));
endmodule
module CKLNQD1BWP_SPCA_8 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_8 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module SDFCNQD1BWP_SPCA_82 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_82 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_83 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_1 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_83 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_84 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_2 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_84 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_85 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_3 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_85 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_86 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_4 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_86 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_87 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_5 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_87 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_88 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_6 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_88 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_89 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_7 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_89 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_90 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_8 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_90 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_91 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_9 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_91 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_92 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_10 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_92 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_93 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_11 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_93 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_94 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_12 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_94 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_95 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_13 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_95 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_96 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_14 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_96 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_97 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_15 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_97 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_98 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_16 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_98 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_99 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_17 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_99 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_100 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_18 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_100 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_101 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_19 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_101 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_102 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_20 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_102 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_103 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_21 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_103 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_104 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_22 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_104 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module data_bus_mach (
clk,
reset,
read,
write,
write_h,
address,
data_in,
data_out,
pad_data_in,
pad_data_out,
addrs_in,
read_cycle,
sync,
go,
as,
done,
bus_request,
bus_grant,
RC_CG_TEST_PORT,
SRPG_PG_in,
SRPG_PG_in_1,
DFT_sdi,
DFT_sen);
input clk;
input reset;
output read;
output write;
output write_h;
output [7:0] address;
input [15:0] data_in;
output [15:0] data_out;
input [15:0] pad_data_in;
output [15:0] pad_data_out;
input [7:0] addrs_in;
input read_cycle;
input sync;
input go;
output as;
output done;
output bus_request;
input bus_grant;
input RC_CG_TEST_PORT;
input SRPG_PG_in;
input SRPG_PG_in_1;
input DFT_sdi;
input DFT_sen;
// Internal wires
wire n_0;
wire n_1;
wire n_2;
wire n_3;
wire n_6;
wire n_10;
wire n_11;
wire n_12;
wire n_14;
wire n_19;
wire n_66;
wire n_99;
wire n_100;
wire n_101;
wire n_102;
wire n_103;
wire n_104;
wire n_105;
wire \present_state[0] ;
wire \present_state[1] ;
wire \present_state[2] ;
wire rc_gclk;
RC_CG_MOD_3291 RC_CG_HIER_INST18 (.enable(n_104),
.ck_in(clk),
.ck_out(rc_gclk),
.test(RC_CG_TEST_PORT));
RSDFCSRHD2BWP_REMAP as_reg (.CDN(n_19),
.SDN(1'b1),
.CP(clk),
.D(n_99),
.SI(DFT_sdi),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(as));
RSDFCSRHD2BWP_REMAP_SPC_1 bus_request_reg (.CDN(n_19),
.SDN(1'b1),
.CP(clk),
.D(n_99),
.SI(as),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(bus_request));
RSDFCSRHD2BWP_REMAP_SPC_2 \data_out_reg[0] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[0]),
.SI(bus_request),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[0]));
RSDFCSRHD2BWP_REMAP_SPC_3 \data_out_reg[10] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[10]),
.SI(data_out[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[10]));
RSDFCSRHD2BWP_REMAP_SPC_4 \data_out_reg[11] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[11]),
.SI(data_out[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[11]));
RSDFCSRHD2BWP_REMAP_SPC_5 \data_out_reg[12] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[12]),
.SI(data_out[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[12]));
RSDFCSRHD2BWP_REMAP_SPC_6 \data_out_reg[13] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[13]),
.SI(data_out[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[13]));
RSDFCSRHD2BWP_REMAP_SPC_7 \data_out_reg[14] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[14]),
.SI(data_out[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[14]));
RSDFCSRHD2BWP_REMAP_SPC_8 \data_out_reg[15] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[15]),
.SI(data_out[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[15]));
RSDFCSRHD2BWP_REMAP_SPC_9 \data_out_reg[1] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[1]),
.SI(data_out[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[1]));
RSDFCSRHD2BWP_REMAP_SPC_10 \data_out_reg[2] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[2]),
.SI(data_out[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[2]));
RSDFCSRHD2BWP_REMAP_SPC_11 \data_out_reg[3] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[3]),
.SI(data_out[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[3]));
RSDFCSRHD2BWP_REMAP_SPC_12 \data_out_reg[4] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[4]),
.SI(data_out[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[4]));
RSDFCSRHD2BWP_REMAP_SPC_13 \data_out_reg[5] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[5]),
.SI(data_out[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[5]));
RSDFCSRHD2BWP_REMAP_SPC_14 \data_out_reg[6] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[6]),
.SI(data_out[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[6]));
RSDFCSRHD2BWP_REMAP_SPC_15 \data_out_reg[7] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[7]),
.SI(data_out[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[7]));
RSDFCSRHD2BWP_REMAP_SPC_16 \data_out_reg[8] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[8]),
.SI(data_out[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[8]));
RSDFCSRHD2BWP_REMAP_SPC_17 \data_out_reg[9] (.CDN(n_19),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[9]),
.SI(data_out[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[9]));
RSDFCSRHD2BWP_REMAP_SPC_18 \present_state_reg[0] (.CDN(n_19),
.SDN(1'b1),
.CP(clk),
.D(n_101),
.SI(data_out[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\present_state[0] ));
RSDFCSRHD2BWP_REMAP_SPC_19 \present_state_reg[1] (.CDN(n_19),
.SDN(1'b1),
.CP(clk),
.D(n_105),
.SI(\present_state[0] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\present_state[1] ));
RSDFCSRHD2BWP_REMAP_SPC_20 \present_state_reg[2] (.CDN(n_19),
.SDN(1'b1),
.CP(clk),
.D(n_102),
.SI(\present_state[1] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\present_state[2] ));
RSDFCSRHD2BWP_REMAP_SPC_21 read_reg (.CDN(n_19),
.SDN(1'b1),
.CP(clk),
.D(n_66),
.SI(\present_state[2] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(read));
RSDFCSRHD2BWP_REMAP_SPC_22 write_reg (.CDN(n_19),
.SDN(1'b1),
.CP(clk),
.D(n_103),
.SI(read),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(write));
INVXL g106 (.Y(n_19),
.A(reset));
AND2X2 g269 (.Y(n_14),
.B(n_11),
.A(go));
CLKINVX1 g271 (.Y(n_12),
.A(n_11));
AND2X1 g272 (.Y(n_11),
.B(n_6),
.A(n_3));
AND2X1 g273 (.Y(n_66),
.B(n_6),
.A(\present_state[0] ));
OR2X1 g278 (.Y(n_10),
.B(n_1),
.A(n_3));
AND2X1 g282 (.Y(n_6),
.B(n_1),
.A(n_2));
CLKINVX2 g285 (.Y(n_3),
.A(\present_state[0] ));
CLKINVX1 g287 (.Y(n_2),
.A(\present_state[2] ));
CLKINVX1 g288 (.Y(n_1),
.A(\present_state[1] ));
NOR2BX1 g2 (.Y(n_0),
.B(read_cycle),
.AN(bus_grant));
AO21X4 g289 (.Y(n_99),
.B0(n_14),
.A1(n_12),
.A0(n_10));
INVXL g3 (.Y(n_101),
.A(n_100));
AOI32X4 g290 (.Y(n_100),
.B1(n_12),
.B0(n_3),
.A2(bus_grant),
.A1(read_cycle),
.A0(n_14));
AO22X4 g291 (.Y(n_102),
.B1(n_0),
.B0(n_14),
.A1(n_10),
.A0(\present_state[2] ));
AND3X6 g292 (.Y(n_103),
.C(n_1),
.B(\present_state[2] ),
.A(n_3));
AND3X6 g293 (.Y(n_104),
.C(n_2),
.B(\present_state[1] ),
.A(n_3));
AO22X4 g294 (.Y(n_105),
.B1(n_3),
.B0(\present_state[1] ),
.A1(n_1),
.A0(\present_state[0] ));
endmodule
module CKLNQD1BWP_SPCA_9 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3292 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_9 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module SDFCNQD1BWP_SPCA_105 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_23 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_105 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_106 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_24 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_106 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_107 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_25 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_107 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_108 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_26 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_108 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_109 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_27 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_109 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_110 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_28 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_110 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_111 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_29 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_111 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_112 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_30 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_112 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_113 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_31 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_113 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_114 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_32 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_114 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_115 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_33 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_115 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_116 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_34 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_116 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_117 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_35 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_117 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_118 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_36 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_118 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_119 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_37 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_119 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_120 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_38 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_120 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_121 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_39 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_121 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_122 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_40 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_122 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_123 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_41 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_123 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_124 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_42 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_124 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_125 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_43 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_125 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_126 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_44 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_126 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_127 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_45 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_127 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_128 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_46 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_128 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_129 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_47 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_129 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_130 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_48 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_130 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_131 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_49 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_131 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_132 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_50 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_132 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_133 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_51 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_133 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_134 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_52 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_134 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_135 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_53 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_135 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_136 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_54 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_136 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_137 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_55 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_137 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_138 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_56 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_138 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_139 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_57 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_139 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_140 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_58 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_140 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_141 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_59 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_141 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_142 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_60 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_142 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_143 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_61 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_143 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_144 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_62 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_144 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module decode_i (
clk,
reset,
phi_1,
phi_2,
phi_3,
phi_4,
phi_5,
phi_6,
decode,
p_data_out,
ir,
skip_one,
read_prog,
go_prog,
read_data,
go_data,
read_port,
go_port,
decode_skip_one,
RC_CG_TEST_PORT,
SRPG_PG_in,
SRPG_PG_in_1,
DFT_sdi,
DFT_sen,
DFT_sdo);
input clk;
input reset;
input phi_1;
input phi_2;
input phi_3;
input phi_4;
input phi_5;
input phi_6;
output [15:0] decode;
input [15:0] p_data_out;
output [15:0] ir;
input skip_one;
output read_prog;
output go_prog;
output read_data;
output go_data;
output read_port;
output go_port;
output decode_skip_one;
input RC_CG_TEST_PORT;
input SRPG_PG_in;
input SRPG_PG_in_1;
input DFT_sdi;
input DFT_sen;
output DFT_sdo;
// Internal wires
wire \decode[10]_574 ;
wire \decode[12]_580 ;
wire \decode[13]_581 ;
wire \decode[15]_583 ;
wire decode_skip_one_563;
wire n_0;
wire n_1;
wire n_2;
wire n_3;
wire n_4;
wire n_5;
wire n_6;
wire n_7;
wire n_8;
wire n_9;
wire n_10;
wire n_11;
wire n_12;
wire n_13;
wire n_14;
wire n_15;
wire n_16;
wire n_21;
wire n_22;
wire n_23;
wire n_25;
wire n_26;
wire n_31;
wire n_33;
wire n_34;
wire n_39;
wire n_41;
wire n_42;
wire n_43;
wire n_44;
wire n_45;
wire n_46;
wire n_47;
wire n_52;
wire n_57;
wire n_70;
wire n_71;
wire n_83;
wire n_84;
wire n_89;
wire n_98;
wire n_99;
wire n_118;
wire n_165;
wire n_192;
wire n_193;
wire n_194;
wire n_195;
wire n_196;
wire n_197;
wire n_198;
wire n_201;
wire n_202;
wire n_203;
wire n_204;
wire n_205;
wire n_206;
wire n_208;
wire n_209;
wire n_210;
wire n_211;
wire n_213;
wire n_214;
wire n_215;
wire n_217;
wire n_218;
wire n_219;
wire n_220;
wire n_221;
wire n_222;
wire n_223;
wire n_224;
wire n_225;
wire n_226;
wire n_227;
wire n_228;
wire n_229;
wire n_230;
wire n_231;
wire n_232;
wire n_233;
wire n_234;
wire n_235;
wire n_236;
wire n_237;
wire rc_gclk;
RC_CG_MOD_3291_3292 RC_CG_HIER_INST19 (.enable(n_165),
.ck_in(clk),
.ck_out(rc_gclk),
.test(RC_CG_TEST_PORT));
RSDFCSRHD2BWP_REMAP_SPC_23 \decode_reg[0] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[0]),
.SI(DFT_sdi),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[0]));
RSDFCSRHD2BWP_REMAP_SPC_24 \decode_reg[10] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[10]),
.SI(n_192),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\decode[10]_574 ));
RSDFCSRHD2BWP_REMAP_SPC_25 \decode_reg[11] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[11]),
.SI(\decode[10]_574 ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(n_193));
RSDFCSRHD2BWP_REMAP_SPC_26 \decode_reg[12] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[12]),
.SI(n_193),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\decode[12]_580 ));
RSDFCSRHD2BWP_REMAP_SPC_27 \decode_reg[13] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[13]),
.SI(\decode[12]_580 ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\decode[13]_581 ));
RSDFCSRHD2BWP_REMAP_SPC_28 \decode_reg[14] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[14]),
.SI(\decode[13]_581 ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(n_194));
RSDFCSRHD2BWP_REMAP_SPC_29 \decode_reg[15] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[15]),
.SI(n_194),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\decode[15]_583 ));
RSDFCSRHD2BWP_REMAP_SPC_30 \decode_reg[1] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[1]),
.SI(decode[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[1]));
RSDFCSRHD2BWP_REMAP_SPC_31 \decode_reg[2] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[2]),
.SI(decode[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[2]));
RSDFCSRHD2BWP_REMAP_SPC_32 \decode_reg[3] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[3]),
.SI(decode[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[3]));
RSDFCSRHD2BWP_REMAP_SPC_33 \decode_reg[4] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[4]),
.SI(decode[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[4]));
RSDFCSRHD2BWP_REMAP_SPC_34 \decode_reg[5] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[5]),
.SI(decode[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[5]));
RSDFCSRHD2BWP_REMAP_SPC_35 \decode_reg[6] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(p_data_out[6]),
.SI(decode[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[6]));
RSDFCSRHD2BWP_REMAP_SPC_36 \decode_reg[7] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[7]),
.SI(decode[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[7]));
RSDFCSRHD2BWP_REMAP_SPC_37 \decode_reg[8] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[8]),
.SI(decode[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode[8]));
RSDFCSRHD2BWP_REMAP_SPC_38 \decode_reg[9] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(p_data_out[9]),
.SI(decode[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(n_192));
RSDFCSRHD2BWP_REMAP_SPC_39 decode_skip_one_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_214),
.SI(\decode[15]_583 ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(decode_skip_one_563));
RSDFCSRHD2BWP_REMAP_SPC_40 go_data_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_197),
.SI(decode_skip_one_563),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(go_data));
RSDFCSRHD2BWP_REMAP_SPC_41 go_port_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_222),
.SI(go_data),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(go_port));
RSDFCSRHD2BWP_REMAP_SPC_42 go_prog_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_235),
.SI(go_port),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(go_prog));
RSDFCSRHD2BWP_REMAP_SPC_43 \ir_reg[0] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(decode[0]),
.SI(go_prog),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[0]));
RSDFCSRHD2BWP_REMAP_SPC_44 \ir_reg[10] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(\decode[10]_574 ),
.SI(ir[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[10]));
RSDFCSRHD2BWP_REMAP_SPC_45 \ir_reg[11] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(n_193),
.SI(ir[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[11]));
RSDFCSRHD2BWP_REMAP_SPC_46 \ir_reg[12] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(\decode[12]_580 ),
.SI(ir[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[12]));
RSDFCSRHD2BWP_REMAP_SPC_47 \ir_reg[13] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(\decode[13]_581 ),
.SI(ir[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[13]));
RSDFCSRHD2BWP_REMAP_SPC_48 \ir_reg[14] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(n_194),
.SI(ir[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[14]));
RSDFCSRHD2BWP_REMAP_SPC_49 \ir_reg[15] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(\decode[15]_583 ),
.SI(ir[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[15]));
RSDFCSRHD2BWP_REMAP_SPC_50 \ir_reg[1] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(decode[1]),
.SI(ir[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[1]));
RSDFCSRHD2BWP_REMAP_SPC_51 \ir_reg[2] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(decode[2]),
.SI(ir[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[2]));
RSDFCSRHD2BWP_REMAP_SPC_52 \ir_reg[3] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(decode[3]),
.SI(ir[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[3]));
RSDFCSRHD2BWP_REMAP_SPC_53 \ir_reg[4] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(decode[4]),
.SI(ir[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[4]));
RSDFCSRHD2BWP_REMAP_SPC_54 \ir_reg[5] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(decode[5]),
.SI(ir[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[5]));
RSDFCSRHD2BWP_REMAP_SPC_55 \ir_reg[6] (.CDN(n_118),
.SDN(1'b1),
.CP(rc_gclk),
.D(decode[6]),
.SI(ir[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[6]));
RSDFCSRHD2BWP_REMAP_SPC_56 \ir_reg[7] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(decode[7]),
.SI(ir[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[7]));
RSDFCSRHD2BWP_REMAP_SPC_57 \ir_reg[8] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(decode[8]),
.SI(ir[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[8]));
RSDFCSRHD2BWP_REMAP_SPC_58 \ir_reg[9] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk),
.D(n_192),
.SI(ir[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ir[9]));
RSDFCSRHD2BWP_REMAP_SPC_59 read_data_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_196),
.SI(ir[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(read_data));
RSDFCSRHD2BWP_REMAP_SPC_60 read_port_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_221),
.SI(read_data),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(read_port));
RSDFCSRHD2BWP_REMAP_SPC_61 read_prog_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_230),
.SI(read_port),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(read_prog));
RSDFCSRHD2BWP_REMAP_SPC_62 two_cycle_reg (.CDN(n_118),
.SDN(1'b1),
.CP(clk),
.D(n_203),
.SI(read_prog),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(DFT_sdo));
INVXL g519 (.Y(n_118),
.A(reset));
AND2X1 g1759 (.Y(n_99),
.B(n_210),
.A(n_52));
OR2X1 g1760 (.Y(n_98),
.B(n_210),
.A(n_44));
AND2X1 g1771 (.Y(n_89),
.B(n_220),
.A(n_193));
AND2X1 g1777 (.Y(n_84),
.B(n_5),
.A(n_16));
CLKINVX1 g1778 (.Y(n_83),
.A(n_219));
AND2X1 g1793 (.Y(n_71),
.B(n_4),
.A(n_44));
AND2X1 g1794 (.Y(n_70),
.B(n_52),
.A(n_26));
AND2X1 g1810 (.Y(n_57),
.B(n_45),
.A(n_23));
CLKINVX2 g1817 (.Y(n_52),
.A(n_231));
CLKINVX2 g1823 (.Y(n_47),
.A(n_1));
CLKINVX1 g1825 (.Y(n_46),
.A(n_0));
CLKINVX2 g1827 (.Y(n_44),
.A(n_45));
AND2X2 g1828 (.Y(n_45),
.B(n_26),
.A(n_8));
AND2X1 g1829 (.Y(n_43),
.B(n_34),
.A(n_14));
AND2X1 g1830 (.Y(n_42),
.B(n_21),
.A(n_194));
AND2X1 g1831 (.Y(n_41),
.B(n_33),
.A(\decode[10]_574 ));
AND2X1 g1833 (.Y(n_39),
.B(n_31),
.A(n_193));
AND2X1 g1840 (.Y(n_34),
.B(n_11),
.A(\decode[13]_581 ));
OR2X1 g1841 (.Y(n_33),
.B(n_13),
.A(n_10));
OR2X2 g1844 (.Y(n_31),
.B(decode[8]),
.A(n_192));
CLKINVX1 g1846 (.Y(n_165),
.A(n_195));
AND2X1 g1850 (.Y(n_26),
.B(n_9),
.A(n_11));
OR2X1 g1851 (.Y(n_25),
.B(n_14),
.A(n_192));
CLKINVX3 g1853 (.Y(n_22),
.A(n_23));
AND2X2 g1854 (.Y(n_23),
.B(decode[8]),
.A(\decode[10]_574 ));
AND2X1 g1856 (.Y(n_21),
.B(\decode[13]_581 ),
.A(\decode[15]_583 ));
CLKINVX2 g1861 (.Y(n_16),
.A(n_193));
CLKINVX2 g1862 (.Y(n_15),
.A(phi_3));
CLKINVX1 g1863 (.Y(n_14),
.A(\decode[10]_574 ));
CLKINVX1 g1864 (.Y(n_13),
.A(decode[8]));
CLKINVX1 g1865 (.Y(n_12),
.A(n_194));
CLKINVX1 g1866 (.Y(n_11),
.A(\decode[15]_583 ));
CLKINVX1 g1868 (.Y(n_10),
.A(n_192));
CLKINVX1 g1869 (.Y(n_9),
.A(\decode[13]_581 ));
CLKINVX1 g1870 (.Y(n_8),
.A(\decode[12]_580 ));
NOR2BX4 g2 (.Y(n_7),
.B(n_202),
.AN(n_15));
NOR2BX4 g1871 (.Y(n_6),
.B(n_217),
.AN(n_45));
NOR2BX4 g1872 (.Y(n_5),
.B(n_226),
.AN(n_192));
NOR2BX4 g1873 (.Y(n_4),
.B(n_42),
.AN(n_1));
NOR2X8 g1874 (.Y(n_3),
.B(n_232),
.A(n_31));
NOR2BX4 g1875 (.Y(n_2),
.B(n_233),
.AN(n_23));
NAND2BX4 g1876 (.Y(n_1),
.B(n_21),
.AN(n_194));
NAND2BX2 g1877 (.Y(n_0),
.B(decode_skip_one_563),
.AN(n_195));
NAND2BX4 g1878 (.Y(n_195),
.B(phi_6),
.AN(skip_one));
OR4X8 g1879 (.Y(n_196),
.D(n_198),
.C(n_99),
.B(n_89),
.A(n_204));
AO21X4 g1880 (.Y(n_197),
.B0(n_202),
.A1(n_7),
.A0(go_data));
AO22X4 g1881 (.Y(n_198),
.B1(n_7),
.B0(read_data),
.A1(n_70),
.A0(n_12));
INVXL g1883 (.Y(n_202),
.A(n_201));
AOI221X4 g1884 (.Y(n_201),
.C0(n_204),
.B1(n_98),
.B0(n_70),
.A1(n_220),
.A0(n_12));
AO22X4 g1885 (.Y(n_203),
.B1(n_206),
.B0(n_52),
.A1(n_15),
.A0(DFT_sdo));
OA21X4 g1886 (.Y(n_204),
.B0(n_52),
.A1(n_237),
.A0(n_218));
OA21X4 g1887 (.Y(n_205),
.B0(n_52),
.A1(n_208),
.A0(n_71));
OR4X8 g1888 (.Y(n_206),
.D(n_84),
.C(n_83),
.B(n_217),
.A(n_47));
AO21X4 g1890 (.Y(n_208),
.B0(n_6),
.A1(n_219),
.A0(n_42));
INVXL g1891 (.Y(n_210),
.A(n_209));
AOI31X4 g1892 (.Y(n_209),
.B0(n_217),
.A2(n_45),
.A1(n_233),
.A0(n_22));
AOI211X4 g1894 (.Y(n_211),
.C0(n_47),
.B0(n_8),
.A1(n_228),
.A0(n_193));
INVXL g1895 (.Y(n_214),
.A(n_213));
AOI32X4 g1896 (.Y(n_213),
.B1(n_0),
.B0(decode_skip_one_563),
.A2(n_223),
.A1(\decode[12]_580 ),
.A0(n_52));
AOI221X4 g1898 (.Y(n_215),
.C0(\decode[12]_580 ),
.B1(n_225),
.B0(n_34),
.A1(n_11),
.A0(n_12));
AND4X6 g1899 (.Y(n_217),
.D(n_57),
.C(n_194),
.B(n_10),
.A(n_16));
AND4X6 g1900 (.Y(n_218),
.D(n_3),
.C(n_9),
.B(n_14),
.A(n_16));
OR3X6 g1901 (.Y(n_219),
.C(n_226),
.B(n_192),
.A(n_16));
AND4X6 g1902 (.Y(n_220),
.D(n_52),
.C(n_10),
.B(\decode[12]_580 ),
.A(n_43));
AO21X4 g1903 (.Y(n_221),
.B0(n_227),
.A1(n_15),
.A0(read_port));
AO21X4 g1904 (.Y(n_222),
.B0(n_227),
.A1(n_15),
.A0(go_port));
OA21X4 g1905 (.Y(n_223),
.B0(n_42),
.A1(n_41),
.A0(n_39));
INVXL g1906 (.Y(n_225),
.A(n_224));
AOI31X4 g1907 (.Y(n_224),
.B0(n_2),
.A2(n_25),
.A1(n_22),
.A0(n_16));
OR3X6 g1908 (.Y(n_226),
.C(n_232),
.B(n_22),
.A(n_9));
AND3X6 g1909 (.Y(n_227),
.C(n_52),
.B(n_47),
.A(n_8));
AND3X6 g1910 (.Y(n_228),
.C(n_43),
.B(n_33),
.A(n_194));
INVXL g1911 (.Y(n_230),
.A(n_229));
AOI211X4 g1912 (.Y(n_229),
.C0(n_52),
.B0(n_46),
.A1(n_15),
.A0(read_prog));
OR3X6 g1913 (.Y(n_231),
.C(n_195),
.B(decode_skip_one_563),
.A(DFT_sdo));
OR3X6 g1914 (.Y(n_232),
.C(n_11),
.B(n_12),
.A(\decode[12]_580 ));
OA21X4 g1915 (.Y(n_233),
.B0(n_16),
.A1(n_13),
.A0(n_192));
OR3X6 g1916 (.Y(n_235),
.C(n_205),
.B(n_46),
.A(n_234));
AND3X6 g1917 (.Y(n_234),
.C(n_231),
.B(n_15),
.A(go_prog));
INVXL g3 (.Y(n_237),
.A(n_236));
AOI2BB1X4 g1918 (.Y(n_236),
.B0(n_83),
.A1N(n_211),
.A0N(n_215));
endmodule
module CKLNQD1BWP_SPCA_10 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3293 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_10 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module CKLNQD1BWP_SPCA_11 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3294 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_11 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module CKLNQD1BWP_SPCA_12 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3295 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_12 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module CKLNQD1BWP_SPCA_13 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3296 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_13 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module CKLNQD1BWP_SPCA_14 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3297 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_14 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module CKLNQD1BWP_SPCA_15 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3298 (
enable,
ck_in,
ck_out,
test,
s_rst);
input enable;
input ck_in;
output ck_out;
input test;
input s_rst;
// Internal wires
wire n_0;
CKLNQD1BWP_SPCA_15 RC_CGIC_INST (.E(n_0),
.CP(ck_in),
.TE(test),
.Q(ck_out));
OR2X1 g2 (.Y(n_0),
.B(enable),
.A(s_rst));
endmodule
module CKLNQD1BWP_SPCA_16 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3299 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_16 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module SDFCNQD1BWP_SPCA_145 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_63 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_145 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_146 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_64 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_146 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_147 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_65 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_147 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_148 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_66 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_148 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_149 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_67 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_149 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_150 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_68 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_150 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_151 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_69 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_151 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_152 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_70 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_152 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_153 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_71 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_153 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_154 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_72 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_154 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_155 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_73 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_155 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_156 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_74 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_156 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_157 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_75 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_157 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_158 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_76 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_158 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_159 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_77 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_159 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_160 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_78 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_160 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_161 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_79 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_161 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_162 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_80 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_162 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_163 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_81 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_163 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_164 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_82 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_164 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_165 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_83 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_165 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_166 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_84 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_166 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_167 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_85 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_167 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_168 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_86 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_168 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_169 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_87 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_169 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_170 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_88 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_170 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_171 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_89 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_171 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_172 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_90 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_172 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_173 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_91 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_173 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_174 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_92 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_174 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_175 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_93 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_175 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_176 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_94 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_176 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_177 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_95 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_177 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_178 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_96 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_178 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_179 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_97 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_179 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_180 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_98 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_180 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_181 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_99 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_181 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_182 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_100 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_182 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_183 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_101 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_183 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_184 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_102 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_184 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_185 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_103 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_185 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_186 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_104 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_186 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_187 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_105 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_187 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_188 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_106 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_188 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_189 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_107 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_189 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_190 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_108 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_190 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_191 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_109 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_191 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_192 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_110 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_192 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_193 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_111 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_193 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_194 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_112 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_194 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_195 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_113 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_195 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_196 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_114 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_196 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_197 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_115 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_197 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_198 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_116 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_198 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_199 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_117 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_199 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_200 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_118 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_200 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_201 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_119 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_201 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_202 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_120 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_202 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_203 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_121 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_203 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_204 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_122 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_204 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_205 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_123 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_205 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_206 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_124 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_206 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_207 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_125 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_207 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_208 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_126 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_208 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_209 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_127 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_209 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_210 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_128 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_210 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_211 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_129 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_211 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_212 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_130 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_212 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_213 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_131 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_213 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_214 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_132 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_214 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_215 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_133 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_215 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_216 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_134 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_216 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_217 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_135 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_217 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_218 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_136 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_218 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_219 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_137 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_219 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_220 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_138 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_220 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_221 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_139 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_221 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_222 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_140 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_222 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_223 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_141 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_223 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_224 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_142 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_224 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_225 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_143 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_225 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_226 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_144 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_226 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_227 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_145 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_227 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_228 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_146 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_228 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_229 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_147 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_229 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_230 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_148 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_230 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_231 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_149 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_231 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_232 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_150 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_232 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_233 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_151 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_233 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_234 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_152 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_234 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_235 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_153 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_235 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_236 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_154 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_236 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_237 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_155 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_237 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_238 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_156 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_238 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_239 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_157 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_239 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_240 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_158 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_240 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_241 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_159 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_241 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_242 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_160 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_242 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_243 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_161 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_243 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_244 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_162 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_244 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_245 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_163 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_245 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_246 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_164 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_246 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_247 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_165 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_247 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_248 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_166 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_248 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_249 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_167 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_249 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_250 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_168 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_250 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_251 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_169 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_251 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_252 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_170 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_252 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_253 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_171 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_253 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_254 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_172 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_254 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_255 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_173 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_255 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_256 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_174 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_256 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_257 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_175 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_257 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_258 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_176 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_258 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_259 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_177 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_259 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_260 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_178 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_260 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_261 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_179 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_261 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_262 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_180 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_262 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_263 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_181 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_263 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_264 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_182 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_264 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_265 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_183 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_265 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_266 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_184 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_266 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_267 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_185 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_267 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_268 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_186 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_268 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_269 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_187 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_269 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_270 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_188 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_270 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_271 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_189 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_271 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_272 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_190 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_272 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_273 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_191 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_273 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_274 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_192 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_274 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_275 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_193 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_275 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_276 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_194 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_276 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_277 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_195 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_277 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_278 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_196 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_278 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_279 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_197 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_279 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_280 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_198 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_280 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_281 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_199 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_281 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_282 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_200 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_282 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_283 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_201 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_283 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_284 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_202 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_284 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_285 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_203 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_285 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_286 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_204 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_286 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_287 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_205 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_287 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_288 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_206 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_288 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_289 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_207 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_289 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_290 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_208 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_290 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_291 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_209 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_291 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module execute_i (
clk,
reset,
phi_1,
phi_2,
phi_3,
phi_4,
phi_5,
phi_6,
decode_skip_one,
gez,
gz,
nz,
z,
lz,
lez,
ov,
arnz,
bioz,
alu_result,
mpy_result,
mdr,
pdr,
ir,
decode,
ar,
skip_one,
fetch_branch,
branch_stall,
pc_acc,
dmov_inc,
dp,
arp,
ar0,
ar1,
pc,
acc,
p,
top,
alu_cmd,
sel_op_a,
sel_op_b,
read_prog,
go_prog,
read_data,
go_data,
read_port,
go_port,
ovm,
RC_CG_TEST_PORT,
SRPG_PG_in,
SRPG_PG_in_1,
DFT_sdi,
DFT_sen,
DFT_sdi_2,
DFT_sdi_3,
DFT_sdo);
input clk;
input reset;
input phi_1;
input phi_2;
input phi_3;
input phi_4;
input phi_5;
input phi_6;
input decode_skip_one;
input gez;
input gz;
input nz;
input z;
input lz;
input lez;
output ov;
input arnz;
input bioz;
input [32:0] alu_result;
input [31:0] mpy_result;
input [15:0] mdr;
input [15:0] pdr;
input [15:0] ir;
input [15:0] decode;
input [15:0] ar;
output skip_one;
output fetch_branch;
output branch_stall;
output pc_acc;
output dmov_inc;
output dp;
output arp;
output [15:0] ar0;
output [15:0] ar1;
output [15:0] pc;
output [32:0] acc;
output [31:0] p;
output [15:0] top;
output [3:0] alu_cmd;
output [2:0] sel_op_a;
output [2:0] sel_op_b;
output read_prog;
output go_prog;
output read_data;
output go_data;
output read_port;
output go_port;
output ovm;
input RC_CG_TEST_PORT;
input SRPG_PG_in;
input SRPG_PG_in_1;
input DFT_sdi;
input DFT_sen;
input DFT_sdi_2;
input DFT_sdi_3;
output DFT_sdo;
// Internal wires
wire branch_stall_2295;
wire branch_stall_delay;
wire n_1;
wire n_3;
wire n_4;
wire n_8;
wire n_9;
wire n_14;
wire n_17;
wire n_18;
wire n_19;
wire n_20;
wire n_21;
wire n_22;
wire n_23;
wire n_24;
wire n_26;
wire n_29;
wire n_30;
wire n_34;
wire n_36;
wire n_38;
wire n_41;
wire n_42;
wire n_44;
wire n_47;
wire n_50;
wire n_51;
wire n_55;
wire n_58;
wire n_62;
wire n_63;
wire n_67;
wire n_68;
wire n_70;
wire n_73;
wire n_75;
wire n_77;
wire n_80;
wire n_81;
wire n_82;
wire n_83;
wire n_84;
wire n_85;
wire n_86;
wire n_87;
wire n_88;
wire n_89;
wire n_90;
wire n_91;
wire n_92;
wire n_93;
wire n_94;
wire n_95;
wire n_96;
wire n_97;
wire n_98;
wire n_99;
wire n_100;
wire n_101;
wire n_102;
wire n_103;
wire n_104;
wire n_105;
wire n_106;
wire n_107;
wire n_108;
wire n_109;
wire n_110;
wire n_111;
wire n_112;
wire n_113;
wire n_114;
wire n_117;
wire n_118;
wire n_121;
wire n_122;
wire n_124;
wire n_125;
wire n_126;
wire n_128;
wire n_129;
wire n_130;
wire n_132;
wire n_133;
wire n_134;
wire n_135;
wire n_136;
wire n_137;
wire n_138;
wire n_139;
wire n_140;
wire n_141;
wire n_142;
wire n_143;
wire n_144;
wire n_145;
wire n_147;
wire n_148;
wire n_149;
wire n_150;
wire n_152;
wire n_153;
wire n_154;
wire n_155;
wire n_157;
wire n_159;
wire n_160;
wire n_162;
wire n_166;
wire n_169;
wire n_171;
wire n_172;
wire n_174;
wire n_176;
wire n_177;
wire n_180;
wire n_181;
wire n_182;
wire n_183;
wire n_185;
wire n_186;
wire n_190;
wire n_191;
wire n_192;
wire n_193;
wire n_195;
wire n_196;
wire n_198;
wire n_199;
wire n_201;
wire n_203;
wire n_204;
wire n_205;
wire n_207;
wire n_208;
wire n_209;
wire n_210;
wire n_212;
wire n_225;
wire n_226;
wire n_231;
wire n_232;
wire n_239;
wire n_240;
wire n_241;
wire n_243;
wire n_245;
wire n_249;
wire n_250;
wire n_251;
wire n_252;
wire n_255;
wire n_257;
wire n_258;
wire n_269;
wire n_273;
wire n_275;
wire n_280;
wire n_281;
wire n_291;
wire n_294;
wire n_295;
wire n_296;
wire n_300;
wire n_301;
wire n_302;
wire n_304;
wire n_305;
wire n_306;
wire n_310;
wire n_312;
wire n_313;
wire n_314;
wire n_315;
wire n_316;
wire n_320;
wire n_322;
wire n_323;
wire n_342;
wire n_343;
wire n_349;
wire n_357;
wire n_359;
wire n_360;
wire n_361;
wire n_363;
wire n_364;
wire n_365;
wire n_366;
wire n_367;
wire n_371;
wire n_372;
wire n_373;
wire n_374;
wire n_376;
wire n_377;
wire n_379;
wire n_386;
wire n_389;
wire n_391;
wire n_392;
wire n_397;
wire n_400;
wire n_401;
wire n_402;
wire n_403;
wire n_407;
wire n_412;
wire n_415;
wire n_416;
wire n_432;
wire n_441;
wire n_443;
wire n_444;
wire n_445;
wire n_446;
wire n_447;
wire n_451;
wire n_452;
wire n_454;
wire n_456;
wire n_458;
wire n_459;
wire n_468;
wire n_479;
wire n_485;
wire n_487;
wire n_495;
wire n_532;
wire n_534;
wire n_537;
wire n_540;
wire n_550;
wire n_560;
wire n_561;
wire n_570;
wire n_578;
wire n_581;
wire n_585;
wire n_591;
wire n_602;
wire n_608;
wire n_612;
wire n_615;
wire n_622;
wire n_626;
wire n_628;
wire n_630;
wire n_637;
wire n_654;
wire n_657;
wire n_658;
wire n_669;
wire n_674;
wire n_677;
wire n_686;
wire n_688;
wire n_690;
wire n_694;
wire n_699;
wire n_701;
wire n_712;
wire n_716;
wire n_722;
wire n_726;
wire n_727;
wire n_733;
wire n_736;
wire n_738;
wire n_739;
wire n_748;
wire n_749;
wire n_755;
wire n_758;
wire n_764;
wire n_765;
wire n_769;
wire n_787;
wire n_889;
wire n_895;
wire n_998;
wire n_1156;
wire n_1157;
wire n_1158;
wire n_1159;
wire n_1160;
wire n_1161;
wire n_1162;
wire n_1163;
wire n_1164;
wire n_1165;
wire n_1166;
wire n_1167;
wire n_1168;
wire n_1169;
wire n_1170;
wire n_1171;
wire n_1172;
wire n_1173;
wire n_1174;
wire n_1175;
wire n_1177;
wire n_1178;
wire n_1179;
wire n_1180;
wire n_1181;
wire n_1182;
wire n_1183;
wire n_1184;
wire n_1185;
wire n_1186;
wire n_1187;
wire n_1188;
wire n_1190;
wire n_1191;
wire n_1193;
wire n_1194;
wire n_1195;
wire n_1196;
wire n_1197;
wire n_1198;
wire n_1199;
wire n_1200;
wire n_1201;
wire n_1202;
wire n_1203;
wire n_1204;
wire n_1205;
wire n_1206;
wire n_1207;
wire n_1208;
wire n_1209;
wire n_1210;
wire n_1211;
wire n_1212;
wire n_1213;
wire n_1214;
wire n_1215;
wire n_1216;
wire n_1217;
wire n_1218;
wire n_1219;
wire n_1220;
wire n_1221;
wire n_1222;
wire n_1223;
wire n_1224;
wire n_1225;
wire n_1226;
wire n_1227;
wire n_1228;
wire n_1229;
wire n_1230;
wire n_1231;
wire n_1232;
wire n_1233;
wire n_1234;
wire n_1235;
wire n_1236;
wire n_1237;
wire n_1238;
wire n_1239;
wire n_1240;
wire n_1241;
wire n_1242;
wire n_1243;
wire n_1244;
wire n_1245;
wire n_1246;
wire n_1247;
wire n_1248;
wire n_1249;
wire n_1250;
wire n_1251;
wire n_1252;
wire n_1253;
wire n_1254;
wire n_1255;
wire n_1256;
wire n_1257;
wire n_1258;
wire n_1259;
wire n_1260;
wire n_1261;
wire n_1262;
wire n_1263;
wire n_1264;
wire n_1265;
wire n_1266;
wire n_1267;
wire n_1268;
wire n_1269;
wire n_1270;
wire n_1271;
wire n_1273;
wire n_1275;
wire n_1276;
wire n_1277;
wire n_1278;
wire n_1279;
wire n_1281;
wire n_1282;
wire n_1283;
wire n_1284;
wire n_1285;
wire n_1286;
wire n_1287;
wire n_1288;
wire n_1290;
wire n_1291;
wire n_1292;
wire n_1293;
wire n_1294;
wire n_1295;
wire n_1297;
wire n_1298;
wire n_1299;
wire n_1301;
wire n_1302;
wire n_1303;
wire n_1304;
wire n_1305;
wire n_1306;
wire n_1307;
wire n_1308;
wire n_1309;
wire n_1310;
wire n_1311;
wire n_1312;
wire n_1313;
wire n_1314;
wire n_1315;
wire n_1316;
wire n_1317;
wire n_1320;
wire n_1321;
wire n_1324;
wire n_1325;
wire n_1327;
wire n_1328;
wire n_1329;
wire n_1330;
wire n_1332;
wire n_1333;
wire n_1334;
wire n_1336;
wire n_1337;
wire n_1338;
wire n_1339;
wire n_1340;
wire n_1341;
wire n_1342;
wire n_1343;
wire n_1344;
wire n_1345;
wire n_1346;
wire n_1347;
wire n_1348;
wire n_1351;
wire n_1352;
wire n_1353;
wire n_1355;
wire n_1356;
wire n_1357;
wire n_1358;
wire n_1359;
wire n_1360;
wire n_1361;
wire n_1362;
wire n_1364;
wire n_1365;
wire n_1366;
wire n_1367;
wire n_1368;
wire n_1369;
wire n_1370;
wire n_1371;
wire n_1372;
wire n_1373;
wire n_1374;
wire n_1375;
wire n_1376;
wire n_1377;
wire n_1378;
wire n_1379;
wire n_1380;
wire n_1381;
wire n_1382;
wire n_1383;
wire n_1384;
wire n_1385;
wire n_1386;
wire n_1387;
wire n_1388;
wire n_1389;
wire n_1390;
wire n_1391;
wire n_1392;
wire n_1393;
wire n_1394;
wire n_1395;
wire n_1396;
wire n_1397;
wire n_1398;
wire n_1399;
wire n_1400;
wire n_1401;
wire n_1402;
wire n_1403;
wire n_1404;
wire n_1405;
wire n_1408;
wire n_1409;
wire n_1410;
wire n_1411;
wire n_1412;
wire n_1413;
wire n_1414;
wire n_1415;
wire n_1416;
wire n_1417;
wire n_1418;
wire n_1419;
wire n_1420;
wire n_1421;
wire n_1422;
wire n_1423;
wire n_1424;
wire n_1425;
wire n_1426;
wire n_1427;
wire n_1428;
wire n_1429;
wire n_1430;
wire n_1431;
wire n_1432;
wire n_1433;
wire n_1434;
wire n_1435;
wire n_1436;
wire n_1437;
wire n_1438;
wire n_1439;
wire n_1440;
wire n_1441;
wire n_1442;
wire ov_2292;
wire rc_gclk;
wire rc_gclk_11913;
wire rc_gclk_11916;
wire rc_gclk_11919;
wire rc_gclk_11922;
wire rc_gclk_11925;
wire rc_gclk_11928;
wire three_cycle;
wire two_cycle;
wire update_it;
RC_CG_MOD_3291_3293 RC_CG_HIER_INST20 (.enable(n_1235),
.ck_in(clk),
.ck_out(rc_gclk),
.test(RC_CG_TEST_PORT));
RC_CG_MOD_3291_3294 RC_CG_HIER_INST21 (.enable(n_1345),
.ck_in(clk),
.ck_out(rc_gclk_11913),
.test(RC_CG_TEST_PORT));
RC_CG_MOD_3291_3295 RC_CG_HIER_INST22 (.enable(n_1347),
.ck_in(clk),
.ck_out(rc_gclk_11916),
.test(RC_CG_TEST_PORT));
RC_CG_MOD_3291_3296 RC_CG_HIER_INST23 (.enable(n_1375),
.ck_in(clk),
.ck_out(rc_gclk_11919),
.test(RC_CG_TEST_PORT));
RC_CG_MOD_3291_3297 RC_CG_HIER_INST24 (.enable(n_1286),
.ck_in(clk),
.ck_out(rc_gclk_11922),
.test(RC_CG_TEST_PORT));
RC_CG_MOD_3291_3298 RC_CG_HIER_INST25 (.enable(n_1233),
.ck_in(clk),
.ck_out(rc_gclk_11925),
.test(RC_CG_TEST_PORT),
.s_rst(n_895));
RC_CG_MOD_3291_3299 RC_CG_HIER_INST26 (.enable(n_1324),
.ck_in(clk),
.ck_out(rc_gclk_11928),
.test(RC_CG_TEST_PORT));
RSDFCSRHD2BWP_REMAP_SPC_63 \acc_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[0]),
.SI(DFT_sdi),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[0]));
RSDFCSRHD2BWP_REMAP_SPC_64 \acc_reg[10] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[10]),
.SI(acc[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[10]));
RSDFCSRHD2BWP_REMAP_SPC_65 \acc_reg[11] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[11]),
.SI(acc[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[11]));
RSDFCSRHD2BWP_REMAP_SPC_66 \acc_reg[12] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[12]),
.SI(acc[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[12]));
RSDFCSRHD2BWP_REMAP_SPC_67 \acc_reg[13] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[13]),
.SI(acc[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[13]));
RSDFCSRHD2BWP_REMAP_SPC_68 \acc_reg[14] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[14]),
.SI(acc[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[14]));
RSDFCSRHD2BWP_REMAP_SPC_69 \acc_reg[15] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[15]),
.SI(acc[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[15]));
RSDFCSRHD2BWP_REMAP_SPC_70 \acc_reg[16] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[16]),
.SI(acc[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[16]));
RSDFCSRHD2BWP_REMAP_SPC_71 \acc_reg[17] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[17]),
.SI(acc[16]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[17]));
RSDFCSRHD2BWP_REMAP_SPC_72 \acc_reg[18] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[18]),
.SI(acc[17]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[18]));
RSDFCSRHD2BWP_REMAP_SPC_73 \acc_reg[19] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[19]),
.SI(acc[18]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[19]));
RSDFCSRHD2BWP_REMAP_SPC_74 \acc_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[1]),
.SI(acc[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[1]));
RSDFCSRHD2BWP_REMAP_SPC_75 \acc_reg[20] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[20]),
.SI(acc[19]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[20]));
RSDFCSRHD2BWP_REMAP_SPC_76 \acc_reg[21] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[21]),
.SI(acc[20]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[21]));
RSDFCSRHD2BWP_REMAP_SPC_77 \acc_reg[22] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[22]),
.SI(acc[21]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[22]));
RSDFCSRHD2BWP_REMAP_SPC_78 \acc_reg[23] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[23]),
.SI(DFT_sdi_2),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[23]));
RSDFCSRHD2BWP_REMAP_SPC_79 \acc_reg[24] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[24]),
.SI(acc[23]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[24]));
RSDFCSRHD2BWP_REMAP_SPC_80 \acc_reg[25] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[25]),
.SI(acc[24]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[25]));
RSDFCSRHD2BWP_REMAP_SPC_81 \acc_reg[26] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[26]),
.SI(acc[25]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[26]));
RSDFCSRHD2BWP_REMAP_SPC_82 \acc_reg[27] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[27]),
.SI(acc[26]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[27]));
RSDFCSRHD2BWP_REMAP_SPC_83 \acc_reg[28] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[28]),
.SI(acc[27]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[28]));
RSDFCSRHD2BWP_REMAP_SPC_84 \acc_reg[29] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[29]),
.SI(acc[28]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[29]));
RSDFCSRHD2BWP_REMAP_SPC_85 \acc_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[2]),
.SI(acc[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[2]));
RSDFCSRHD2BWP_REMAP_SPC_86 \acc_reg[30] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[30]),
.SI(acc[29]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[30]));
RSDFCSRHD2BWP_REMAP_SPC_87 \acc_reg[31] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[31]),
.SI(acc[30]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[31]));
RSDFCSRHD2BWP_REMAP_SPC_88 \acc_reg[3] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[3]),
.SI(acc[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[3]));
RSDFCSRHD2BWP_REMAP_SPC_89 \acc_reg[4] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[4]),
.SI(acc[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[4]));
RSDFCSRHD2BWP_REMAP_SPC_90 \acc_reg[5] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[5]),
.SI(acc[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[5]));
RSDFCSRHD2BWP_REMAP_SPC_91 \acc_reg[6] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[6]),
.SI(acc[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[6]));
RSDFCSRHD2BWP_REMAP_SPC_92 \acc_reg[7] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[7]),
.SI(acc[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[7]));
RSDFCSRHD2BWP_REMAP_SPC_93 \acc_reg[8] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[8]),
.SI(acc[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[8]));
RSDFCSRHD2BWP_REMAP_SPC_94 \acc_reg[9] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk),
.D(alu_result[9]),
.SI(acc[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(acc[9]));
RSDFCSRHD2BWP_REMAP_SPC_95 \alu_cmd_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1227),
.SI(acc[31]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(alu_cmd[0]));
RSDFCSRHD2BWP_REMAP_SPC_96 \alu_cmd_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1222),
.SI(alu_cmd[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(alu_cmd[1]));
RSDFCSRHD2BWP_REMAP_SPC_97 \alu_cmd_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1225),
.SI(alu_cmd[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(alu_cmd[2]));
RSDFCSRHD2BWP_REMAP_SPC_98 \ar0_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1377),
.SI(alu_cmd[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[0]));
RSDFCSRHD2BWP_REMAP_SPC_99 \ar0_reg[10] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1214),
.SI(ar0[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[10]));
RSDFCSRHD2BWP_REMAP_SPC_100 \ar0_reg[11] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1212),
.SI(ar0[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[11]));
RSDFCSRHD2BWP_REMAP_SPC_101 \ar0_reg[12] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1208),
.SI(ar0[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[12]));
RSDFCSRHD2BWP_REMAP_SPC_102 \ar0_reg[13] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1204),
.SI(ar0[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[13]));
RSDFCSRHD2BWP_REMAP_SPC_103 \ar0_reg[14] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1200),
.SI(ar0[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[14]));
RSDFCSRHD2BWP_REMAP_SPC_104 \ar0_reg[15] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1194),
.SI(ar0[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[15]));
RSDFCSRHD2BWP_REMAP_SPC_105 \ar0_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1384),
.SI(ar0[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[1]));
RSDFCSRHD2BWP_REMAP_SPC_106 \ar0_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1341),
.SI(ar0[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[2]));
RSDFCSRHD2BWP_REMAP_SPC_107 \ar0_reg[3] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1310),
.SI(ar0[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[3]));
RSDFCSRHD2BWP_REMAP_SPC_108 \ar0_reg[4] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1276),
.SI(ar0[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[4]));
RSDFCSRHD2BWP_REMAP_SPC_109 \ar0_reg[5] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1263),
.SI(ar0[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[5]));
RSDFCSRHD2BWP_REMAP_SPC_110 \ar0_reg[6] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1250),
.SI(ar0[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[6]));
RSDFCSRHD2BWP_REMAP_SPC_111 \ar0_reg[7] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1242),
.SI(ar0[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[7]));
RSDFCSRHD2BWP_REMAP_SPC_112 \ar0_reg[8] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1229),
.SI(ar0[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[8]));
RSDFCSRHD2BWP_REMAP_SPC_113 \ar0_reg[9] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11913),
.D(n_1220),
.SI(ar0[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar0[9]));
RSDFCSRHD2BWP_REMAP_SPC_114 \ar1_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1378),
.SI(ar0[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[0]));
RSDFCSRHD2BWP_REMAP_SPC_115 \ar1_reg[10] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1216),
.SI(ar1[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[10]));
RSDFCSRHD2BWP_REMAP_SPC_116 \ar1_reg[11] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1210),
.SI(ar1[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[11]));
RSDFCSRHD2BWP_REMAP_SPC_117 \ar1_reg[12] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1206),
.SI(ar1[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[12]));
RSDFCSRHD2BWP_REMAP_SPC_118 \ar1_reg[13] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1202),
.SI(ar1[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[13]));
RSDFCSRHD2BWP_REMAP_SPC_119 \ar1_reg[14] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1198),
.SI(ar1[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[14]));
RSDFCSRHD2BWP_REMAP_SPC_120 \ar1_reg[15] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1196),
.SI(ar1[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[15]));
RSDFCSRHD2BWP_REMAP_SPC_121 \ar1_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1386),
.SI(ar1[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[1]));
RSDFCSRHD2BWP_REMAP_SPC_122 \ar1_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1343),
.SI(ar1[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[2]));
RSDFCSRHD2BWP_REMAP_SPC_123 \ar1_reg[3] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1308),
.SI(ar1[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[3]));
RSDFCSRHD2BWP_REMAP_SPC_124 \ar1_reg[4] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1282),
.SI(ar1[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[4]));
RSDFCSRHD2BWP_REMAP_SPC_125 \ar1_reg[5] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1265),
.SI(ar1[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[5]));
RSDFCSRHD2BWP_REMAP_SPC_126 \ar1_reg[6] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1248),
.SI(ar1[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[6]));
RSDFCSRHD2BWP_REMAP_SPC_127 \ar1_reg[7] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1239),
.SI(ar1[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[7]));
RSDFCSRHD2BWP_REMAP_SPC_128 \ar1_reg[8] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1231),
.SI(ar1[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[8]));
RSDFCSRHD2BWP_REMAP_SPC_129 \ar1_reg[9] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11916),
.D(n_1218),
.SI(ar1[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ar1[9]));
RSDFCSRHD2BWP_REMAP_SPC_130 arp_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1183),
.SI(ar1[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(arp));
RSDFCSRHD2BWP_REMAP_SPC_131 branch_stall_delay_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1422),
.SI(arp),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(branch_stall_delay));
RSDFCSRHD2BWP_REMAP_SPC_132 branch_stall_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1317),
.SI(branch_stall_delay),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(branch_stall_2295));
RSDFCSRHD2BWP_REMAP_SPC_133 dmov_inc_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1304),
.SI(branch_stall_2295),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(dmov_inc));
RSDFCSRHD2BWP_REMAP_SPC_134 dp_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1187),
.SI(dmov_inc),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(dp));
RSDFCSRHD2BWP_REMAP_SPC_135 go_data_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1271),
.SI(dp),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(go_data));
RSDFCSRHD2BWP_REMAP_SPC_136 go_port_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1388),
.SI(go_data),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(go_port));
RSDFCSRHD2BWP_REMAP_SPC_137 go_prog_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1266),
.SI(go_port),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(go_prog));
RSDFCSRHD2BWP_REMAP_SPC_138 ov_flag_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1245),
.SI(go_prog),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ov_2292));
RSDFCSRHD2BWP_REMAP_SPC_139 ovm_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1438),
.SI(ov_2292),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(ovm));
RSDFCSRHD2BWP_REMAP_SPC_140 \p_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[0]),
.SI(ovm),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[0]));
RSDFCSRHD2BWP_REMAP_SPC_141 \p_reg[10] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[10]),
.SI(p[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[10]));
RSDFCSRHD2BWP_REMAP_SPC_142 \p_reg[11] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[11]),
.SI(p[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[11]));
RSDFCSRHD2BWP_REMAP_SPC_143 \p_reg[12] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[12]),
.SI(p[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[12]));
RSDFCSRHD2BWP_REMAP_SPC_144 \p_reg[13] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[13]),
.SI(p[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[13]));
RSDFCSRHD2BWP_REMAP_SPC_145 \p_reg[14] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[14]),
.SI(p[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[14]));
RSDFCSRHD2BWP_REMAP_SPC_146 \p_reg[15] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[15]),
.SI(p[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[15]));
RSDFCSRHD2BWP_REMAP_SPC_147 \p_reg[16] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[16]),
.SI(p[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[16]));
RSDFCSRHD2BWP_REMAP_SPC_148 \p_reg[17] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[17]),
.SI(p[16]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[17]));
RSDFCSRHD2BWP_REMAP_SPC_149 \p_reg[18] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[18]),
.SI(p[17]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[18]));
RSDFCSRHD2BWP_REMAP_SPC_150 \p_reg[19] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[19]),
.SI(p[18]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[19]));
RSDFCSRHD2BWP_REMAP_SPC_151 \p_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[1]),
.SI(p[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[1]));
RSDFCSRHD2BWP_REMAP_SPC_152 \p_reg[20] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[20]),
.SI(p[19]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[20]));
RSDFCSRHD2BWP_REMAP_SPC_153 \p_reg[21] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[21]),
.SI(p[20]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[21]));
RSDFCSRHD2BWP_REMAP_SPC_154 \p_reg[22] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[22]),
.SI(p[21]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[22]));
RSDFCSRHD2BWP_REMAP_SPC_155 \p_reg[23] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[23]),
.SI(p[22]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[23]));
RSDFCSRHD2BWP_REMAP_SPC_156 \p_reg[24] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[24]),
.SI(p[23]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[24]));
RSDFCSRHD2BWP_REMAP_SPC_157 \p_reg[25] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[25]),
.SI(p[24]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[25]));
RSDFCSRHD2BWP_REMAP_SPC_158 \p_reg[26] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[26]),
.SI(p[25]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[26]));
RSDFCSRHD2BWP_REMAP_SPC_159 \p_reg[27] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[27]),
.SI(p[26]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[27]));
RSDFCSRHD2BWP_REMAP_SPC_160 \p_reg[28] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[28]),
.SI(p[27]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[28]));
RSDFCSRHD2BWP_REMAP_SPC_161 \p_reg[29] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[29]),
.SI(p[28]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[29]));
RSDFCSRHD2BWP_REMAP_SPC_162 \p_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[2]),
.SI(p[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[2]));
RSDFCSRHD2BWP_REMAP_SPC_163 \p_reg[30] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[30]),
.SI(p[29]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[30]));
RSDFCSRHD2BWP_REMAP_SPC_164 \p_reg[31] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[31]),
.SI(p[30]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[31]));
RSDFCSRHD2BWP_REMAP_SPC_165 \p_reg[3] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[3]),
.SI(p[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[3]));
RSDFCSRHD2BWP_REMAP_SPC_166 \p_reg[4] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[4]),
.SI(p[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[4]));
RSDFCSRHD2BWP_REMAP_SPC_167 \p_reg[5] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[5]),
.SI(p[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[5]));
RSDFCSRHD2BWP_REMAP_SPC_168 \p_reg[6] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[6]),
.SI(p[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[6]));
RSDFCSRHD2BWP_REMAP_SPC_169 \p_reg[7] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[7]),
.SI(p[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[7]));
RSDFCSRHD2BWP_REMAP_SPC_170 \p_reg[8] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[8]),
.SI(p[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[8]));
RSDFCSRHD2BWP_REMAP_SPC_171 \p_reg[9] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11919),
.D(mpy_result[9]),
.SI(p[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(p[9]));
RSDFCSRHD2BWP_REMAP_SPC_172 pc_acc_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1344),
.SI(DFT_sdi_3),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc_acc));
RSDFCSRHD2BWP_REMAP_SPC_173 \pc_reg[0] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1413),
.SI(pc_acc),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[0]));
RSDFCSRHD2BWP_REMAP_SPC_174 \pc_reg[1] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1399),
.SI(pc[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[1]));
RSDFCSRHD2BWP_REMAP_SPC_175 \pc_reg[2] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1366),
.SI(pc[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[2]));
RSDFCSRHD2BWP_REMAP_SPC_176 \pc_reg[3] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1321),
.SI(pc[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[3]));
RSDFCSRHD2BWP_REMAP_SPC_177 \pc_reg[4] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1290),
.SI(pc[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[4]));
RSDFCSRHD2BWP_REMAP_SPC_178 \pc_reg[5] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1270),
.SI(pc[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[5]));
RSDFCSRHD2BWP_REMAP_SPC_179 \pc_reg[6] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1255),
.SI(pc[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[6]));
RSDFCSRHD2BWP_REMAP_SPC_180 \pc_reg[7] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1243),
.SI(pc[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[7]));
RSDFCSRHD2BWP_REMAP_SPC_181 \pc_reg[8] (.CDN(1'b1),
.SDN(1'b0),
.CP(rc_gclk_11922),
.D(n_1237),
.SI(pc[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(pc[8]));
RSDFCSRHD2BWP_REMAP_SPC_182 read_prog_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1268),
.SI(pc[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(read_prog));
RSDFCSRHD2BWP_REMAP_SPC_183 \sel_op_a_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11925),
.D(n_998),
.SI(read_prog),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(sel_op_a[0]));
RSDFCSRHD2BWP_REMAP_SPC_184 \sel_op_a_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11925),
.D(n_1261),
.SI(sel_op_a[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(sel_op_a[1]));
RSDFCSRHD2BWP_REMAP_SPC_185 \sel_op_a_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11925),
.D(n_889),
.SI(sel_op_a[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(sel_op_a[2]));
RSDFCSRHD2BWP_REMAP_SPC_186 \sel_op_b_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11925),
.D(n_1373),
.SI(sel_op_a[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(sel_op_b[0]));
RSDFCSRHD2BWP_REMAP_SPC_187 \sel_op_b_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11925),
.D(n_1320),
.SI(sel_op_b[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(sel_op_b[1]));
RSDFCSRHD2BWP_REMAP_SPC_188 \sel_op_b_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11925),
.D(n_1356),
.SI(sel_op_b[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(sel_op_b[2]));
RSDFCSRHD2BWP_REMAP_SPC_189 skip_one_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1302),
.SI(sel_op_b[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(skip_one));
RSDFCSRHD2BWP_REMAP_SPC_190 three_cycle_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1260),
.SI(skip_one),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(three_cycle));
RSDFCSRHD2BWP_REMAP_SPC_191 \top_reg[0] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[0]),
.SI(three_cycle),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[0]));
RSDFCSRHD2BWP_REMAP_SPC_192 \top_reg[10] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[10]),
.SI(top[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[10]));
RSDFCSRHD2BWP_REMAP_SPC_193 \top_reg[11] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[11]),
.SI(top[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[11]));
RSDFCSRHD2BWP_REMAP_SPC_194 \top_reg[12] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[12]),
.SI(top[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[12]));
RSDFCSRHD2BWP_REMAP_SPC_195 \top_reg[13] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[13]),
.SI(top[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[13]));
RSDFCSRHD2BWP_REMAP_SPC_196 \top_reg[14] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[14]),
.SI(top[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[14]));
RSDFCSRHD2BWP_REMAP_SPC_197 \top_reg[15] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[15]),
.SI(top[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[15]));
RSDFCSRHD2BWP_REMAP_SPC_198 \top_reg[1] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[1]),
.SI(top[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[1]));
RSDFCSRHD2BWP_REMAP_SPC_199 \top_reg[2] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[2]),
.SI(top[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[2]));
RSDFCSRHD2BWP_REMAP_SPC_200 \top_reg[3] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[3]),
.SI(top[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[3]));
RSDFCSRHD2BWP_REMAP_SPC_201 \top_reg[4] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[4]),
.SI(top[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[4]));
RSDFCSRHD2BWP_REMAP_SPC_202 \top_reg[5] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[5]),
.SI(top[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[5]));
RSDFCSRHD2BWP_REMAP_SPC_203 \top_reg[6] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[6]),
.SI(top[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[6]));
RSDFCSRHD2BWP_REMAP_SPC_204 \top_reg[7] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[7]),
.SI(top[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[7]));
RSDFCSRHD2BWP_REMAP_SPC_205 \top_reg[8] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[8]),
.SI(top[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[8]));
RSDFCSRHD2BWP_REMAP_SPC_206 \top_reg[9] (.CDN(n_787),
.SDN(1'b1),
.CP(rc_gclk_11928),
.D(mdr[9]),
.SI(top[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(top[9]));
RSDFCSRHD2BWP_REMAP_SPC_207 two_cycle_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1273),
.SI(top[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(two_cycle));
RSDFCSRHD2BWP_REMAP_SPC_208 update_it_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1284),
.SI(two_cycle),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(update_it));
RSDFCSRHD2BWP_REMAP_SPC_209 update_stall_reg (.CDN(n_787),
.SDN(1'b1),
.CP(clk),
.D(n_1423),
.SI(update_it),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(DFT_sdo));
INVXL g3350 (.Y(n_787),
.A(reset));
OR2X1 g15331 (.Y(n_769),
.B(n_764),
.A(n_77));
AND2X1 g15335 (.Y(n_765),
.B(n_749),
.A(ar[14]));
AND2X2 g15336 (.Y(n_764),
.B(n_755),
.A(n_100));
OR2X1 g15348 (.Y(n_758),
.B(n_755),
.A(n_75));
AND2X2 g15351 (.Y(n_755),
.B(n_738),
.A(n_86));
AND2X2 g15357 (.Y(n_749),
.B(n_739),
.A(ar[13]));
OR2X1 g15364 (.Y(n_748),
.B(n_738),
.A(n_73));
AND2X2 g15375 (.Y(n_739),
.B(n_733),
.A(ar[12]));
AND2X2 g15376 (.Y(n_738),
.B(n_727),
.A(n_88));
OR2X1 g15382 (.Y(n_736),
.B(n_727),
.A(n_70));
AND2X2 g15385 (.Y(n_733),
.B(n_722),
.A(ar[11]));
AND2X2 g15393 (.Y(n_727),
.B(n_716),
.A(n_106));
OR2X1 g15398 (.Y(n_726),
.B(n_716),
.A(n_68));
AND2X2 g15403 (.Y(n_722),
.B(n_699),
.A(ar[10]));
AND2X2 g15411 (.Y(n_716),
.B(n_694),
.A(n_87));
OR2X1 g15423 (.Y(n_712),
.B(n_694),
.A(n_67));
CLKINVX4 g15434 (.Y(n_701),
.A(n_29));
AND2X2 g15439 (.Y(n_699),
.B(n_677),
.A(ar[9]));
AND2X2 g15444 (.Y(n_694),
.B(n_674),
.A(n_85));
CLKINVX2 g15450 (.Y(n_690),
.A(n_1240));
OR2X1 g15455 (.Y(n_688),
.B(n_1246),
.A(n_208));
OR2X1 g15457 (.Y(n_686),
.B(n_674),
.A(n_63));
AND2X2 g15470 (.Y(n_677),
.B(n_658),
.A(ar[8]));
AND2X2 g15474 (.Y(n_674),
.B(n_654),
.A(n_84));
OR2X1 g15485 (.Y(n_669),
.B(n_654),
.A(n_62));
AND2X2 g15501 (.Y(n_658),
.B(n_626),
.A(ar[7]));
AND2X1 g15502 (.Y(n_657),
.B(n_630),
.A(pc[7]));
AND2X2 g15505 (.Y(n_654),
.B(n_622),
.A(n_90));
OR2X1 g15525 (.Y(n_889),
.B(n_628),
.A(n_181));
OR2X1 g15535 (.Y(n_637),
.B(n_622),
.A(n_58));
AND2X2 g15545 (.Y(n_630),
.B(n_591),
.A(pc[6]));
OR2X2 g15547 (.Y(n_628),
.B(n_1278),
.A(n_342));
AND2X2 g15549 (.Y(n_626),
.B(n_585),
.A(ar[6]));
AND2X2 g15555 (.Y(n_622),
.B(n_581),
.A(n_93));
OR2X1 g15564 (.Y(n_615),
.B(n_55),
.A(n_92));
OR2X1 g15569 (.Y(n_612),
.B(n_17),
.A(n_103));
AND2X1 g15578 (.Y(n_608),
.B(n_8),
.A(n_372));
OR2X1 g15587 (.Y(n_602),
.B(n_581),
.A(n_51));
AND2X2 g15601 (.Y(n_591),
.B(n_537),
.A(pc[5]));
AND2X2 g15608 (.Y(n_585),
.B(n_532),
.A(ar[5]));
AND2X2 g15615 (.Y(n_581),
.B(n_540),
.A(n_107));
CLKINVX1 g15619 (.Y(n_578),
.A(n_8));
AND2X1 g15631 (.Y(n_570),
.B(n_1439),
.A(ir[2]));
OR2X2 g15649 (.Y(n_561),
.B(n_1327),
.A(ir[3]));
OR2X1 g15650 (.Y(n_560),
.B(n_1325),
.A(go_prog));
OR2X1 g15662 (.Y(n_550),
.B(n_540),
.A(n_47));
AND2X2 g15675 (.Y(n_540),
.B(n_458),
.A(n_112));
AND2X2 g15681 (.Y(n_537),
.B(n_441),
.A(pc[4]));
OR2X1 g15686 (.Y(n_534),
.B(n_1346),
.A(n_118));
AND2X2 g15688 (.Y(n_532),
.B(n_459),
.A(ar[4]));
AND2X1 g15740 (.Y(n_495),
.B(n_445),
.A(three_cycle));
AND2X1 g15748 (.Y(n_487),
.B(n_444),
.A(n_349));
OR2X1 g15750 (.Y(n_485),
.B(n_458),
.A(n_38));
CLKINVX1 g15757 (.Y(n_479),
.A(n_1358));
OR2X1 g15768 (.Y(n_468),
.B(n_41),
.A(n_118));
AND2X2 g15778 (.Y(n_459),
.B(n_291),
.A(ar[3]));
AND2X2 g15780 (.Y(n_458),
.B(n_323),
.A(n_110));
AND2X1 g15783 (.Y(n_456),
.B(n_416),
.A(acc[31]));
OR2X2 g15786 (.Y(n_454),
.B(n_386),
.A(ir[3]));
CLKINVX2 g15788 (.Y(n_452),
.A(n_451));
OR2X2 g15789 (.Y(n_451),
.B(n_22),
.A(n_118));
CLKINVX1 g15795 (.Y(n_447),
.A(n_446));
AND2X1 g15796 (.Y(n_446),
.B(n_407),
.A(n_186));
CLKINVX2 g15797 (.Y(n_444),
.A(n_445));
OR2X2 g15798 (.Y(n_445),
.B(n_403),
.A(n_193));
AND2X1 g15800 (.Y(n_443),
.B(n_392),
.A(n_174));
AND2X2 g15802 (.Y(n_441),
.B(n_306),
.A(pc[3]));
OR2X1 g15812 (.Y(n_432),
.B(n_34),
.A(n_258));
AND2X2 g15832 (.Y(n_416),
.B(n_379),
.A(ir[9]));
CLKINVX1 g15833 (.Y(n_415),
.A(n_36));
OR2X2 g15837 (.Y(n_412),
.B(n_357),
.A(n_373));
AND2X1 g15843 (.Y(n_407),
.B(n_367),
.A(n_117));
CLKINVX1 g15848 (.Y(n_403),
.A(n_34));
AND2X1 g15851 (.Y(n_402),
.B(n_360),
.A(n_186));
CLKINVX1 g15852 (.Y(n_401),
.A(n_400));
OR2X2 g15853 (.Y(n_400),
.B(n_349),
.A(n_103));
OR2X1 g15856 (.Y(n_397),
.B(n_1390),
.A(n_191));
CLKINVX2 g15861 (.Y(n_392),
.A(n_391));
AND2X2 g15862 (.Y(n_391),
.B(n_366),
.A(n_361));
AND2X2 g15866 (.Y(n_389),
.B(n_367),
.A(n_225));
OR2X2 g15873 (.Y(n_386),
.B(n_4),
.A(n_99));
AND2X1 g15888 (.Y(n_379),
.B(n_313),
.A(n_102));
CLKINVX1 g15890 (.Y(n_376),
.A(n_377));
AND2X2 g15891 (.Y(n_377),
.B(n_301),
.A(n_143));
AND2X1 g15893 (.Y(n_374),
.B(n_295),
.A(n_143));
CLKINVX1 g15894 (.Y(n_373),
.A(n_372));
OR2X2 g15895 (.Y(n_372),
.B(n_294),
.A(n_130));
OR2X2 g15897 (.Y(n_371),
.B(n_23),
.A(n_181));
CLKINVX1 g15901 (.Y(n_367),
.A(n_366));
OR2X2 g15902 (.Y(n_366),
.B(n_281),
.A(n_144));
AND2X1 g15903 (.Y(n_365),
.B(arnz),
.A(n_320));
OR2X1 g15904 (.Y(n_364),
.B(lez),
.A(n_14));
OR2X2 g15906 (.Y(n_363),
.B(n_281),
.A(n_130));
CLKINVX2 g15908 (.Y(n_360),
.A(n_361));
OR2X2 g15909 (.Y(n_361),
.B(n_281),
.A(n_121));
AND2X1 g15910 (.Y(n_359),
.B(n_313),
.A(ir[10]));
AND2X1 g15912 (.Y(n_357),
.B(n_295),
.A(n_122));
CLKINVX2 g15921 (.Y(n_349),
.A(n_1393));
OR2X1 g15930 (.Y(n_343),
.B(n_323),
.A(n_26));
CLKINVX1 g15931 (.Y(n_342),
.A(n_1396));
AND2X2 g15952 (.Y(n_323),
.B(n_145),
.A(n_96));
AND2X1 g15953 (.Y(n_322),
.B(n_241),
.A(mdr[11]));
AND2X2 g15955 (.Y(n_320),
.B(n_255),
.A(n_20));
AND2X1 g15959 (.Y(n_316),
.B(n_243),
.A(n_139));
AND2X1 g15960 (.Y(n_315),
.B(n_241),
.A(mdr[8]));
AND2X1 g15961 (.Y(n_314),
.B(n_241),
.A(mdr[14]));
AND2X1 g15962 (.Y(n_313),
.B(n_1415),
.A(n_142));
AND2X1 g15964 (.Y(n_312),
.B(n_241),
.A(mdr[9]));
AND2X1 g15966 (.Y(n_310),
.B(n_241),
.A(mdr[10]));
AND2X2 g15970 (.Y(n_306),
.B(n_132),
.A(pc[2]));
AND2X1 g15972 (.Y(n_305),
.B(n_241),
.A(mdr[13]));
AND2X1 g15973 (.Y(n_304),
.B(n_241),
.A(mdr[15]));
OR2X2 g15976 (.Y(n_302),
.B(n_252),
.A(n_190));
CLKINVX1 g15977 (.Y(n_301),
.A(n_300));
OR2X2 g15978 (.Y(n_300),
.B(n_231),
.A(n_140));
AND2X1 g15982 (.Y(n_296),
.B(n_241),
.A(mdr[12]));
CLKINVX1 g15983 (.Y(n_295),
.A(n_294));
OR2X2 g15984 (.Y(n_294),
.B(n_249),
.A(n_138));
AND2X2 g15987 (.Y(n_291),
.B(n_126),
.A(ar[2]));
OR2X2 g15999 (.Y(n_281),
.B(n_240),
.A(n_160));
CLKINVX2 g16000 (.Y(n_280),
.A(n_895));
AND2X2 g16001 (.Y(n_895),
.B(n_251),
.A(n_111));
AND2X1 g16007 (.Y(n_275),
.B(n_251),
.A(ir[12]));
OR2X1 g16009 (.Y(n_273),
.B(n_1420),
.A(n_1417));
OR2X1 g16014 (.Y(n_269),
.B(n_1421),
.A(n_1416));
CLKINVX1 g16028 (.Y(n_258),
.A(n_257));
AND2X1 g16029 (.Y(n_257),
.B(n_196),
.A(n_117));
AND2X1 g16031 (.Y(n_255),
.B(n_169),
.A(phi_1));
CLKINVX1 g16034 (.Y(n_252),
.A(n_24));
CLKINVX1 g16036 (.Y(n_251),
.A(n_21));
AND2X1 g16039 (.Y(n_250),
.B(n_998),
.A(n_207));
OR2X2 g16040 (.Y(n_249),
.B(n_182),
.A(n_111));
OR2X1 g16044 (.Y(n_245),
.B(n_177),
.A(n_196));
AND2X2 g16046 (.Y(n_243),
.B(n_183),
.A(n_136));
AND2X2 g16048 (.Y(n_241),
.B(n_210),
.A(n_136));
CLKINVX2 g16049 (.Y(n_239),
.A(n_240));
OR2X2 g16050 (.Y(n_240),
.B(n_176),
.A(ir[12]));
CLKINVX2 g16058 (.Y(n_231),
.A(n_232));
AND2X2 g16059 (.Y(n_232),
.B(n_183),
.A(n_111));
CLKINVX2 g16064 (.Y(n_225),
.A(n_226));
OR2X2 g16065 (.Y(n_226),
.B(n_204),
.A(n_124));
CLKINVX2 g16079 (.Y(n_212),
.A(n_1425));
CLKINVX1 g16083 (.Y(n_998),
.A(n_210));
AND2X2 g16084 (.Y(n_210),
.B(n_128),
.A(n_89));
CLKINVX2 g16085 (.Y(n_209),
.A(n_19));
CLKINVX1 g16087 (.Y(n_207),
.A(n_208));
AND2X2 g16088 (.Y(n_208),
.B(n_9),
.A(n_89));
AND2X1 g16090 (.Y(n_205),
.B(n_121),
.A(n_148));
CLKINVX2 g16092 (.Y(n_203),
.A(n_204));
OR2X2 g16093 (.Y(n_204),
.B(n_118),
.A(n_92));
AND2X2 g16095 (.Y(n_201),
.B(n_153),
.A(n_139));
AND2X2 g16097 (.Y(n_199),
.B(n_117),
.A(n_125));
AND2X1 g16098 (.Y(n_198),
.B(n_134),
.A(two_cycle));
CLKINVX2 g16100 (.Y(n_195),
.A(n_196));
AND2X2 g16101 (.Y(n_196),
.B(n_154),
.A(phi_6));
CLKINVX1 g16103 (.Y(n_193),
.A(n_192));
OR2X2 g16104 (.Y(n_192),
.B(n_141),
.A(ir[14]));
OR2X2 g16106 (.Y(n_191),
.B(n_141),
.A(n_89));
OR2X2 g16108 (.Y(n_190),
.B(n_144),
.A(n_162));
CLKINVX1 g16112 (.Y(n_186),
.A(n_185));
OR2X2 g16113 (.Y(n_185),
.B(n_155),
.A(n_95));
CLKINVX2 g16115 (.Y(n_182),
.A(n_183));
AND2X2 g16116 (.Y(n_183),
.B(n_128),
.A(ir[14]));
CLKINVX1 g16117 (.Y(n_181),
.A(n_180));
OR2X2 g16118 (.Y(n_180),
.B(n_147),
.A(ir[14]));
CLKINVX2 g16121 (.Y(n_176),
.A(n_177));
AND2X2 g16122 (.Y(n_177),
.B(n_9),
.A(ir[14]));
AND2X1 g16125 (.Y(n_174),
.B(n_125),
.A(phi_6));
OR2X1 g16127 (.Y(n_172),
.B(n_138),
.A(n_91));
AND2X2 g16129 (.Y(n_171),
.B(n_117),
.A(phi_3));
AND2X1 g16131 (.Y(n_169),
.B(n_133),
.A(n_82));
INVX6 g16135 (.Y(n_166),
.A(n_1424));
OR2X2 g16140 (.Y(n_162),
.B(n_102),
.A(n_82));
CLKINVX1 g16142 (.Y(n_159),
.A(n_160));
OR2X2 g16143 (.Y(n_160),
.B(n_102),
.A(ir[11]));
AND2X1 g16146 (.Y(n_157),
.B(phi_1),
.A(branch_stall_2295));
CLKINVX1 g16148 (.Y(n_154),
.A(n_155));
OR2X2 g16149 (.Y(n_155),
.B(n_105),
.A(three_cycle));
CLKINVX2 g16150 (.Y(n_152),
.A(n_153));
AND2X2 g16151 (.Y(n_153),
.B(ir[8]),
.A(ir[9]));
CLKINVX1 g16153 (.Y(n_150),
.A(n_149));
OR2X2 g16154 (.Y(n_149),
.B(n_109),
.A(ir[4]));
OR2X2 g16156 (.Y(n_148),
.B(n_102),
.A(ir[8]));
OR2X2 g16158 (.Y(n_147),
.B(n_80),
.A(ir[13]));
AND2X1 g16160 (.Y(n_145),
.B(n_81),
.A(n_83));
CLKINVX2 g16161 (.Y(n_143),
.A(n_144));
OR2X2 g16162 (.Y(n_144),
.B(n_91),
.A(ir[9]));
CLKINVX2 g16163 (.Y(n_141),
.A(n_142));
AND2X2 g16164 (.Y(n_142),
.B(ir[15]),
.A(ir[13]));
CLKINVX2 g16165 (.Y(n_139),
.A(n_140));
OR2X2 g16166 (.Y(n_140),
.B(ir[10]),
.A(ir[11]));
OR2X2 g16168 (.Y(n_138),
.B(n_82),
.A(ir[10]));
AND2X2 g16169 (.Y(n_137),
.B(n_109),
.A(ir[4]));
CLKINVX2 g16170 (.Y(n_136),
.A(n_135));
OR2X2 g16171 (.Y(n_135),
.B(n_95),
.A(DFT_sdo));
AND2X1 g16172 (.Y(n_134),
.B(n_114),
.A(three_cycle));
AND2X2 g16174 (.Y(n_133),
.B(ir[14]),
.A(ir[12]));
AND2X2 g16175 (.Y(n_132),
.B(pc[0]),
.A(pc[1]));
CLKINVX2 g16177 (.Y(n_129),
.A(n_130));
OR2X2 g16178 (.Y(n_130),
.B(ir[8]),
.A(ir[9]));
AND2X1 g16179 (.Y(n_128),
.B(n_80),
.A(ir[13]));
AND2X2 g16181 (.Y(n_126),
.B(ar[1]),
.A(ar[0]));
CLKINVX1 g16182 (.Y(n_125),
.A(n_124));
OR2X2 g16183 (.Y(n_124),
.B(two_cycle),
.A(three_cycle));
CLKINVX1 g16185 (.Y(n_122),
.A(n_121));
OR2X2 g16186 (.Y(n_121),
.B(n_98),
.A(ir[8]));
INVX6 g16190 (.Y(n_117),
.A(n_118));
OR2X2 g16191 (.Y(n_118),
.B(branch_stall_2295),
.A(branch_stall_delay));
CLKINVX1 g16194 (.Y(n_114),
.A(phi_4));
CLKINVX2 g16195 (.Y(n_113),
.A(phi_1));
CLKINVX2 g16196 (.Y(n_112),
.A(ar[4]));
CLKINVX2 g16197 (.Y(n_111),
.A(ir[12]));
CLKINVX2 g16200 (.Y(n_110),
.A(ar[3]));
CLKINVX1 g16201 (.Y(n_109),
.A(ir[5]));
CLKINVX1 g16202 (.Y(n_108),
.A(pc[0]));
CLKINVX2 g16203 (.Y(n_107),
.A(ar[5]));
CLKINVX2 g16204 (.Y(n_106),
.A(ar[11]));
CLKINVX1 g16205 (.Y(n_105),
.A(two_cycle));
CLKINVX2 g16207 (.Y(n_104),
.A(alu_result[32]));
CLKINVX2 g16211 (.Y(n_103),
.A(phi_3));
CLKINVX1 g16212 (.Y(n_102),
.A(ir[10]));
CLKINVX1 g16214 (.Y(n_101),
.A(ar[15]));
CLKINVX2 g16215 (.Y(n_100),
.A(ar[14]));
CLKINVX1 g16216 (.Y(n_99),
.A(ir[7]));
CLKINVX2 g16217 (.Y(n_98),
.A(ir[9]));
CLKINVX2 g16219 (.Y(n_97),
.A(three_cycle));
CLKINVX2 g16220 (.Y(n_96),
.A(ar[2]));
CLKINVX1 g16221 (.Y(n_95),
.A(phi_5));
CLKINVX1 g16222 (.Y(n_94),
.A(arp));
CLKINVX2 g16223 (.Y(n_93),
.A(ar[6]));
CLKINVX2 g16224 (.Y(n_92),
.A(phi_6));
CLKINVX1 g16225 (.Y(n_91),
.A(ir[8]));
CLKINVX2 g16227 (.Y(n_90),
.A(ar[7]));
CLKINVX1 g16229 (.Y(n_89),
.A(ir[14]));
CLKINVX2 g16231 (.Y(n_88),
.A(ar[12]));
CLKINVX2 g16233 (.Y(n_87),
.A(ar[10]));
CLKINVX2 g16234 (.Y(n_86),
.A(ar[13]));
CLKINVX2 g16235 (.Y(n_85),
.A(ar[9]));
CLKINVX2 g16239 (.Y(n_84),
.A(ar[8]));
CLKINVX2 g16240 (.Y(n_83),
.A(ar[0]));
CLKINVX1 g16242 (.Y(n_82),
.A(ir[11]));
CLKINVX1 g16243 (.Y(n_81),
.A(ar[1]));
CLKINVX1 g16245 (.Y(n_80),
.A(ir[15]));
NOR2BX4 g16247 (.Y(n_77),
.B(n_755),
.AN(ar[14]));
NOR2BX4 g16249 (.Y(n_75),
.B(n_738),
.AN(ar[13]));
NOR2BX4 g16251 (.Y(n_73),
.B(n_727),
.AN(ar[12]));
NOR2BX4 g16254 (.Y(n_70),
.B(n_716),
.AN(ar[11]));
NOR2BX4 g16256 (.Y(n_68),
.B(n_694),
.AN(ar[10]));
NOR2BX4 g16257 (.Y(n_67),
.B(n_674),
.AN(ar[9]));
NOR2BX4 g16261 (.Y(n_63),
.B(n_654),
.AN(ar[8]));
NOR2BX4 g16262 (.Y(n_62),
.B(n_622),
.AN(ar[7]));
NOR2BX4 g16266 (.Y(n_58),
.B(n_581),
.AN(ar[6]));
NOR2BX4 g16269 (.Y(n_55),
.B(n_1294),
.AN(n_42));
NOR2BX4 g16273 (.Y(n_51),
.B(n_540),
.AN(ar[5]));
NOR2BX4 g16274 (.Y(n_50),
.B(n_561),
.AN(n_137));
NOR2BX4 g16277 (.Y(n_47),
.B(n_458),
.AN(ar[4]));
NAND2BX4 g16280 (.Y(n_44),
.B(n_1346),
.AN(n_402));
NAND2BX4 g16282 (.Y(n_42),
.B(n_407),
.AN(two_cycle));
NOR2BX4 g16283 (.Y(n_41),
.B(n_402),
.AN(n_22));
NOR2BX4 g16286 (.Y(n_38),
.B(n_323),
.AN(ar[3]));
NAND2BX4 g16288 (.Y(n_36),
.B(n_379),
.AN(n_144));
NOR2BX4 g16290 (.Y(n_34),
.B(n_1390),
.AN(n_302));
NAND2BX4 g16294 (.Y(n_30),
.B(n_302),
.AN(n_252));
NOR2BX4 g16295 (.Y(n_29),
.B(n_275),
.AN(n_690));
NOR2BX4 g16298 (.Y(n_26),
.B(n_145),
.AN(ar[2]));
NOR2BX4 g16300 (.Y(n_24),
.B(n_191),
.AN(n_111));
NOR2BX4 g16301 (.Y(n_23),
.B(n_190),
.AN(n_232));
NAND2BX4 g16302 (.Y(n_22),
.B(n_174),
.AN(n_361));
NAND2BX4 g16303 (.Y(n_21),
.B(n_171),
.AN(n_207));
NOR2BX4 g16304 (.Y(n_20),
.B(n_148),
.AN(n_142));
NOR2BX4 g16305 (.Y(n_19),
.B(n_147),
.AN(ir[14]));
NOR2BX4 g16306 (.Y(n_18),
.B(n_138),
.AN(n_241));
NOR2BX4 g16307 (.Y(n_17),
.B(n_133),
.AN(n_1291));
NOR2BX1 g16310 (.Y(n_14),
.B(acc[31]),
.AN(n_1414));
NOR2BX1 g16315 (.Y(n_9),
.B(ir[13]),
.AN(n_80));
NAND2BX2 g16316 (.Y(n_8),
.B(ir[3]),
.AN(n_1303));
NAND2BX1 g16320 (.Y(n_4),
.B(update_it),
.AN(n_1409));
NOR2BX1 g16321 (.Y(n_3),
.B(ir[0]),
.AN(n_570));
NOR2BX1 g16323 (.Y(n_1),
.B(lez),
.AN(n_20));
CLKMX2X12 g16325 (.Y(n_1156),
.S0(n_765),
.B(n_101),
.A(ar[15]));
CLKMX2X12 g2 (.Y(n_1157),
.S0(n_764),
.B(n_101),
.A(ar[15]));
CLKMX2X12 g16326 (.Y(n_1158),
.S0(n_749),
.B(n_100),
.A(ar[14]));
CLKMX2X12 g16327 (.Y(n_1159),
.S0(n_739),
.B(n_86),
.A(ar[13]));
CLKMX2X12 g16328 (.Y(n_1160),
.S0(n_733),
.B(n_88),
.A(ar[12]));
CLKMX2X12 g16329 (.Y(n_1161),
.S0(n_722),
.B(n_106),
.A(ar[11]));
CLKMX2X12 g16330 (.Y(n_1162),
.S0(n_699),
.B(n_87),
.A(ar[10]));
CLKMX2X12 g16331 (.Y(n_1163),
.S0(n_677),
.B(n_85),
.A(ar[9]));
NOR2BX4 g16332 (.Y(n_1165),
.B(n_1164),
.AN(n_166));
XNOR2X4 g3 (.Y(n_1164),
.B(n_657),
.A(pc[8]));
CLKMX2X12 g16333 (.Y(n_1166),
.S0(n_658),
.B(n_84),
.A(ar[8]));
NOR2BX4 g16334 (.Y(n_1168),
.B(n_1167),
.AN(n_166));
XNOR2X4 g16335 (.Y(n_1167),
.B(n_630),
.A(pc[7]));
CLKMX2X12 g16336 (.Y(n_1169),
.S0(n_626),
.B(n_90),
.A(ar[7]));
NOR2BX4 g16337 (.Y(n_1171),
.B(n_1170),
.AN(n_166));
XNOR2X4 g16338 (.Y(n_1170),
.B(n_591),
.A(pc[6]));
CLKMX2X12 g16339 (.Y(n_1172),
.S0(n_585),
.B(n_93),
.A(ar[6]));
NOR2BX4 g16340 (.Y(n_1174),
.B(n_1173),
.AN(n_166));
XNOR2X4 g16341 (.Y(n_1173),
.B(n_537),
.A(pc[5]));
CLKMX2X12 g16343 (.Y(n_1175),
.S0(n_1306),
.B(two_cycle),
.A(n_1330));
CLKMX2X12 g16344 (.Y(n_1177),
.S0(n_532),
.B(n_107),
.A(ar[5]));
NOR2BX4 g16345 (.Y(n_1179),
.B(n_1178),
.AN(n_166));
XNOR2X4 g16346 (.Y(n_1178),
.B(n_441),
.A(pc[4]));
CLKMX2X12 g16347 (.Y(n_1180),
.S0(n_459),
.B(n_112),
.A(ar[4]));
NOR2BX4 g16348 (.Y(n_1182),
.B(n_1181),
.AN(n_166));
XNOR2X4 g16349 (.Y(n_1181),
.B(n_306),
.A(pc[3]));
CLKMX2X12 g16350 (.Y(n_1183),
.S0(n_454),
.B(arp),
.A(ir[0]));
CLKMX2X12 g16351 (.Y(n_1184),
.S0(n_291),
.B(n_110),
.A(ar[3]));
NOR2BX4 g16352 (.Y(n_1186),
.B(n_1185),
.AN(n_166));
XNOR2X4 g16353 (.Y(n_1185),
.B(pc[2]),
.A(n_132));
CLKMX2X12 g16354 (.Y(n_1187),
.S0(n_1394),
.B(dp),
.A(n_1426));
CLKMX2X12 g16356 (.Y(n_1188),
.S0(z),
.B(n_1414),
.A(n_20));
CLKMX2X12 g16357 (.Y(n_1190),
.S0(n_126),
.B(n_96),
.A(ar[2]));
CLKMX2X12 g16359 (.Y(n_1191),
.S0(pc[1]),
.B(n_108),
.A(pc[0]));
INVXL g16360 (.Y(n_1194),
.A(n_1193));
AOI221X4 g16361 (.Y(n_1193),
.C0(n_304),
.B1(n_1156),
.B0(n_1420),
.A1(n_1157),
.A0(n_1417));
INVXL g16362 (.Y(n_1196),
.A(n_1195));
AOI221X4 g16363 (.Y(n_1195),
.C0(n_304),
.B1(n_1157),
.B0(n_1416),
.A1(n_1156),
.A0(n_1421));
INVXL g16364 (.Y(n_1198),
.A(n_1197));
AOI221X4 g16365 (.Y(n_1197),
.C0(n_314),
.B1(n_769),
.B0(n_1416),
.A1(n_1158),
.A0(n_1421));
INVXL g16366 (.Y(n_1200),
.A(n_1199));
AOI221X4 g16367 (.Y(n_1199),
.C0(n_314),
.B1(n_1158),
.B0(n_1420),
.A1(n_769),
.A0(n_1417));
INVXL g16368 (.Y(n_1202),
.A(n_1201));
AOI221X4 g16369 (.Y(n_1201),
.C0(n_305),
.B1(n_1159),
.B0(n_1421),
.A1(n_758),
.A0(n_1416));
INVXL g16370 (.Y(n_1204),
.A(n_1203));
AOI221X4 g16371 (.Y(n_1203),
.C0(n_305),
.B1(n_1159),
.B0(n_1420),
.A1(n_758),
.A0(n_1417));
INVXL g16372 (.Y(n_1206),
.A(n_1205));
AOI221X4 g16373 (.Y(n_1205),
.C0(n_296),
.B1(n_748),
.B0(n_1416),
.A1(n_1160),
.A0(n_1421));
INVXL g16374 (.Y(n_1208),
.A(n_1207));
AOI221X4 g16375 (.Y(n_1207),
.C0(n_296),
.B1(n_748),
.B0(n_1417),
.A1(n_1160),
.A0(n_1420));
INVXL g16376 (.Y(n_1210),
.A(n_1209));
AOI221X4 g16377 (.Y(n_1209),
.C0(n_322),
.B1(n_1161),
.B0(n_1421),
.A1(n_736),
.A0(n_1416));
INVXL g16378 (.Y(n_1212),
.A(n_1211));
AOI221X4 g16379 (.Y(n_1211),
.C0(n_322),
.B1(n_736),
.B0(n_1417),
.A1(n_1161),
.A0(n_1420));
INVXL g16380 (.Y(n_1214),
.A(n_1213));
AOI221X4 g16381 (.Y(n_1213),
.C0(n_310),
.B1(n_726),
.B0(n_1417),
.A1(n_1162),
.A0(n_1420));
INVXL g16382 (.Y(n_1216),
.A(n_1215));
AOI221X4 g16383 (.Y(n_1215),
.C0(n_310),
.B1(n_1162),
.B0(n_1421),
.A1(n_726),
.A0(n_1416));
INVXL g16384 (.Y(n_1218),
.A(n_1217));
AOI221X4 g16385 (.Y(n_1217),
.C0(n_312),
.B1(n_1163),
.B0(n_1421),
.A1(n_712),
.A0(n_1416));
INVXL g16386 (.Y(n_1220),
.A(n_1219));
AOI221X4 g16387 (.Y(n_1219),
.C0(n_312),
.B1(n_712),
.B0(n_1417),
.A1(n_1163),
.A0(n_1420));
INVXL g16388 (.Y(n_1222),
.A(n_1221));
AOI32X4 g16389 (.Y(n_1221),
.B1(n_1223),
.B0(n_361),
.A2(n_29),
.A1(n_280),
.A0(alu_cmd[1]));
AND4X6 g16390 (.Y(n_1223),
.D(n_701),
.C(n_1258),
.B(n_1381),
.A(n_207));
INVXL g16391 (.Y(n_1225),
.A(n_1224));
AOI32X4 g16392 (.Y(n_1224),
.B1(n_701),
.B0(n_1256),
.A2(n_29),
.A1(n_280),
.A0(alu_cmd[2]));
INVXL g16393 (.Y(n_1227),
.A(n_1226));
AOI31X4 g16394 (.Y(n_1226),
.B0(n_1236),
.A2(n_690),
.A1(alu_cmd[0]),
.A0(n_21));
INVXL g16395 (.Y(n_1229),
.A(n_1228));
AOI221X4 g16396 (.Y(n_1228),
.C0(n_315),
.B1(n_686),
.B0(n_1417),
.A1(n_1166),
.A0(n_1420));
INVXL g16397 (.Y(n_1231),
.A(n_1230));
AOI221X4 g16398 (.Y(n_1230),
.C0(n_315),
.B1(n_1166),
.B0(n_1421),
.A1(n_686),
.A0(n_1416));
INVXL g16399 (.Y(n_1233),
.A(n_1232));
AOI221X4 g16400 (.Y(n_1232),
.C0(n_701),
.B1(n_401),
.B0(n_199),
.A1(n_371),
.A0(n_171));
INVXL g16401 (.Y(n_1235),
.A(n_1234));
AOI221X4 g16402 (.Y(n_1234),
.C0(n_452),
.B1(n_688),
.B0(n_203),
.A1(n_479),
.A0(n_117));
AO21X4 g16403 (.Y(n_1236),
.B0(n_275),
.A1(n_1240),
.A0(n_1254));
AO21X4 g16404 (.Y(n_1237),
.B0(n_1165),
.A1(n_1424),
.A0(decode[8]));
INVXL g16405 (.Y(n_1239),
.A(n_1238));
AOI221X4 g16406 (.Y(n_1238),
.C0(n_1398),
.B1(n_1169),
.B0(n_1421),
.A1(n_669),
.A0(n_1416));
OA21X4 g16407 (.Y(n_1240),
.B0(n_171),
.A1(n_1246),
.A0(n_1360));
INVXL g16408 (.Y(n_1242),
.A(n_1241));
AOI221X4 g16409 (.Y(n_1241),
.C0(n_1398),
.B1(n_1169),
.B0(n_1420),
.A1(n_669),
.A0(n_1417));
AO21X4 g16410 (.Y(n_1243),
.B0(n_1168),
.A1(n_1424),
.A0(decode[7]));
INVXL g16411 (.Y(n_1245),
.A(n_1244));
AOI31X4 g16412 (.Y(n_1244),
.B0(n_1252),
.A2(n_1292),
.A1(n_1267),
.A0(ov_2292));
OR4X8 g16413 (.Y(n_1246),
.D(n_1257),
.C(n_374),
.B(n_377),
.A(n_1380));
INVXL g16414 (.Y(n_1248),
.A(n_1247));
AOI221X4 g16415 (.Y(n_1247),
.C0(n_1400),
.B1(n_637),
.B0(n_1416),
.A1(n_1172),
.A0(n_1421));
INVXL g16416 (.Y(n_1250),
.A(n_1249));
AOI221X4 g16417 (.Y(n_1249),
.C0(n_1400),
.B1(n_637),
.B0(n_1417),
.A1(n_1172),
.A0(n_1420));
INVXL g16418 (.Y(n_1252),
.A(n_1251));
AOI32X4 g16419 (.Y(n_1251),
.B1(n_1436),
.B0(n_191),
.A2(alu_result[32]),
.A1(n_208),
.A0(n_203));
INVXL g16420 (.Y(n_1254),
.A(n_1253));
NOR4BX4 g16421 (.Y(n_1253),
.D(n_1269),
.C(n_357),
.B(n_1297),
.AN(n_363));
AO21X4 g16422 (.Y(n_1255),
.B0(n_1171),
.A1(n_1424),
.A0(decode[6]));
OR3X6 g16423 (.Y(n_1256),
.C(n_1269),
.B(n_373),
.A(n_342));
OR4X8 g16424 (.Y(n_1257),
.D(n_628),
.C(n_1440),
.B(n_412),
.A(n_578));
AND4X6 g16425 (.Y(n_1258),
.D(n_1279),
.C(n_608),
.B(n_376),
.A(n_209));
INVXL g16426 (.Y(n_1260),
.A(n_1259));
AOI221X4 g16427 (.Y(n_1259),
.C0(n_446),
.B1(n_615),
.B0(three_cycle),
.A1(n_1294),
.A0(n_97));
OR3X6 g16428 (.Y(n_1261),
.C(n_628),
.B(n_371),
.A(n_1419));
INVXL g16429 (.Y(n_1263),
.A(n_1262));
AOI221X4 g16430 (.Y(n_1262),
.C0(n_1402),
.B1(n_1177),
.B0(n_1420),
.A1(n_602),
.A0(n_1417));
INVXL g16431 (.Y(n_1265),
.A(n_1264));
AOI221X4 g16432 (.Y(n_1264),
.C0(n_1402),
.B1(n_1177),
.B0(n_1421),
.A1(n_602),
.A0(n_1416));
AO21X4 g16433 (.Y(n_1266),
.B0(n_389),
.A1(n_612),
.A0(n_560));
OR3X6 g16434 (.Y(n_1267),
.C(n_104),
.B(n_1279),
.A(n_204));
AO21X4 g16435 (.Y(n_1268),
.B0(n_389),
.A1(n_612),
.A0(n_1441));
OR3X6 g16436 (.Y(n_1269),
.C(n_1278),
.B(n_578),
.A(n_210));
AO21X4 g16437 (.Y(n_1270),
.B0(n_1174),
.A1(n_1424),
.A0(decode[5]));
AO21X4 g16438 (.Y(n_1271),
.B0(n_389),
.A1(n_1287),
.A0(n_1337));
AO21X4 g16440 (.Y(n_1273),
.B0(n_389),
.A1(n_447),
.A0(n_1175));
INVXL g16442 (.Y(n_1276),
.A(n_1275));
AOI221X4 g16443 (.Y(n_1275),
.C0(n_1401),
.B1(n_550),
.B0(n_1417),
.A1(n_1180),
.A0(n_1420));
INVXL g16444 (.Y(n_1278),
.A(n_1277));
AOI211X4 g16445 (.Y(n_1277),
.C0(n_3),
.B0(n_1392),
.A1(n_239),
.A0(n_201));
AOI221X4 g16447 (.Y(n_1279),
.C0(n_1297),
.B1(n_570),
.B0(ir[0]),
.A1(n_301),
.A0(n_129));
INVXL g16448 (.Y(n_1282),
.A(n_1281));
AOI221X4 g16449 (.Y(n_1281),
.C0(n_1401),
.B1(n_550),
.B0(n_1416),
.A1(n_1180),
.A0(n_1421));
INVXL g16450 (.Y(n_1284),
.A(n_1283));
AOI32X4 g16451 (.Y(n_1283),
.B1(n_1409),
.B0(update_it),
.A2(n_1295),
.A1(n_136),
.A0(n_113));
INVXL g16452 (.Y(n_1286),
.A(n_1285));
AOI211X4 g16453 (.Y(n_1285),
.C0(n_1379),
.B0(n_166),
.A1(n_1312),
.A0(n_117));
AO21X4 g16454 (.Y(n_1287),
.B0(n_103),
.A1(n_1298),
.A0(n_1339));
NAND4BX4 g16456 (.Y(n_1288),
.D(n_1439),
.C(ir[0]),
.B(n_117),
.AN(ir[2]));
AO21X4 g16457 (.Y(n_1290),
.B0(n_1179),
.A1(n_1424),
.A0(decode[4]));
OA21X4 g16458 (.Y(n_1291),
.B0(n_1298),
.A1(n_1367),
.A0(n_1370));
AO21X4 g16459 (.Y(n_1292),
.B0(n_118),
.A1(n_1314),
.A0(n_36));
INVXL g16460 (.Y(n_1294),
.A(n_1293));
AOI31X4 g16461 (.Y(n_1293),
.B0(n_1299),
.A2(n_1357),
.A1(n_203),
.A0(three_cycle));
OR4X8 g16462 (.Y(n_1295),
.D(n_1430),
.C(n_495),
.B(n_1412),
.A(n_412));
AO21X4 g16464 (.Y(n_1297),
.B0(n_50),
.A1(n_301),
.A0(ir[9]));
AND4X6 g16465 (.Y(n_1298),
.D(n_1332),
.C(n_182),
.B(n_180),
.A(n_209));
OA21X4 g16466 (.Y(n_1299),
.B0(n_117),
.A1(n_44),
.A0(n_479));
INVXL g16468 (.Y(n_1302),
.A(n_1301));
AOI31X4 g16469 (.Y(n_1301),
.B0(n_1315),
.A2(n_1333),
.A1(skip_one),
.A0(n_113));
OR3X6 g16470 (.Y(n_1303),
.C(n_1327),
.B(ir[5]),
.A(ir[4]));
AO21X4 g16471 (.Y(n_1304),
.B0(n_389),
.A1(n_1328),
.A0(n_447));
INVXL g16472 (.Y(n_1306),
.A(n_1305));
OAI211X4 g16473 (.Y(n_1305),
.C0(n_534),
.B0(n_468),
.A1(n_487),
.A0(n_226));
INVXL g16474 (.Y(n_1308),
.A(n_1307));
AOI221X4 g16475 (.Y(n_1307),
.C0(n_1405),
.B1(n_1184),
.B0(n_1421),
.A1(n_485),
.A0(n_1416));
INVXL g16476 (.Y(n_1310),
.A(n_1309));
AOI221X4 g16477 (.Y(n_1309),
.C0(n_1405),
.B1(n_1184),
.B0(n_1420),
.A1(n_485),
.A0(n_1417));
INVXL g16478 (.Y(n_1312),
.A(n_1311));
AOI221X4 g16479 (.Y(n_1311),
.C0(n_1442),
.B1(n_456),
.B0(n_91),
.A1(n_415),
.A0(ov_2292));
INVXL g16480 (.Y(n_1314),
.A(n_1313));
AOI31X4 g16481 (.Y(n_1313),
.B0(n_104),
.A2(n_1365),
.A1(n_22),
.A0(n_1358));
AO21X4 g16482 (.Y(n_1315),
.B0(n_1427),
.A1(n_1361),
.A0(n_199));
INVXL g16483 (.Y(n_1317),
.A(n_1316));
AOI221X4 g16484 (.Y(n_1316),
.C0(n_1379),
.B1(n_1351),
.B0(n_117),
.A1(n_113),
.A0(branch_stall_2295));
OR4X8 g16487 (.Y(n_1320),
.D(n_1352),
.C(n_377),
.B(n_1419),
.A(n_342));
AO21X4 g16488 (.Y(n_1321),
.B0(n_1182),
.A1(n_1424),
.A0(decode[3]));
OA21X4 g16491 (.Y(n_1324),
.B0(n_239),
.A1(n_1432),
.A0(n_452));
OAI221X4 g16493 (.Y(n_1325),
.C0(n_451),
.B1(n_444),
.B0(n_258),
.A1(n_302),
.A0(n_226));
OR4X8 g16494 (.Y(n_1327),
.D(n_1371),
.C(n_152),
.B(ir[2]),
.A(ir[0]));
AO21X4 g16495 (.Y(n_1328),
.B0(n_452),
.A1(n_468),
.A0(dmov_inc));
INVXL g16496 (.Y(n_1330),
.A(n_1329));
AOI221X4 g16497 (.Y(n_1329),
.C0(n_1419),
.B1(n_445),
.B0(n_195),
.A1(n_177),
.A0(n_185));
OA21X4 g16499 (.Y(n_1332),
.B0(n_1411),
.A1(n_443),
.A0(n_240));
OR4X8 g16500 (.Y(n_1333),
.D(n_444),
.C(n_1434),
.B(n_198),
.A(n_118));
AOI31X4 g16502 (.Y(n_1334),
.B0(n_1348),
.A2(n_364),
.A1(n_416),
.A0(ir[8]));
INVXL g16503 (.Y(n_1337),
.A(n_1336));
AOI211X4 g16504 (.Y(n_1336),
.C0(go_data),
.B0(n_452),
.A1(n_1382),
.A0(n_225));
INVXL g16505 (.Y(n_1339),
.A(n_1338));
AOI32X4 g16506 (.Y(n_1338),
.B1(n_117),
.B0(n_141),
.A2(n_397),
.A1(n_225),
.A0(n_111));
INVXL g16507 (.Y(n_1341),
.A(n_1340));
AOI221X4 g16508 (.Y(n_1340),
.C0(n_1403),
.B1(n_343),
.B0(n_1417),
.A1(n_1190),
.A0(n_1420));
INVXL g16509 (.Y(n_1343),
.A(n_1342));
AOI221X4 g16510 (.Y(n_1342),
.C0(n_1403),
.B1(n_343),
.B0(n_1416),
.A1(n_1190),
.A0(n_1421));
AO21X4 g16511 (.Y(n_1344),
.B0(n_1364),
.A1(n_432),
.A0(pc_acc));
AO22X4 g16512 (.Y(n_1345),
.B1(n_1369),
.B0(n_129),
.A1(n_1428),
.A0(n_94));
OA22X4 g16513 (.Y(n_1346),
.B1(n_400),
.B0(n_155),
.A1(n_444),
.A0(n_195));
AO22X4 g16514 (.Y(n_1347),
.B1(n_1369),
.B0(n_143),
.A1(n_1428),
.A0(arp));
OA21X4 g16515 (.Y(n_1348),
.B0(n_98),
.A1(n_1376),
.A0(n_365));
OR4X8 g16518 (.Y(n_1351),
.D(n_416),
.C(n_359),
.B(n_320),
.A(n_415));
OR4X8 g16519 (.Y(n_1352),
.D(n_412),
.C(n_1389),
.B(n_23),
.A(n_374));
NAND4BX4 g16521 (.Y(n_1353),
.D(ir[1]),
.C(ir[7]),
.B(n_153),
.AN(n_1395));
INVXL g16522 (.Y(n_1356),
.A(n_1355));
NAND4BX4 g16523 (.Y(n_1355),
.D(n_250),
.C(n_300),
.B(n_1396),
.AN(n_1392));
AO21X4 g16524 (.Y(n_1357),
.B0(n_445),
.A1(n_360),
.A0(n_105));
OR4X8 g16525 (.Y(n_1358),
.D(n_349),
.C(n_92),
.B(two_cycle),
.A(n_97));
INVXL g16526 (.Y(n_1360),
.A(n_1359));
OAI221X4 g16527 (.Y(n_1359),
.C0(n_97),
.B1(n_360),
.B0(two_cycle),
.A1(n_1393),
.A0(n_105));
AO21X4 g16528 (.Y(n_1361),
.B0(n_401),
.A1(n_392),
.A0(phi_1));
AOI31X4 g16530 (.Y(n_1362),
.B0(n_1372),
.A2(n_1408),
.A1(n_172),
.A0(n_232));
AND3X6 g16531 (.Y(n_1364),
.C(n_403),
.B(n_199),
.A(phi_1));
OA22X4 g16532 (.Y(n_1365),
.B1(n_1381),
.B0(n_92),
.A1(n_376),
.A0(n_103));
AO21X4 g16533 (.Y(n_1366),
.B0(n_1186),
.A1(n_1424),
.A0(decode[2]));
AND3X6 g16534 (.Y(n_1367),
.C(n_30),
.B(n_192),
.A(n_225));
INVXL g16535 (.Y(n_1369),
.A(n_1368));
OAI211X4 g16536 (.Y(n_1368),
.C0(n_113),
.B0(ir[12]),
.A1(n_316),
.A0(n_18));
OA21X4 g16537 (.Y(n_1370),
.B0(n_257),
.A1(n_1390),
.A0(n_30));
OR3X6 g16538 (.Y(n_1371),
.C(n_1395),
.B(ir[1]),
.A(n_99));
OA21X4 g16539 (.Y(n_1372),
.B0(two_cycle),
.A1(n_1393),
.A0(n_177));
OR4X8 g16540 (.Y(n_1373),
.D(n_342),
.C(n_1419),
.B(n_181),
.A(n_232));
AO22X4 g16541 (.Y(n_1374),
.B1(n_1415),
.B0(n_1188),
.A1(n_320),
.A0(bioz));
AO22X4 g16542 (.Y(n_1375),
.B1(n_1393),
.B0(n_225),
.A1(n_371),
.A0(n_203));
OA21X4 g16543 (.Y(n_1376),
.B0(n_1415),
.A1(n_1),
.A0(n_14));
AO21X4 g16544 (.Y(n_1377),
.B0(n_1404),
.A1(n_273),
.A0(n_83));
AO21X4 g16545 (.Y(n_1378),
.B0(n_1404),
.A1(n_269),
.A0(n_83));
AND4X6 g16546 (.Y(n_1379),
.D(n_255),
.C(n_1414),
.B(n_98),
.A(n_117));
INVXL g16547 (.Y(n_1381),
.A(n_1380));
OAI31X4 g16548 (.Y(n_1380),
.B0(n_363),
.A2(n_240),
.A1(n_140),
.A0(n_121));
AO21X4 g16549 (.Y(n_1382),
.B0(n_1390),
.A1(n_193),
.A0(n_111));
INVXL g16550 (.Y(n_1384),
.A(n_1383));
AOI221X4 g16551 (.Y(n_1383),
.C0(n_1397),
.B1(n_212),
.B0(n_1417),
.A1(n_1425),
.A0(n_1420));
INVXL g16552 (.Y(n_1386),
.A(n_1385));
AOI221X4 g16553 (.Y(n_1385),
.C0(n_1397),
.B1(n_212),
.B0(n_1416),
.A1(n_1425),
.A0(n_1421));
INVXL g16554 (.Y(n_1388),
.A(n_1387));
AOI32X4 g16555 (.Y(n_1387),
.B1(n_103),
.B0(go_port),
.A2(n_225),
.A1(n_193),
.A0(ir[12]));
AO21X4 g16556 (.Y(n_1389),
.B0(n_1410),
.A1(n_232),
.A0(n_201));
AND3X6 g16557 (.Y(n_1390),
.C(n_24),
.B(n_159),
.A(n_153));
INVXL g16558 (.Y(n_1392),
.A(n_1391));
AOI31X4 g16559 (.Y(n_1391),
.B0(n_1410),
.A2(n_232),
.A1(n_143),
.A0(n_159));
AND4X6 g16560 (.Y(n_1393),
.D(n_19),
.C(n_139),
.B(n_129),
.A(n_111));
OR4X8 g16561 (.Y(n_1394),
.D(n_204),
.C(n_162),
.B(n_231),
.A(n_98));
OR3X6 g16562 (.Y(n_1395),
.C(n_249),
.B(ir[6]),
.A(n_162));
OR3X6 g16563 (.Y(n_1396),
.C(n_249),
.B(n_162),
.A(n_121));
AO22X4 g16564 (.Y(n_1397),
.B1(n_243),
.B0(ir[1]),
.A1(n_241),
.A0(mdr[1]));
AO22X4 g16565 (.Y(n_1398),
.B1(n_243),
.B0(ir[7]),
.A1(n_241),
.A0(mdr[7]));
AO22X4 g16566 (.Y(n_1399),
.B1(n_166),
.B0(n_1191),
.A1(n_1424),
.A0(decode[1]));
AO22X4 g16567 (.Y(n_1400),
.B1(n_243),
.B0(ir[6]),
.A1(n_241),
.A0(mdr[6]));
AO22X4 g16568 (.Y(n_1401),
.B1(n_243),
.B0(ir[4]),
.A1(n_241),
.A0(mdr[4]));
AO22X4 g16569 (.Y(n_1402),
.B1(n_243),
.B0(ir[5]),
.A1(n_241),
.A0(mdr[5]));
AO22X4 g16570 (.Y(n_1403),
.B1(n_243),
.B0(ir[2]),
.A1(n_241),
.A0(mdr[2]));
AO22X4 g16571 (.Y(n_1404),
.B1(n_243),
.B0(ir[0]),
.A1(n_241),
.A0(mdr[0]));
AO22X4 g16572 (.Y(n_1405),
.B1(n_243),
.B0(ir[3]),
.A1(n_241),
.A0(mdr[3]));
OA21X4 g16575 (.Y(n_1408),
.B0(n_1418),
.A1(n_205),
.A0(n_82));
OR4X8 g16576 (.Y(n_1409),
.D(n_136),
.C(DFT_sdo),
.B(n_92),
.A(phi_1));
AND3X6 g16577 (.Y(n_1410),
.C(n_232),
.B(n_122),
.A(n_159));
OA21X4 g16578 (.Y(n_1411),
.B0(n_250),
.A1(n_176),
.A0(n_111));
AND3X6 g16579 (.Y(n_1412),
.C(n_20),
.B(n_169),
.A(n_98));
AO22X4 g16580 (.Y(n_1413),
.B1(n_166),
.B0(n_108),
.A1(n_1424),
.A0(decode[0]));
AND3X6 g16581 (.Y(n_1414),
.C(n_142),
.B(ir[10]),
.A(ir[8]));
AND3X6 g16582 (.Y(n_1415),
.C(n_133),
.B(ir[11]),
.A(phi_1));
AND3X6 g16583 (.Y(n_1416),
.C(n_137),
.B(arp),
.A(n_135));
AND3X6 g16584 (.Y(n_1417),
.C(n_137),
.B(n_94),
.A(n_135));
AO21X4 g16585 (.Y(n_1418),
.B0(n_160),
.A1(n_130),
.A0(n_152));
OA21X4 g16586 (.Y(n_1419),
.B0(n_19),
.A1(n_155),
.A0(n_103));
AND3X6 g16587 (.Y(n_1420),
.C(n_150),
.B(n_94),
.A(n_135));
AND3X6 g16588 (.Y(n_1421),
.C(n_150),
.B(arp),
.A(n_135));
AO21X4 g16589 (.Y(n_1422),
.B0(n_157),
.A1(n_92),
.A0(branch_stall_delay));
AO21X4 g16590 (.Y(n_1423),
.B0(n_157),
.A1(n_113),
.A0(DFT_sdo));
OR3X6 g16591 (.Y(n_1424),
.C(n_92),
.B(skip_one),
.A(two_cycle));
AO22X4 g16592 (.Y(n_1425),
.B1(n_83),
.B0(ar[1]),
.A1(n_81),
.A0(ar[0]));
AO22X4 g16593 (.Y(n_1426),
.B1(n_91),
.B0(ir[0]),
.A1(ir[8]),
.A0(mdr[0]));
AOI2BB1X4 g16594 (.Y(n_1427),
.B0(n_1333),
.A1N(n_114),
.A0N(n_97));
AOI2BB1X4 g16595 (.Y(n_1428),
.B0(n_386),
.A1N(n_137),
.A0N(n_150));
NAND3X8 g16596 (.Y(n_1430),
.C(n_1411),
.B(n_1362),
.A(n_1429));
AOI21X4 g16597 (.Y(n_1429),
.B0(n_374),
.A1(n_391),
.A0(n_239));
OA21X4 g16598 (.Y(n_1432),
.B0(n_203),
.A1(n_1431),
.A0(n_201));
AO21X4 g16599 (.Y(n_1431),
.B0(n_1380),
.A1(n_129),
.A0(n_139));
OA21X4 g16600 (.Y(n_1434),
.B0(n_113),
.A1(n_1433),
.A0(n_154));
AO21X4 g16601 (.Y(n_1433),
.B0(n_134),
.A1(n_97),
.A0(n_92));
NAND3BX4 g16602 (.Y(n_1436),
.C(n_1267),
.B(n_1435),
.AN(ov_2292));
NAND2BX4 g16603 (.Y(n_1435),
.B(n_117),
.AN(n_1314));
OAI2BB1X4 g16604 (.Y(n_1438),
.B0(n_1288),
.A1N(n_1437),
.A0N(ovm));
OR3X6 g16605 (.Y(n_1437),
.C(n_561),
.B(n_149),
.A(n_118));
NOR4BBX4 g16606 (.Y(n_1439),
.D(n_1353),
.C(ir[4]),
.BN(n_109),
.AN(ir[3]));
OAI2BB1X4 g16607 (.Y(n_1440),
.B0(n_1279),
.A1N(n_210),
.A0N(n_111));
CLKMX2X12 g16608 (.Y(n_1441),
.S0(n_1325),
.B(n_245),
.A(read_prog));
OAI2BB1X4 g16609 (.Y(n_1442),
.B0(n_1334),
.A1N(n_1374),
.A0N(ir[9]));
endmodule
module mult_unsigned (
A,
B,
Z);
input [15:0] A;
input [15:0] B;
output [31:0] Z;
// Internal wires
wire n_0;
wire n_3;
wire n_5;
wire n_7;
wire n_9;
wire n_10;
wire n_11;
wire n_12;
wire n_62;
wire n_88;
wire n_146;
wire n_149;
wire n_150;
wire n_151;
wire n_152;
wire n_153;
wire n_157;
wire n_158;
wire n_162;
wire n_163;
wire n_165;
wire n_167;
wire n_169;
wire n_170;
wire n_171;
wire n_172;
wire n_173;
wire n_176;
wire n_177;
wire n_178;
wire n_182;
wire n_184;
wire n_185;
wire n_186;
wire n_187;
wire n_188;
wire n_189;
wire n_190;
wire n_191;
wire n_192;
wire n_193;
wire n_194;
wire n_195;
wire n_196;
wire n_198;
wire n_199;
wire n_200;
wire n_201;
wire n_202;
wire n_203;
wire n_204;
wire n_206;
wire n_207;
wire n_208;
wire n_209;
wire n_210;
wire n_211;
wire n_212;
wire n_213;
wire n_227;
wire n_260;
wire n_263;
wire n_267;
wire n_268;
wire n_270;
wire n_279;
wire n_289;
wire n_290;
wire n_291;
wire n_293;
wire n_294;
wire n_295;
wire n_297;
wire n_298;
wire n_299;
wire n_300;
wire n_310;
wire n_311;
wire n_312;
wire n_321;
wire n_341;
wire n_351;
wire n_353;
wire n_357;
wire n_358;
wire n_359;
wire n_360;
wire n_364;
wire n_365;
wire n_369;
wire n_371;
wire n_372;
wire n_386;
wire n_393;
wire n_399;
wire n_400;
wire n_401;
wire n_403;
wire n_407;
wire n_410;
wire n_418;
wire n_420;
wire n_425;
wire n_430;
wire n_431;
wire n_448;
wire n_455;
wire n_458;
wire n_460;
wire n_468;
wire n_475;
wire n_492;
wire n_496;
wire n_497;
wire n_498;
wire n_499;
wire n_500;
wire n_501;
wire n_502;
wire n_503;
wire n_504;
wire n_505;
wire n_506;
wire n_507;
wire n_508;
wire n_509;
wire n_510;
wire n_511;
wire n_512;
wire n_513;
wire n_514;
wire n_515;
wire n_516;
wire n_517;
wire n_518;
wire n_519;
wire n_520;
wire n_521;
wire n_522;
wire n_523;
wire n_524;
wire n_525;
wire n_526;
wire n_527;
wire n_528;
wire n_533;
wire n_536;
wire n_539;
wire n_542;
wire n_543;
wire n_547;
wire n_548;
wire n_550;
wire n_553;
wire n_554;
wire n_555;
wire n_556;
wire n_561;
wire n_562;
wire n_563;
wire n_566;
wire n_569;
wire n_583;
wire n_587;
wire n_590;
wire n_591;
wire n_605;
wire n_607;
wire n_646;
wire n_648;
wire n_651;
wire n_653;
wire n_672;
wire n_673;
wire n_687;
wire n_688;
wire n_719;
wire n_732;
wire n_733;
wire n_749;
wire n_753;
wire n_767;
wire n_770;
wire n_790;
wire n_791;
wire n_806;
wire n_832;
wire n_833;
wire n_856;
wire n_864;
wire n_865;
wire n_871;
wire n_874;
wire n_882;
wire n_899;
wire n_900;
wire n_960;
wire n_985;
wire n_1039;
wire n_1040;
wire n_1042;
wire n_1044;
wire n_1055;
wire n_1062;
wire n_1068;
wire n_1138;
wire n_1164;
wire n_1166;
wire n_1169;
wire n_1173;
wire n_1175;
wire n_1177;
wire n_1179;
wire n_1183;
wire n_1186;
wire n_1190;
wire n_1196;
wire n_1199;
wire n_1202;
wire n_1207;
wire n_1211;
wire n_1216;
wire n_1220;
wire n_1224;
wire n_1227;
wire n_1234;
wire n_1238;
wire n_1240;
wire n_1249;
wire n_1254;
wire n_1257;
wire n_1259;
wire n_1262;
wire n_1265;
wire n_1274;
wire n_1276;
wire n_1278;
wire n_1280;
wire n_1283;
wire n_1286;
wire n_1290;
wire n_1292;
wire n_1296;
wire n_1299;
wire n_1301;
wire n_1304;
wire n_1311;
wire n_1319;
wire n_1321;
wire n_1323;
wire n_1324;
wire n_1325;
wire n_1328;
wire n_1331;
wire n_1338;
wire n_1339;
wire n_1342;
wire n_1343;
wire n_1344;
wire n_1345;
wire n_1346;
wire n_1358;
wire n_1363;
wire n_1364;
wire n_1365;
wire n_1366;
wire n_1367;
wire n_1373;
wire n_1374;
wire n_1375;
wire n_1376;
wire n_1377;
wire n_1378;
wire n_1385;
wire n_1387;
wire n_1388;
wire n_1389;
wire n_1391;
wire n_1392;
wire n_1394;
wire n_1395;
wire n_1397;
wire n_1399;
wire n_1409;
wire n_1413;
wire n_1414;
wire n_1415;
wire n_1423;
wire n_1425;
wire n_1460;
wire n_1463;
wire n_1464;
wire n_1471;
wire n_1472;
wire n_1475;
wire n_1476;
wire n_1477;
wire n_1480;
wire n_1482;
wire n_1486;
wire n_1489;
wire n_1491;
wire n_1493;
wire n_1496;
wire n_1499;
wire n_1503;
wire n_1510;
wire n_1511;
wire n_1514;
wire n_1516;
wire n_1518;
wire n_1524;
wire n_1525;
wire n_1526;
wire n_1527;
wire n_1528;
wire n_1529;
wire n_1530;
wire n_1551;
wire n_1592;
wire n_1593;
wire n_1614;
wire n_1618;
wire n_1633;
wire n_1634;
wire n_1635;
wire n_1636;
wire n_1637;
wire n_1638;
wire n_1639;
wire n_1640;
wire n_1641;
wire n_1642;
wire n_1643;
wire n_1644;
wire n_1645;
wire n_1646;
wire n_1647;
wire n_1648;
wire n_1649;
wire n_1650;
wire n_1651;
wire n_1652;
wire n_1653;
wire n_1654;
wire n_1655;
wire n_1656;
wire n_1657;
wire n_1658;
wire n_1659;
wire n_1660;
wire n_1661;
wire n_1663;
wire n_1665;
wire n_1666;
wire n_1667;
wire n_1668;
wire n_1669;
wire n_1670;
wire n_1671;
wire n_1672;
wire n_1673;
wire n_1678;
wire n_1682;
wire n_1688;
wire n_1690;
wire n_1700;
wire n_1701;
wire n_1703;
wire n_1705;
wire n_1706;
wire n_1710;
wire n_1714;
wire n_1717;
wire n_1721;
wire n_1722;
wire n_1723;
wire n_1736;
wire n_1741;
wire n_1766;
wire n_1767;
wire n_1770;
wire n_1774;
wire n_1775;
wire n_1778;
wire n_1780;
wire n_1782;
wire n_1784;
wire n_1793;
wire n_1795;
wire n_1799;
wire n_1817;
wire n_1818;
wire n_1819;
wire n_1823;
wire n_1827;
wire n_1832;
wire n_1871;
wire n_1874;
wire n_1902;
wire n_1903;
wire n_1904;
wire n_1905;
wire n_1906;
wire n_1907;
wire n_1908;
wire n_1909;
wire n_1910;
wire n_1911;
wire n_1912;
wire n_1913;
wire n_1914;
wire n_1915;
wire n_1916;
wire n_1919;
wire n_1920;
wire n_1921;
wire n_1922;
wire n_1923;
wire n_1924;
wire n_1925;
wire n_1926;
wire n_1927;
wire n_1928;
wire n_1929;
wire n_1934;
wire n_1935;
wire n_1936;
wire n_1937;
wire n_1941;
wire n_1942;
wire n_1944;
wire n_1947;
wire n_1962;
wire n_1963;
wire n_1965;
wire n_1969;
wire n_1971;
wire n_1972;
wire n_1976;
wire n_1977;
wire n_1979;
wire n_1980;
wire n_1988;
wire n_1999;
wire n_2000;
wire n_2001;
wire n_2002;
wire n_2006;
wire n_2023;
wire n_2024;
wire n_2030;
wire n_2036;
wire n_2043;
wire n_2044;
wire n_2045;
wire n_2046;
wire n_2047;
wire n_2048;
wire n_2049;
wire n_2050;
wire n_2073;
wire n_2074;
wire n_2075;
wire n_2076;
wire n_2098;
wire n_2099;
wire n_2101;
wire n_2102;
wire n_2104;
wire n_2105;
wire n_2114;
wire n_2115;
wire n_2119;
wire n_2120;
wire n_2126;
wire n_2128;
wire n_2131;
wire n_2140;
wire n_2152;
wire n_2160;
wire n_2161;
wire n_2165;
wire n_2178;
wire n_2179;
wire n_2180;
wire n_2191;
wire n_2192;
wire n_2193;
wire n_2200;
wire n_2213;
wire n_2216;
wire n_2218;
wire n_2221;
wire n_2222;
wire n_2230;
wire n_2237;
wire n_2245;
wire n_2247;
wire n_2248;
wire n_2270;
wire n_2282;
wire n_2290;
wire n_2293;
wire n_2294;
wire n_2308;
wire n_2309;
wire n_2316;
wire n_2317;
wire n_2321;
wire n_2322;
wire n_2330;
wire n_2375;
wire n_2380;
wire n_2381;
wire n_2384;
wire n_2386;
wire n_2389;
wire n_2391;
wire n_2392;
wire n_2394;
wire n_2395;
wire n_2396;
wire n_2399;
wire n_2400;
wire n_2402;
wire n_2404;
wire n_2406;
wire n_2407;
wire n_2409;
wire n_2410;
wire n_2412;
wire n_2413;
wire n_2414;
wire n_2415;
wire n_2416;
wire n_2417;
wire n_2419;
wire n_2420;
wire n_2421;
wire n_2422;
wire n_2423;
wire n_2424;
wire n_2425;
wire n_2426;
wire n_2427;
wire n_2428;
wire n_2429;
wire n_2430;
wire n_2431;
wire n_2432;
wire n_2434;
wire n_2436;
wire n_2437;
wire n_2438;
wire n_2439;
wire n_2440;
wire n_2441;
wire n_2443;
wire n_2444;
wire n_2445;
wire n_2446;
wire n_2447;
wire n_2448;
wire n_2449;
wire n_2451;
wire n_2452;
wire n_2453;
wire n_2455;
wire n_2456;
wire n_2457;
wire n_2458;
wire n_2459;
wire n_2460;
wire n_2461;
wire n_2462;
wire n_2464;
wire n_2465;
wire n_2466;
wire n_2467;
wire n_2468;
wire n_2470;
wire n_2471;
wire n_2472;
wire n_2473;
wire n_2474;
wire n_2475;
wire n_2476;
wire n_2477;
wire n_2478;
wire n_2481;
wire n_2482;
wire n_2483;
wire n_2485;
wire n_2486;
wire n_2487;
wire n_2488;
wire n_2489;
wire n_2490;
wire n_2491;
wire n_2492;
wire n_2493;
wire n_2494;
wire n_2495;
wire n_2497;
wire n_2498;
wire n_2499;
wire n_2500;
wire n_2501;
wire n_2502;
wire n_2503;
wire n_2504;
wire n_2505;
wire n_2506;
wire n_2507;
wire n_2508;
wire n_2509;
wire n_2510;
wire n_2511;
wire n_2512;
wire n_2513;
wire n_2514;
wire n_2516;
wire n_2517;
wire n_2518;
wire n_2520;
wire n_2521;
wire n_2522;
wire n_2523;
wire n_2524;
wire n_2525;
wire n_2526;
wire n_2527;
wire n_2528;
wire n_2529;
wire n_2530;
wire n_2531;
wire n_2532;
wire n_2533;
wire n_2534;
wire n_2535;
wire n_2536;
wire n_2537;
wire n_2538;
wire n_2539;
wire n_2540;
wire n_2541;
wire n_2542;
wire n_2543;
wire n_2544;
wire n_2546;
wire n_2547;
wire n_2548;
wire n_2549;
wire n_2550;
wire n_2551;
wire n_2552;
wire n_2553;
wire n_2554;
wire n_2555;
wire n_2556;
wire n_2557;
wire n_2558;
wire n_2559;
wire n_2560;
wire n_2561;
wire n_2562;
wire n_2563;
wire n_2564;
wire n_2565;
wire n_2566;
wire n_2567;
wire n_2568;
wire n_2569;
wire n_2570;
wire n_2571;
wire n_2572;
wire n_2573;
wire n_2574;
wire n_2575;
wire n_2576;
wire n_2577;
wire n_2578;
wire n_2579;
wire n_2580;
wire n_2581;
wire n_2582;
wire n_2583;
wire n_2584;
wire n_2585;
wire n_2586;
wire n_2587;
wire n_2588;
wire n_2589;
wire n_2590;
wire n_2591;
wire n_2592;
wire n_2593;
wire n_2594;
wire n_2595;
wire n_2596;
wire n_2597;
wire n_2598;
wire n_2599;
wire n_2600;
wire n_2601;
wire n_2602;
wire n_2603;
wire n_2604;
wire n_2605;
wire n_2606;
wire n_2607;
wire n_2608;
wire n_2609;
wire n_2610;
wire n_2611;
wire n_2612;
wire n_2614;
wire n_2615;
wire n_2616;
wire n_2617;
wire n_2618;
wire n_2619;
wire n_2620;
wire n_2621;
wire n_2622;
wire n_2623;
wire n_2624;
wire n_2625;
wire n_2626;
wire n_2627;
wire n_2628;
wire n_2629;
wire n_2630;
wire n_2631;
wire n_2632;
wire n_2633;
wire n_2634;
wire n_2635;
wire n_2636;
wire n_2637;
wire n_2638;
wire n_2639;
wire n_2640;
wire n_2641;
wire n_2642;
wire n_2643;
wire n_2644;
wire n_2645;
wire n_2646;
wire n_2647;
wire n_2648;
wire n_2649;
wire n_2650;
wire n_2651;
wire n_2652;
wire n_2653;
wire n_2654;
wire n_2655;
wire n_2656;
wire n_2657;
wire n_2658;
wire n_2659;
wire n_2660;
wire n_2661;
wire n_2662;
wire n_2663;
wire n_2664;
wire n_2665;
wire n_2666;
wire n_2667;
wire n_2668;
wire n_2669;
wire n_2670;
wire n_2671;
wire n_2672;
wire n_2673;
wire n_2674;
wire n_2675;
wire n_2676;
wire n_2677;
wire n_2678;
wire n_2679;
wire n_2680;
wire n_2681;
wire n_2682;
wire n_2683;
wire n_2684;
wire n_2685;
wire n_2686;
wire n_2687;
wire n_2688;
wire n_2689;
wire n_2690;
wire n_2691;
wire n_2692;
wire n_2693;
wire n_2694;
wire n_2695;
wire n_2696;
wire n_2697;
wire n_2698;
wire n_2699;
wire n_2700;
wire n_2701;
wire n_2702;
wire n_2703;
wire n_2704;
wire n_2705;
wire n_2706;
wire n_2707;
wire n_2708;
wire n_2709;
wire n_2710;
wire n_2711;
wire n_2712;
wire n_2713;
wire n_2714;
wire n_2715;
wire n_2716;
wire n_2717;
wire n_2718;
wire n_2719;
wire n_2720;
wire n_2721;
wire n_2722;
wire n_2723;
wire n_2730;
wire n_2732;
wire n_2733;
wire n_2734;
wire n_2735;
wire n_2736;
wire n_2737;
wire n_2738;
wire n_2740;
wire n_2741;
wire n_2742;
wire n_2743;
wire n_2744;
wire n_2745;
wire n_2746;
wire n_2747;
wire n_2748;
wire n_2749;
wire n_2750;
wire n_2751;
wire n_2752;
wire n_2753;
wire n_2754;
wire n_2755;
wire n_2756;
wire n_2757;
wire n_2758;
wire n_2759;
wire n_2760;
wire n_2761;
wire n_2762;
wire n_2763;
wire n_2764;
wire n_2765;
wire n_2766;
wire n_2767;
wire n_2768;
wire n_2769;
wire n_2770;
wire n_2771;
wire n_2772;
wire n_2773;
wire n_2774;
wire n_2775;
wire n_2776;
wire n_2777;
wire n_2778;
wire n_2779;
wire n_2780;
wire n_2781;
wire n_2782;
wire n_2783;
wire n_2784;
wire n_2785;
wire n_2786;
wire n_2787;
wire n_2788;
wire n_2789;
wire n_2790;
wire n_2791;
wire n_2792;
wire n_2793;
wire n_2794;
wire n_2795;
wire n_2796;
wire n_2797;
wire n_2798;
wire n_2799;
wire n_2800;
wire n_2801;
wire n_2802;
wire n_2803;
wire n_2804;
wire n_2805;
wire n_2806;
wire n_2807;
wire n_2808;
wire n_2809;
wire n_2810;
wire n_2811;
wire n_2812;
wire n_2813;
wire n_2814;
wire n_2815;
wire n_2816;
wire n_2817;
wire n_2818;
wire n_2819;
wire n_2820;
wire n_2821;
wire n_2822;
wire n_2823;
wire n_2824;
wire n_2825;
wire n_2826;
wire n_2827;
wire n_2828;
wire n_2829;
wire n_2830;
wire n_2831;
wire n_2832;
wire n_2833;
wire n_2834;
wire n_2835;
wire n_2836;
wire n_2837;
wire n_2838;
wire n_2839;
wire n_2840;
wire n_2841;
wire n_2842;
wire n_2843;
wire n_2844;
wire n_2845;
wire n_2846;
wire n_2847;
wire n_2848;
wire n_2849;
wire n_2850;
wire n_2851;
wire n_2852;
wire n_2853;
wire n_2854;
wire n_2855;
wire n_2856;
wire n_2857;
wire n_2858;
wire n_2859;
wire n_2860;
wire n_2861;
wire n_2862;
wire n_2863;
wire n_2864;
wire n_2865;
wire n_2866;
wire n_2867;
wire n_2868;
wire n_2869;
wire n_2870;
wire n_2871;
wire n_2872;
wire n_2873;
wire n_2874;
wire n_2875;
wire n_2876;
wire n_2877;
wire n_2878;
wire n_2879;
wire n_2880;
wire n_2881;
wire n_2882;
wire n_2883;
wire n_2884;
wire n_2885;
wire n_2886;
wire n_2887;
wire n_2888;
wire n_2889;
wire n_2890;
wire n_2891;
wire n_2892;
wire n_2893;
wire n_2894;
wire n_2895;
wire n_2896;
wire n_2897;
wire n_2898;
wire n_2899;
wire n_2900;
wire n_2901;
wire n_2902;
wire n_2903;
wire n_2904;
wire n_2905;
wire n_2906;
wire n_2907;
wire n_2908;
wire n_2909;
wire n_2910;
wire n_2911;
wire n_2912;
wire n_2913;
wire n_2914;
wire n_2915;
wire n_2916;
wire n_2917;
wire n_2918;
wire n_2919;
wire n_2920;
wire n_2921;
wire n_2922;
wire n_2923;
wire n_2924;
wire n_2925;
wire n_2930;
wire n_2931;
wire n_2936;
wire n_2937;
wire n_2938;
wire n_2939;
wire n_2940;
wire n_2941;
wire n_2942;
wire n_2943;
wire n_2944;
wire n_2945;
wire n_2946;
wire n_2947;
wire n_2948;
wire n_2949;
wire n_2950;
wire n_2951;
wire n_2952;
wire n_2953;
wire n_2954;
wire n_2955;
wire n_2956;
wire n_2957;
wire n_2958;
wire n_2959;
wire n_2960;
wire n_2961;
wire n_2962;
wire n_2963;
wire n_2964;
wire n_2965;
wire n_2966;
wire n_2967;
wire n_2968;
wire n_2969;
wire n_2970;
wire n_2971;
wire n_2972;
wire n_2973;
wire n_2974;
wire n_2975;
wire n_2976;
wire n_2980;
wire n_2982;
wire n_2983;
wire n_2984;
wire n_2985;
wire n_2986;
wire n_2988;
wire n_2989;
wire n_2990;
wire n_2991;
CLKINVX2 g24735 (.Y(n_2330),
.A(n_2375));
OR2X1 g24754 (.Y(n_2322),
.B(n_2960),
.A(n_2961));
AND2X1 g24756 (.Y(n_2321),
.B(n_2960),
.A(n_2961));
OR2X2 g24766 (.Y(n_2317),
.B(n_2971),
.A(n_2459));
AND2X1 g24767 (.Y(n_2316),
.B(n_2971),
.A(n_2459));
OR2X1 g24781 (.Y(n_2309),
.B(n_492),
.A(n_2440));
AND2X1 g24783 (.Y(n_2308),
.B(n_492),
.A(n_2440));
AND2X1 g24820 (.Y(n_2294),
.B(n_2970),
.A(n_2420));
OR2X2 g24822 (.Y(n_2293),
.B(n_2970),
.A(n_2420));
CLKINVX2 g24829 (.Y(n_2290),
.A(n_2386));
CLKINVX2 g24839 (.Y(n_2282),
.A(n_2389));
CLKINVX2 g24859 (.Y(n_2270),
.A(n_2395));
CLKINVX2 g24896 (.Y(n_2248),
.A(n_2247));
AND2X2 g24897 (.Y(n_2247),
.B(n_399),
.A(n_2099));
CLKINVX2 g24902 (.Y(n_2245),
.A(n_2404));
CLKINVX2 g24912 (.Y(n_2237),
.A(n_2407));
CLKINVX1 g24922 (.Y(n_2230),
.A(n_2409));
OR2X2 g24933 (.Y(n_2222),
.B(n_430),
.A(n_431));
CLKINVX2 g24935 (.Y(n_2221),
.A(n_2218));
AND2X2 g24941 (.Y(n_2218),
.B(n_364),
.A(n_1963));
CLKINVX4 g24947 (.Y(n_2216),
.A(n_2410));
CLKINVX2 g24951 (.Y(n_2213),
.A(n_2419));
CLKINVX3 g24967 (.Y(n_2200),
.A(n_2413));
AND2X1 g24977 (.Y(n_2193),
.B(n_418),
.A(n_420));
CLKINVX3 g24979 (.Y(n_2192),
.A(n_2417));
CLKINVX3 g24982 (.Y(n_2191),
.A(n_2421));
CLKINVX1 g25003 (.Y(n_2180),
.A(n_2424));
CLKINVX4 g25005 (.Y(n_2179),
.A(n_2425));
CLKINVX3 g25006 (.Y(n_2178),
.A(n_2754));
OR2X2 g25024 (.Y(n_2165),
.B(n_2152),
.A(n_403));
CLKINVX1 g25030 (.Y(n_2161),
.A(n_2432));
CLKINVX2 g25032 (.Y(n_2160),
.A(n_2427));
AND2X1 g25042 (.Y(n_2152),
.B(n_2758),
.A(n_2452));
CLKINVX2 g25065 (.Y(n_2140),
.A(n_2447));
AND2X1 g25075 (.Y(n_2131),
.B(n_2099),
.A(n_393));
OR2X1 g25079 (.Y(n_2128),
.B(n_2443),
.A(n_2732));
AND2X1 g25083 (.Y(n_2126),
.B(n_2443),
.A(n_2732));
AND2X1 g25094 (.Y(n_2120),
.B(n_2448),
.A(n_2502));
OR2X1 g25095 (.Y(n_2119),
.B(n_2448),
.A(n_2502));
CLKINVX4 g25102 (.Y(n_2115),
.A(n_2441));
CLKINVX3 g25103 (.Y(n_2114),
.A(n_2756));
AND2X1 g25119 (.Y(n_2105),
.B(n_2735),
.A(n_2466));
OR2X1 g25120 (.Y(n_2104),
.B(n_2735),
.A(n_2466));
AND2X1 g25123 (.Y(n_2102),
.B(n_2730),
.A(n_2733));
OR2X1 g25126 (.Y(n_2101),
.B(n_2730),
.A(n_2733));
OR2X1 g25129 (.Y(n_2099),
.B(n_2460),
.A(n_2972));
CLKINVX1 g25134 (.Y(n_2098),
.A(n_2445));
CLKINVX1 g25165 (.Y(n_2076),
.A(n_2982));
CLKINVX3 g25168 (.Y(n_2075),
.A(n_2451));
CLKINVX1 g25169 (.Y(n_2074),
.A(n_2760));
CLKINVX4 g25170 (.Y(n_2073),
.A(n_2453));
CLKINVX1 g25204 (.Y(n_2050),
.A(n_2983));
CLKINVX2 g25206 (.Y(n_2049),
.A(n_2455));
CLKINVX2 g25208 (.Y(n_2048),
.A(n_2456));
CLKINVX2 g25211 (.Y(n_2047),
.A(n_2764));
CLKINVX2 g25212 (.Y(n_2046),
.A(n_2458));
CLKINVX2 g25213 (.Y(n_2045),
.A(n_2766));
CLKINVX2 g25214 (.Y(n_2044),
.A(n_2457));
CLKINVX4 g25215 (.Y(n_2043),
.A(n_2763));
AND2X1 g25226 (.Y(n_2036),
.B(n_2962),
.A(n_2521));
OR2X1 g25237 (.Y(n_2030),
.B(n_2962),
.A(n_2521));
CLKINVX4 g25247 (.Y(n_2024),
.A(n_2468));
CLKINVX1 g25252 (.Y(n_2023),
.A(n_2765));
OR2X2 g25287 (.Y(n_2006),
.B(n_1969),
.A(n_353));
AND2X1 g25293 (.Y(n_2002),
.B(n_1963),
.A(n_351));
CLKINVX4 g25294 (.Y(n_2001),
.A(n_2473));
CLKINVX3 g25296 (.Y(n_2000),
.A(n_2474));
CLKINVX4 g25297 (.Y(n_1999),
.A(n_2769));
AND2X2 g25311 (.Y(n_1988),
.B(n_310),
.A(n_1767));
AND2X1 g25325 (.Y(n_1980),
.B(n_2734),
.A(n_2965));
AND2X1 g25327 (.Y(n_1979),
.B(n_2478),
.A(n_2968));
OR2X2 g25330 (.Y(n_1977),
.B(n_2734),
.A(n_2965));
OR2X2 g25332 (.Y(n_1976),
.B(n_2478),
.A(n_2968));
OR2X1 g25338 (.Y(n_1972),
.B(n_2963),
.A(n_2984));
AND2X1 g25340 (.Y(n_1971),
.B(n_2963),
.A(n_2984));
AND2X1 g25343 (.Y(n_1969),
.B(n_2974),
.A(n_2523));
OR2X2 g25353 (.Y(n_1965),
.B(n_2964),
.A(n_2575));
OR2X1 g25358 (.Y(n_1963),
.B(n_2490),
.A(n_1741));
AND2X1 g25364 (.Y(n_1962),
.B(n_2964),
.A(n_2575));
AND2X1 g25386 (.Y(n_1947),
.B(n_2507),
.A(n_2737));
OR2X1 g25391 (.Y(n_1944),
.B(n_2499),
.A(n_2564));
AND2X1 g25394 (.Y(n_1942),
.B(n_2491),
.A(n_2967));
OR2X1 g25395 (.Y(n_1941),
.B(n_2491),
.A(n_2967));
OR2X1 g25399 (.Y(n_1937),
.B(n_2736),
.A(n_475));
AND2X1 g25402 (.Y(n_1936),
.B(n_2736),
.A(n_475));
AND2X1 g25404 (.Y(n_1935),
.B(n_2499),
.A(n_2564));
OR2X2 g25406 (.Y(n_1934),
.B(n_2507),
.A(n_2737));
CLKINVX2 g25412 (.Y(n_1929),
.A(n_2770));
CLKINVX2 g25413 (.Y(n_1928),
.A(n_2476));
CLKINVX2 g25414 (.Y(n_1927),
.A(n_2488));
CLKINVX4 g25417 (.Y(n_1926),
.A(n_2510));
CLKINVX2 g25418 (.Y(n_1925),
.A(n_2486));
CLKINVX2 g25422 (.Y(n_1924),
.A(n_2489));
CLKINVX2 g25424 (.Y(n_1923),
.A(n_2482));
CLKINVX3 g25427 (.Y(n_1922),
.A(n_2771));
CLKINVX2 g25430 (.Y(n_1921),
.A(n_2512));
CLKINVX1 g25431 (.Y(n_1920),
.A(n_2477));
CLKINVX2 g25433 (.Y(n_1919),
.A(n_2483));
CLKINVX2 g25438 (.Y(n_1916),
.A(n_2500));
CLKINVX3 g25439 (.Y(n_1915),
.A(n_2520));
CLKINVX2 g25441 (.Y(n_1914),
.A(n_2776));
CLKINVX2 g25445 (.Y(n_1913),
.A(n_2777));
CLKINVX3 g25446 (.Y(n_1912),
.A(n_2501));
CLKINVX2 g25449 (.Y(n_1911),
.A(n_2506));
CLKINVX2 g25451 (.Y(n_1910),
.A(n_2503));
CLKINVX1 g25452 (.Y(n_1909),
.A(n_2975));
CLKINVX2 g25454 (.Y(n_1908),
.A(n_2505));
CLKINVX2 g25455 (.Y(n_1907),
.A(n_2778));
CLKINVX1 g25457 (.Y(n_1906),
.A(n_2511));
CLKINVX3 g25458 (.Y(n_1905),
.A(n_2497));
CLKINVX2 g25459 (.Y(n_1904),
.A(n_2493));
CLKINVX1 g25460 (.Y(n_1903),
.A(n_2522));
CLKINVX1 g25462 (.Y(n_1902),
.A(n_2508));
OR2X2 g25507 (.Y(n_1874),
.B(n_2538),
.A(n_2966));
AND2X1 g25512 (.Y(n_1871),
.B(n_2538),
.A(n_2966));
OR2X2 g25575 (.Y(n_1832),
.B(n_291),
.A(n_1778));
OR2X2 g25583 (.Y(n_1827),
.B(n_1782),
.A(n_290));
AND2X1 g25592 (.Y(n_1823),
.B(n_289),
.A(n_1767));
CLKINVX3 g25602 (.Y(n_1819),
.A(n_2786));
CLKINVX2 g25604 (.Y(n_1818),
.A(n_2533));
CLKINVX4 g25608 (.Y(n_1817),
.A(n_2525));
OR2X1 g25640 (.Y(n_1799),
.B(n_2559),
.A(n_2528));
AND2X1 g25645 (.Y(n_1795),
.B(n_2559),
.A(n_2528));
OR2X2 g25648 (.Y(n_1793),
.B(n_1705),
.A(n_270));
OR2X1 g25663 (.Y(n_1784),
.B(n_1651),
.A(n_279));
AND2X1 g25670 (.Y(n_1782),
.B(n_2537),
.A(n_1358));
OR2X2 g25674 (.Y(n_1780),
.B(n_1700),
.A(n_279));
AND2X1 g25676 (.Y(n_1778),
.B(n_2534),
.A(n_2582));
OR2X2 g25680 (.Y(n_1775),
.B(n_2580),
.A(n_2738));
AND2X1 g25681 (.Y(n_1774),
.B(n_2580),
.A(n_2738));
OR2X2 g25686 (.Y(n_1770),
.B(n_2541),
.A(n_2706));
OR2X1 g25689 (.Y(n_1767),
.B(n_2542),
.A(n_2797));
AND2X1 g25692 (.Y(n_1766),
.B(n_2541),
.A(n_2706));
AND2X1 g25727 (.Y(n_1741),
.B(n_268),
.A(n_263));
OR2X1 g25733 (.Y(n_1736),
.B(n_2740),
.A(n_2803));
OR2X1 g25747 (.Y(n_1723),
.B(n_2548),
.A(n_2549));
AND2X1 g25751 (.Y(n_1722),
.B(n_2557),
.A(n_2585));
AND2X1 g25753 (.Y(n_1721),
.B(n_2548),
.A(n_2549));
OR2X1 g25759 (.Y(n_1717),
.B(n_2969),
.A(n_1040));
AND2X1 g25764 (.Y(n_1714),
.B(n_2969),
.A(n_1040));
OR2X2 g25769 (.Y(n_1710),
.B(n_468),
.A(n_2635));
AND2X1 g25774 (.Y(n_1706),
.B(n_468),
.A(n_2635));
AND2X1 g25777 (.Y(n_1705),
.B(n_1510),
.A(n_2704));
OR2X1 g25782 (.Y(n_1703),
.B(n_2568),
.A(n_2567));
AND2X1 g25785 (.Y(n_1701),
.B(n_2568),
.A(n_2567));
AND2X1 g25787 (.Y(n_1700),
.B(n_2795),
.A(n_2794));
OR2X1 g25801 (.Y(n_1690),
.B(n_2576),
.A(n_1338));
AND2X1 g25805 (.Y(n_1688),
.B(n_2576),
.A(n_1338));
AND2X1 g25814 (.Y(n_1682),
.B(n_2740),
.A(n_2803));
OR2X1 g25819 (.Y(n_1678),
.B(n_2557),
.A(n_2585));
CLKINVX3 g25825 (.Y(n_1673),
.A(n_1618));
CLKINVX4 g25826 (.Y(n_1672),
.A(n_2532));
CLKINVX4 g25828 (.Y(n_1671),
.A(n_2535));
CLKINVX3 g25832 (.Y(n_1670),
.A(n_2543));
CLKINVX2 g25834 (.Y(n_1669),
.A(n_2536));
CLKINVX4 g25835 (.Y(n_1668),
.A(n_2540));
CLKINVX2 g25840 (.Y(n_1667),
.A(n_1614));
CLKINVX4 g25841 (.Y(n_1666),
.A(n_2539));
CLKINVX1 g25842 (.Y(n_1665),
.A(n_2527));
AND2X1 g25847 (.Y(n_1663),
.B(n_263),
.A(n_260));
CLKINVX4 g25849 (.Y(n_1661),
.A(n_2566));
CLKINVX2 g25850 (.Y(n_1660),
.A(n_1499));
CLKINVX2 g25852 (.Y(n_1659),
.A(n_2560));
CLKINVX2 g25855 (.Y(n_1658),
.A(n_2583));
CLKINVX2 g25859 (.Y(n_1657),
.A(n_1518));
CLKINVX4 g25861 (.Y(n_1656),
.A(n_2547));
CLKINVX2 g25862 (.Y(n_1655),
.A(n_2594));
CLKINVX2 g25864 (.Y(n_1654),
.A(n_2790));
CLKINVX2 g25868 (.Y(n_1653),
.A(n_2550));
CLKINVX2 g25869 (.Y(n_1652),
.A(n_2581));
CLKINVX2 g25870 (.Y(n_1651),
.A(n_2570));
CLKINVX1 g25872 (.Y(n_1650),
.A(n_2561));
CLKINVX1 g25873 (.Y(n_1649),
.A(n_2789));
CLKINVX2 g25874 (.Y(n_1648),
.A(n_2558));
CLKINVX2 g25876 (.Y(n_1647),
.A(n_2571));
CLKINVX2 g25878 (.Y(n_1646),
.A(n_2793));
CLKINVX4 g25879 (.Y(n_1645),
.A(n_1511));
CLKINVX2 g25881 (.Y(n_1644),
.A(n_2552));
CLKINVX4 g25882 (.Y(n_1643),
.A(n_2593));
CLKINVX2 g25883 (.Y(n_1642),
.A(n_2569));
CLKINVX2 g25885 (.Y(n_1641),
.A(n_2556));
CLKINVX4 g25886 (.Y(n_1640),
.A(n_2798));
CLKINVX2 g25887 (.Y(n_1639),
.A(n_2551));
CLKINVX2 g25890 (.Y(n_1638),
.A(n_1503));
CLKINVX3 g25892 (.Y(n_1637),
.A(n_2788));
CLKINVX3 g25896 (.Y(n_1636),
.A(n_1516));
CLKINVX4 g25897 (.Y(n_1635),
.A(n_2577));
CLKINVX4 g25899 (.Y(n_1634),
.A(n_2586));
CLKINVX2 g25901 (.Y(n_1633),
.A(n_2791));
AND2X2 g25920 (.Y(n_1618),
.B(n_193),
.A(n_157));
AND2X2 g25924 (.Y(n_1614),
.B(n_227),
.A(n_185));
OR2X2 g25962 (.Y(n_1593),
.B(n_62),
.A(n_2663));
AND2X1 g25963 (.Y(n_1592),
.B(n_62),
.A(n_2663));
AND2X1 g26010 (.Y(n_1551),
.B(n_150),
.A(n_185));
CLKINVX2 g26077 (.Y(n_1530),
.A(n_1460));
CLKINVX2 g26084 (.Y(n_1529),
.A(n_1464));
CLKINVX2 g26089 (.Y(n_1528),
.A(n_1463));
CLKINVX2 g26093 (.Y(n_1527),
.A(n_1391));
CLKINVX3 g26094 (.Y(n_1526),
.A(n_2800));
CLKINVX3 g26098 (.Y(n_1525),
.A(n_1423));
CLKINVX2 g26100 (.Y(n_1524),
.A(n_2578));
AND2X2 g26106 (.Y(n_1518),
.B(n_210),
.A(n_1409));
AND2X2 g26109 (.Y(n_1516),
.B(n_211),
.A(n_7));
AND2X2 g26112 (.Y(n_1514),
.B(n_204),
.A(n_88));
AND2X2 g26117 (.Y(n_1511),
.B(n_200),
.A(n_1374));
AND2X1 g26118 (.Y(n_1510),
.B(n_198),
.A(n_167));
AND2X2 g26125 (.Y(n_1503),
.B(n_192),
.A(n_5));
AND2X2 g26129 (.Y(n_1499),
.B(n_188),
.A(n_149));
AND2X2 g26132 (.Y(n_1496),
.B(n_186),
.A(n_0));
AND2X1 g26141 (.Y(n_1493),
.B(n_5),
.A(n_153));
AND2X1 g26148 (.Y(n_1491),
.B(n_7),
.A(n_177));
AND2X1 g26152 (.Y(n_1489),
.B(n_0),
.A(n_182));
AND2X1 g26165 (.Y(n_1486),
.B(n_167),
.A(n_169));
AND2X1 g26175 (.Y(n_1482),
.B(n_172),
.A(n_165));
AND2X1 g26180 (.Y(n_1480),
.B(n_88),
.A(n_173));
OR2X2 g26191 (.Y(n_1477),
.B(n_1385),
.A(n_178));
AND2X1 g26195 (.Y(n_1476),
.B(n_158),
.A(n_157));
AND2X1 g26202 (.Y(n_1475),
.B(n_1374),
.A(n_170));
AND2X1 g26210 (.Y(n_1472),
.B(n_1409),
.A(n_176));
AND2X1 g26212 (.Y(n_1471),
.B(n_151),
.A(n_149));
AND2X2 g26221 (.Y(n_1464),
.B(n_1376),
.A(n_171));
AND2X2 g26222 (.Y(n_1463),
.B(n_163),
.A(n_1358));
AND2X2 g26225 (.Y(n_1460),
.B(n_152),
.A(n_62));
AND2X1 g26271 (.Y(n_1425),
.B(n_2680),
.A(n_556));
AND2X2 g26274 (.Y(n_1423),
.B(n_985),
.A(n_1216));
OR2X1 g26284 (.Y(n_1415),
.B(n_2708),
.A(n_2606));
AND2X1 g26287 (.Y(n_1414),
.B(n_2708),
.A(n_2606));
OR2X1 g26288 (.Y(n_1413),
.B(n_2818),
.A(n_543));
OR2X1 g26297 (.Y(n_1409),
.B(n_2610),
.A(n_2611));
AND2X1 g26311 (.Y(n_1399),
.B(n_2621),
.A(n_2699));
OR2X1 g26313 (.Y(n_1397),
.B(n_806),
.A(n_2622));
AND2X1 g26316 (.Y(n_1395),
.B(n_806),
.A(n_2622));
OR2X1 g26317 (.Y(n_1394),
.B(n_2621),
.A(n_2699));
OR2X1 g26319 (.Y(n_1392),
.B(n_2624),
.A(n_519));
AND2X2 g26321 (.Y(n_1391),
.B(n_2722),
.A(n_2625));
AND2X1 g26324 (.Y(n_1389),
.B(n_2628),
.A(n_2626));
OR2X1 g26325 (.Y(n_1388),
.B(n_2628),
.A(n_2626));
AND2X1 g26327 (.Y(n_1387),
.B(n_2624),
.A(n_519));
AND2X1 g26331 (.Y(n_1385),
.B(n_733),
.A(n_2601));
OR2X1 g26342 (.Y(n_1378),
.B(n_2639),
.A(n_2636));
CLKINVX1 g26345 (.Y(n_1377),
.A(n_1376));
OR2X2 g26346 (.Y(n_1376),
.B(n_2709),
.A(n_2640));
AND2X1 g26348 (.Y(n_1375),
.B(n_2639),
.A(n_2636));
OR2X1 g26349 (.Y(n_1374),
.B(n_2641),
.A(n_2642));
AND2X1 g26351 (.Y(n_1373),
.B(n_2652),
.A(n_2717));
OR2X1 g26361 (.Y(n_1367),
.B(n_2662),
.A(n_553));
OR2X1 g26363 (.Y(n_1366),
.B(n_2686),
.A(n_2711));
OR2X1 g26364 (.Y(n_1365),
.B(n_2649),
.A(n_719));
AND2X1 g26366 (.Y(n_1364),
.B(n_2649),
.A(n_719));
OR2X1 g26367 (.Y(n_1363),
.B(n_2652),
.A(n_2717));
OR2X2 g26377 (.Y(n_1358),
.B(n_2657),
.A(n_2710));
AND2X1 g26392 (.Y(n_1346),
.B(n_2662),
.A(n_553));
OR2X1 g26393 (.Y(n_1345),
.B(n_2669),
.A(n_2666));
AND2X1 g26395 (.Y(n_1344),
.B(n_2669),
.A(n_2666));
AND2X1 g26397 (.Y(n_1343),
.B(n_2674),
.A(n_2702));
AND2X2 g26399 (.Y(n_1342),
.B(n_2676),
.A(n_2719));
OR2X1 g26404 (.Y(n_1339),
.B(n_2674),
.A(n_2702));
AND2X1 g26405 (.Y(n_1338),
.B(n_1299),
.A(n_1196));
OR2X1 g26414 (.Y(n_1331),
.B(n_2680),
.A(n_556));
OR2X1 g26421 (.Y(n_1328),
.B(n_2655),
.A(n_587));
AND2X1 g26425 (.Y(n_1325),
.B(n_2686),
.A(n_2711));
OR2X1 g26426 (.Y(n_1324),
.B(n_2696),
.A(n_528));
AND2X1 g26428 (.Y(n_1323),
.B(n_2696),
.A(n_528));
AND2X1 g26432 (.Y(n_1321),
.B(n_2655),
.A(n_587));
AND2X1 g26437 (.Y(n_1319),
.B(n_2818),
.A(n_543));
CLKINVX2 g26448 (.Y(n_1311),
.A(n_1062));
CLKINVX4 g26462 (.Y(n_1304),
.A(n_2600));
CLKINVX4 g26466 (.Y(n_1301),
.A(n_2602));
CLKINVX2 g26468 (.Y(n_1299),
.A(n_2603));
CLKINVX3 g26472 (.Y(n_1296),
.A(n_2605));
CLKINVX2 g26477 (.Y(n_1292),
.A(n_2608));
CLKINVX2 g26479 (.Y(n_1290),
.A(n_2609));
CLKINVX2 g26485 (.Y(n_1286),
.A(n_2612));
CLKINVX4 g26488 (.Y(n_1283),
.A(n_2614));
CLKINVX4 g26492 (.Y(n_1280),
.A(n_2616));
CLKINVX4 g26494 (.Y(n_1278),
.A(n_2617));
CLKINVX3 g26496 (.Y(n_1276),
.A(n_2618));
CLKINVX3 g26498 (.Y(n_1274),
.A(n_2619));
CLKINVX2 g26509 (.Y(n_1265),
.A(n_2627));
CLKINVX2 g26512 (.Y(n_1262),
.A(n_2629));
CLKINVX2 g26516 (.Y(n_1259),
.A(n_2631));
CLKINVX2 g26518 (.Y(n_1257),
.A(n_2632));
CLKINVX3 g26522 (.Y(n_1254),
.A(n_2634));
CLKINVX2 g26528 (.Y(n_1249),
.A(n_2638));
CLKINVX4 g26543 (.Y(n_1240),
.A(n_2646));
CLKINVX4 g26545 (.Y(n_1238),
.A(n_2647));
CLKINVX2 g26550 (.Y(n_1234),
.A(n_2650));
CLKINVX4 g26560 (.Y(n_1227),
.A(n_2656));
CLKINVX4 g26564 (.Y(n_1224),
.A(n_2658));
CLKINVX2 g26570 (.Y(n_1220),
.A(n_2661));
CLKINVX2 g26574 (.Y(n_1216),
.A(n_2664));
CLKINVX2 g26581 (.Y(n_1211),
.A(n_2668));
CLKINVX4 g26586 (.Y(n_1207),
.A(n_2671));
CLKINVX2 g26593 (.Y(n_1202),
.A(n_2675));
CLKINVX3 g26597 (.Y(n_1199),
.A(n_2677));
CLKINVX2 g26601 (.Y(n_1196),
.A(n_2679));
CLKINVX4 g26611 (.Y(n_1190),
.A(n_2684));
CLKINVX4 g26616 (.Y(n_1186),
.A(n_2687));
CLKINVX2 g26620 (.Y(n_1183),
.A(n_2689));
CLKINVX2 g26626 (.Y(n_1179),
.A(n_2692));
CLKINVX2 g26628 (.Y(n_1177),
.A(n_2693));
CLKINVX3 g26630 (.Y(n_1175),
.A(n_2694));
CLKINVX4 g26632 (.Y(n_1173),
.A(n_2695));
CLKINVX4 g26637 (.Y(n_1169),
.A(n_2698));
CLKINVX4 g26641 (.Y(n_1166),
.A(n_2700));
CLKINVX3 g26643 (.Y(n_1164),
.A(n_2701));
OR2X1 g26695 (.Y(n_1138),
.B(n_749),
.A(n_2720));
AND2X1 g26832 (.Y(n_1068),
.B(n_749),
.A(n_2720));
AND2X2 g26847 (.Y(n_1062),
.B(n_2721),
.A(n_871));
AND2X1 g26855 (.Y(n_1055),
.B(n_2712),
.A(n_3));
CLKINVX2 g26872 (.Y(n_1044),
.A(n_2704));
CLKINVX4 g26874 (.Y(n_1042),
.A(n_2705));
CLKINVX2 g26876 (.Y(n_1040),
.A(n_2706));
CLKINVX2 g26878 (.Y(n_1039),
.A(n_2707));
CLKINVX2 g26981 (.Y(n_985),
.A(n_2713));
CLKINVX2 g27029 (.Y(n_960),
.A(n_2716));
CLKINVX3 g27136 (.Y(n_900),
.A(n_899));
AND2X2 g27137 (.Y(n_899),
.B(n_719),
.A(B[11]));
OR2X2 g27156 (.Y(n_882),
.B(n_9),
.A(n_499));
OR2X2 g27166 (.Y(n_874),
.B(n_2931),
.A(n_499));
AND2X1 g27169 (.Y(n_871),
.B(n_753),
.A(B[3]));
CLKINVX2 g27175 (.Y(n_865),
.A(n_864));
AND2X2 g27176 (.Y(n_864),
.B(n_749),
.A(B[7]));
OR2X2 g27186 (.Y(n_856),
.B(n_10),
.A(n_499));
CLKINVX3 g27213 (.Y(n_833),
.A(n_832));
AND2X2 g27214 (.Y(n_832),
.B(n_767),
.A(B[5]));
OR2X1 g27243 (.Y(n_806),
.B(n_733),
.A(n_496));
CLKINVX3 g27260 (.Y(n_791),
.A(n_790));
AND2X2 g27261 (.Y(n_790),
.B(n_687),
.A(B[9]));
AND2X2 g27287 (.Y(n_770),
.B(n_673),
.A(B[15]));
CLKINVX2 g27290 (.Y(n_767),
.A(n_12));
CLKINVX2 g27305 (.Y(n_753),
.A(n_11));
OR2X2 g27310 (.Y(n_749),
.B(n_2952),
.A(n_520));
CLKINVX2 g27326 (.Y(n_733),
.A(n_732));
OR2X2 g27327 (.Y(n_732),
.B(n_2958),
.A(n_520));
OR2X2 g27340 (.Y(n_719),
.B(n_2959),
.A(n_520));
CLKINVX2 g27371 (.Y(n_687),
.A(n_688));
AND2X2 g27372 (.Y(n_688),
.B(n_607),
.A(A[0]));
CLKINVX3 g27386 (.Y(n_673),
.A(n_672));
AND2X2 g27387 (.Y(n_672),
.B(n_2953),
.A(A[0]));
CLKINVX2 g27473 (.Y(n_607),
.A(n_2951));
CLKINVX8 g27476 (.Y(n_605),
.A(n_2953));
CLKINVX2 g27494 (.Y(n_591),
.A(n_590));
AND2X2 g27495 (.Y(n_590),
.B(B[15]),
.A(A[5]));
AND2X1 g27498 (.Y(n_587),
.B(B[15]),
.A(A[7]));
AND2X2 g27503 (.Y(n_583),
.B(B[15]),
.A(A[2]));
AND2X1 g27518 (.Y(n_569),
.B(B[15]),
.A(A[8]));
AND2X2 g27522 (.Y(n_566),
.B(B[15]),
.A(A[12]));
CLKINVX3 g27525 (.Y(n_563),
.A(n_562));
AND2X2 g27526 (.Y(n_562),
.B(B[15]),
.A(A[13]));
AND2X1 g27528 (.Y(n_561),
.B(B[15]),
.A(A[1]));
AND2X1 g27533 (.Y(n_556),
.B(B[15]),
.A(A[6]));
CLKINVX2 g27534 (.Y(n_555),
.A(n_554));
AND2X2 g27535 (.Y(n_554),
.B(B[15]),
.A(A[9]));
AND2X1 g27536 (.Y(n_553),
.B(B[15]),
.A(A[11]));
AND2X2 g27540 (.Y(n_550),
.B(B[15]),
.A(A[10]));
AND2X2 g27542 (.Y(Z[0]),
.B(B[0]),
.A(A[0]));
CLKINVX4 g27543 (.Y(n_547),
.A(n_548));
AND2X2 g27544 (.Y(n_548),
.B(B[1]),
.A(n_515));
CLKINVX2 g27548 (.Y(n_543),
.A(n_542));
AND2X2 g27549 (.Y(n_542),
.B(B[15]),
.A(A[14]));
AND2X2 g27553 (.Y(n_539),
.B(B[15]),
.A(A[3]));
AND2X2 g27557 (.Y(n_536),
.B(B[15]),
.A(n_499));
AND2X1 g27561 (.Y(n_533),
.B(B[15]),
.A(A[4]));
OR2X1 g27566 (.Y(n_528),
.B(n_522),
.A(n_520));
CLKINVX8 g27567 (.Y(n_527),
.A(A[14]));
CLKINVX2 g27568 (.Y(n_526),
.A(B[4]));
CLKINVX8 g27569 (.Y(n_525),
.A(A[10]));
CLKINVX2 g27570 (.Y(n_524),
.A(B[8]));
CLKINVX8 g27571 (.Y(n_523),
.A(B[5]));
CLKINVX8 g27572 (.Y(n_522),
.A(B[15]));
CLKINVX8 g27573 (.Y(n_521),
.A(A[13]));
CLKINVX4 g27574 (.Y(n_520),
.A(A[0]));
CLKINVX8 g27575 (.Y(n_519),
.A(B[3]));
INVX6 g27576 (.Y(n_518),
.A(A[6]));
CLKINVX2 g27577 (.Y(n_517),
.A(B[6]));
CLKINVX8 g27578 (.Y(n_516),
.A(B[11]));
CLKINVX4 g27579 (.Y(n_515),
.A(B[0]));
INVX6 g27580 (.Y(n_514),
.A(A[4]));
CLKINVX8 g27581 (.Y(n_513),
.A(A[11]));
CLKINVX8 g27582 (.Y(n_512),
.A(B[9]));
CLKINVX2 g27583 (.Y(n_511),
.A(B[12]));
INVX6 g27584 (.Y(n_510),
.A(A[5]));
INVX6 g27585 (.Y(n_509),
.A(A[8]));
CLKINVX8 g27586 (.Y(n_508),
.A(B[1]));
CLKINVX2 g27587 (.Y(n_507),
.A(B[10]));
INVX6 g27588 (.Y(n_506),
.A(A[2]));
INVX6 g27589 (.Y(n_505),
.A(A[1]));
CLKINVX2 g27590 (.Y(n_504),
.A(B[14]));
INVX6 g27591 (.Y(n_503),
.A(A[7]));
CLKINVX2 g27592 (.Y(n_502),
.A(B[2]));
INVX6 g27593 (.Y(n_501),
.A(A[3]));
CLKINVX3 g27594 (.Y(n_500),
.A(A[9]));
INVX6 g27595 (.Y(n_499),
.A(A[15]));
CLKINVX8 g27596 (.Y(n_498),
.A(A[12]));
CLKINVX8 g27597 (.Y(n_497),
.A(B[7]));
CLKINVX8 g27598 (.Y(n_496),
.A(B[13]));
NAND2X8 g27601 (.Y(n_492),
.B(n_425),
.A(n_418));
NAND2BX4 g27618 (.Y(n_475),
.B(n_1784),
.AN(n_1700));
NAND2X8 g27625 (.Y(n_468),
.B(n_203),
.A(n_172));
NOR2BX4 g27633 (.Y(n_460),
.B(n_2321),
.AN(n_2322));
NAND2BX4 g27635 (.Y(n_458),
.B(n_2317),
.AN(n_2316));
NOR2BX4 g27638 (.Y(n_455),
.B(n_2308),
.AN(n_2309));
NAND2BX4 g27645 (.Y(n_448),
.B(n_2293),
.AN(n_2294));
NOR2BX4 g27662 (.Y(n_431),
.B(n_2415),
.AN(n_2416));
NOR2BX4 g27663 (.Y(n_430),
.B(n_2416),
.AN(n_2415));
NAND2BX4 g27668 (.Y(n_425),
.B(n_420),
.AN(n_2381));
NAND2BX4 g27673 (.Y(n_420),
.B(n_2431),
.AN(n_2429));
NAND2BX4 g27675 (.Y(n_418),
.B(n_2429),
.AN(n_2431));
NOR2BX4 g27683 (.Y(n_410),
.B(n_2126),
.AN(n_2128));
NOR2BX4 g27686 (.Y(n_407),
.B(n_2120),
.AN(n_2119));
NOR2X8 g27690 (.Y(n_403),
.B(n_2758),
.A(n_2452));
NOR2BX4 g27692 (.Y(n_401),
.B(n_2105),
.AN(n_2104));
NOR2BX4 g27693 (.Y(n_400),
.B(n_2102),
.AN(n_2101));
NAND2BX4 g27694 (.Y(n_399),
.B(n_393),
.AN(n_2245));
NAND2X8 g27700 (.Y(n_393),
.B(n_2460),
.A(n_2972));
NOR2BX4 g27707 (.Y(n_386),
.B(n_2036),
.AN(n_2030));
NAND2BX4 g27721 (.Y(n_372),
.B(n_1977),
.AN(n_1980));
NAND2BX4 g27722 (.Y(n_371),
.B(n_1976),
.AN(n_1979));
NOR2BX4 g27724 (.Y(n_369),
.B(n_1971),
.AN(n_1972));
NAND2BX4 g27728 (.Y(n_365),
.B(n_1965),
.AN(n_1962));
NAND2BX4 g27729 (.Y(n_364),
.B(n_351),
.AN(n_2213));
NOR2BX4 g27733 (.Y(n_360),
.B(n_1942),
.AN(n_1941));
NOR2BX4 g27734 (.Y(n_359),
.B(n_1936),
.AN(n_1937));
NOR2BX4 g27735 (.Y(n_358),
.B(n_1935),
.AN(n_1944));
NAND2BX4 g27736 (.Y(n_357),
.B(n_1934),
.AN(n_1947));
NOR2X8 g27740 (.Y(n_353),
.B(n_2974),
.A(n_2523));
NAND2X8 g27742 (.Y(n_351),
.B(n_2490),
.A(n_1741));
NAND2BX4 g27752 (.Y(n_341),
.B(n_1874),
.AN(n_1871));
NOR2BX4 g27772 (.Y(n_321),
.B(n_1795),
.AN(n_1799));
NAND2BX4 g27781 (.Y(n_312),
.B(n_1775),
.AN(n_1774));
NAND2BX4 g27782 (.Y(n_311),
.B(n_1770),
.AN(n_1766));
NAND2BX4 g27783 (.Y(n_310),
.B(n_289),
.AN(n_1921));
NOR2BX4 g27793 (.Y(n_300),
.B(n_1722),
.AN(n_1678));
NOR2BX4 g27794 (.Y(n_299),
.B(n_1721),
.AN(n_1723));
NOR2BX4 g27795 (.Y(n_298),
.B(n_1714),
.AN(n_1717));
NAND2BX4 g27796 (.Y(n_297),
.B(n_1710),
.AN(n_1706));
NOR2BX4 g27798 (.Y(n_295),
.B(n_1701),
.AN(n_1703));
NOR2BX4 g27799 (.Y(n_294),
.B(n_1688),
.AN(n_1690));
NOR2BX4 g27800 (.Y(n_293),
.B(n_1682),
.AN(n_1736));
NOR2X8 g27802 (.Y(n_291),
.B(n_2534),
.A(n_2582));
NOR2X8 g27803 (.Y(n_290),
.B(n_2537),
.A(n_1358));
NAND2X8 g27804 (.Y(n_289),
.B(n_2542),
.A(n_2797));
NOR2X8 g27814 (.Y(n_279),
.B(n_2795),
.A(n_2794));
NOR2BX4 g27823 (.Y(n_270),
.B(n_1510),
.AN(n_1044));
NAND2BX4 g27825 (.Y(n_268),
.B(n_260),
.AN(n_2553));
NAND2BX4 g27826 (.Y(n_267),
.B(n_1593),
.AN(n_1592));
NAND2BX4 g27830 (.Y(n_263),
.B(n_2591),
.AN(n_2816));
NAND2BX4 g27833 (.Y(n_260),
.B(n_2816),
.AN(n_2591));
NAND2BX4 g27866 (.Y(n_227),
.B(n_150),
.AN(n_1524));
NOR2BX4 g27880 (.Y(n_213),
.B(n_1425),
.AN(n_1331));
NOR2BX4 g27881 (.Y(n_212),
.B(n_1414),
.AN(n_1415));
NAND2BX4 g27882 (.Y(n_211),
.B(n_177),
.AN(n_2703));
NAND2BX4 g27883 (.Y(n_210),
.B(n_176),
.AN(n_1286));
NOR2BX4 g27884 (.Y(n_209),
.B(n_1399),
.AN(n_1394));
NOR2BX4 g27885 (.Y(n_208),
.B(n_1395),
.AN(n_1397));
NOR2BX4 g27886 (.Y(n_207),
.B(n_1389),
.AN(n_1388));
NOR2BX4 g27887 (.Y(n_206),
.B(n_1387),
.AN(n_1392));
NAND2BX4 g27889 (.Y(n_204),
.B(n_173),
.AN(n_2697));
NAND2BX4 g27890 (.Y(n_203),
.B(n_165),
.AN(n_1249));
NOR2BX4 g27891 (.Y(n_202),
.B(n_1375),
.AN(n_1378));
NOR2BX4 g27892 (.Y(n_201),
.B(n_1373),
.AN(n_1363));
NAND2BX4 g27893 (.Y(n_200),
.B(n_170),
.AN(n_2644));
NOR2BX4 g27894 (.Y(n_199),
.B(n_1364),
.AN(n_1365));
NAND2BX4 g27895 (.Y(n_198),
.B(n_169),
.AN(n_512));
NOR2BX4 g27897 (.Y(n_196),
.B(n_1346),
.AN(n_1367));
NOR2BX4 g27898 (.Y(n_195),
.B(n_1344),
.AN(n_1345));
NOR2BX4 g27899 (.Y(n_194),
.B(n_1343),
.AN(n_1339));
NAND2BX4 g27900 (.Y(n_193),
.B(n_158),
.AN(n_1342));
NAND2BX4 g27901 (.Y(n_192),
.B(n_153),
.AN(n_1234));
NOR2BX4 g27902 (.Y(n_191),
.B(n_1325),
.AN(n_1366));
NOR2BX4 g27903 (.Y(n_190),
.B(n_1323),
.AN(n_1324));
NOR2BX4 g27904 (.Y(n_189),
.B(n_1321),
.AN(n_1328));
NAND2BX4 g27905 (.Y(n_188),
.B(n_151),
.AN(n_1177));
NOR2BX4 g27906 (.Y(n_187),
.B(n_1319),
.AN(n_1413));
NAND2BX4 g27907 (.Y(n_186),
.B(n_182),
.AN(n_2654));
NAND2BX4 g27908 (.Y(n_185),
.B(n_2819),
.AN(n_2688));
NOR2BX4 g27909 (.Y(n_184),
.B(n_1055),
.AN(n_753));
NAND2BX4 g27911 (.Y(n_182),
.B(n_2596),
.AN(n_533));
NOR2BX4 g27915 (.Y(n_178),
.B(n_2601),
.AN(n_732));
NAND2BX4 g27916 (.Y(n_177),
.B(n_2607),
.AN(n_569));
NAND2X8 g27917 (.Y(n_176),
.B(n_2610),
.A(n_2611));
NAND2BX4 g27920 (.Y(n_173),
.B(n_2633),
.AN(n_2715));
NAND2BX4 g27921 (.Y(n_172),
.B(n_2637),
.AN(n_2653));
NAND2X8 g27922 (.Y(n_171),
.B(n_2709),
.A(n_2640));
NAND2X8 g27923 (.Y(n_170),
.B(n_2641),
.A(n_2642));
NAND2BX4 g27924 (.Y(n_169),
.B(n_2643),
.AN(n_2645));
NAND2BX4 g27926 (.Y(n_167),
.B(n_2645),
.AN(n_2643));
NAND2BX4 g27928 (.Y(n_165),
.B(n_2653),
.AN(n_2637));
NAND2X8 g27930 (.Y(n_163),
.B(n_2657),
.A(n_2710));
NAND2BX4 g27931 (.Y(n_162),
.B(n_2659),
.AN(n_508));
NAND2BX4 g27935 (.Y(n_158),
.B(n_2672),
.AN(n_2673));
NAND2BX4 g27936 (.Y(n_157),
.B(n_2673),
.AN(n_2672));
NAND2BX4 g27940 (.Y(n_153),
.B(n_2681),
.AN(n_561));
NAND2BX4 g27941 (.Y(n_152),
.B(n_2683),
.AN(n_2718));
NAND2BX4 g27942 (.Y(n_151),
.B(n_2685),
.AN(n_2690));
NAND2BX4 g27943 (.Y(n_150),
.B(n_2688),
.AN(n_2819));
NAND2BX4 g27944 (.Y(n_149),
.B(n_2690),
.AN(n_2685));
NOR2BX4 g27947 (.Y(n_146),
.B(n_1068),
.AN(n_1138));
NAND2BX4 g28005 (.Y(n_88),
.B(n_2715),
.AN(n_2633));
NAND2BX4 g28031 (.Y(n_62),
.B(n_2718),
.AN(n_2683));
NOR2BX4 g28081 (.Y(n_12),
.B(n_2954),
.AN(A[0]));
NOR2BX4 g28082 (.Y(n_11),
.B(n_2956),
.AN(A[0]));
NAND2BX4 g28083 (.Y(n_10),
.B(n_2958),
.AN(n_2957));
NAND2BX4 g28084 (.Y(n_9),
.B(n_2959),
.AN(n_2955));
NAND2BX4 g28086 (.Y(n_7),
.B(n_569),
.AN(n_2607));
NAND2BX4 g28088 (.Y(n_5),
.B(n_561),
.AN(n_2681));
NOR2BX4 g28090 (.Y(n_3),
.B(Z[0]),
.AN(B[1]));
NAND2BX4 g28093 (.Y(n_0),
.B(n_533),
.AN(n_2596));
XOR2X4 g28095 (.Y(Z[30]),
.B(n_2524),
.A(n_2741));
ADDFHX4 g28099 (.S(),
.CO(n_2375),
.CI(n_2742),
.B(n_2485),
.A(n_2472));
XNOR2X4 g28100 (.Y(Z[27]),
.B(n_458),
.A(n_2762));
XNOR2X4 g28101 (.Y(Z[26]),
.B(n_2743),
.A(n_2165));
XOR2X4 g28102 (.Y(Z[25]),
.B(n_455),
.A(n_2438));
XOR2X4 g28103 (.Y(Z[23]),
.B(n_2380),
.A(n_2753));
CLKMX2X12 g28104 (.Y(n_2380),
.S0(n_2384),
.B(n_2161),
.A(n_2432));
ADDFHX4 g28105 (.S(),
.CO(n_2381),
.CI(n_2384),
.B(n_2161),
.A(n_2753));
ADDFHX4 g28108 (.S(),
.CO(n_2384),
.CI(n_2744),
.B(n_2426),
.A(n_2428));
CLKMX2X12 g28109 (.Y(Z[19]),
.S0(n_2745),
.B(n_2413),
.A(n_2200));
ADDFHX4 g28110 (.S(),
.CO(n_2386),
.CI(n_2282),
.B(n_2216),
.A(n_2200));
ADDFHX4 g28113 (.S(),
.CO(n_2389),
.CI(n_2392),
.B(n_2414),
.A(n_2751));
XNOR2X4 g28114 (.Y(Z[17]),
.B(n_2391),
.A(n_2422));
CLKMX2X12 g28115 (.Y(n_2391),
.S0(n_2752),
.B(n_2395),
.A(n_2270));
ADDFHX4 g28116 (.S(),
.CO(n_2392),
.CI(n_2270),
.B(n_2422),
.A(n_2752));
CLKMX2X12 g28117 (.Y(Z[16]),
.S0(n_2394),
.B(n_2178),
.A(n_2754));
CLKMX2X12 g28118 (.Y(n_2394),
.S0(n_2396),
.B(n_2191),
.A(n_2421));
ADDFHX4 g28119 (.S(),
.CO(n_2395),
.CI(n_2396),
.B(n_2191),
.A(n_2178));
ADDFHX4 g28120 (.S(),
.CO(n_2396),
.CI(n_2400),
.B(n_2179),
.A(n_2192));
XOR2X4 g28121 (.Y(Z[15]),
.B(n_2749),
.A(n_2400));
CLKMX2X12 g28122 (.Y(Z[14]),
.S0(n_2399),
.B(n_2114),
.A(n_2756));
XNOR2X4 g28123 (.Y(n_2399),
.B(n_2980),
.A(n_2402));
ADDFHX4 g28124 (.S(),
.CO(n_2400),
.CI(n_2402),
.B(n_2980),
.A(n_2114));
CLKMX2X12 g28125 (.Y(Z[13]),
.S0(n_2746),
.B(n_2115),
.A(n_2441));
ADDFHX4 g28126 (.S(),
.CO(n_2402),
.CI(n_2248),
.B(n_2115),
.A(n_2047));
CLKMX2X12 g28127 (.Y(Z[11]),
.S0(n_2747),
.B(n_2073),
.A(n_2453));
ADDFHX4 g28128 (.S(),
.CO(n_2404),
.CI(n_2237),
.B(n_2073),
.A(n_2098));
XNOR2X4 g28129 (.Y(Z[10]),
.B(n_2406),
.A(n_2446));
CLKMX2X12 g28130 (.Y(n_2406),
.S0(n_2467),
.B(n_2409),
.A(n_2230));
ADDFHX4 g28131 (.S(),
.CO(n_2407),
.CI(n_2230),
.B(n_2446),
.A(n_2467));
CLKMX2X12 g28132 (.Y(Z[9]),
.S0(n_2748),
.B(n_2771),
.A(n_1922));
ADDFHX4 g28133 (.S(),
.CO(n_2409),
.CI(n_2221),
.B(n_2024),
.A(n_1922));
XNOR2X4 g28134 (.Y(n_2410),
.B(n_2439),
.A(n_2412));
CLKMX2X12 g28135 (.Y(Z[7]),
.S0(n_2750),
.B(n_2786),
.A(n_1819));
CLKMX2X12 g28136 (.Y(n_2412),
.S0(n_2430),
.B(n_1925),
.A(n_2486));
ADDFHX4 g28137 (.S(),
.CO(n_2413),
.CI(n_2160),
.B(n_2043),
.A(n_2000));
CLKMX2X12 g28138 (.Y(n_2414),
.S0(n_2755),
.B(n_2427),
.A(n_2160));
ADDFHX4 g28139 (.S(),
.CO(n_2415),
.CI(n_2430),
.B(n_2439),
.A(n_1925));
XNOR2X4 g28140 (.Y(n_2416),
.B(n_2423),
.A(n_2492));
ADDFHX4 g28141 (.S(),
.CO(n_2417),
.CI(n_2471),
.B(n_2449),
.A(n_2498));
ADDFHX4 g28143 (.S(),
.CO(n_2419),
.CI(n_2140),
.B(n_1817),
.A(n_1819));
ADDFHX4 g28144 (.S(),
.CO(n_2420),
.CI(n_2076),
.B(n_2444),
.A(n_2492));
CLKMX2X12 g28145 (.Y(n_2421),
.S0(n_407),
.B(n_2766),
.A(n_2045));
CLKMX2X12 g28146 (.Y(n_2422),
.S0(n_410),
.B(n_2457),
.A(n_2044));
CLKMX2X12 g28147 (.Y(n_2423),
.S0(n_2444),
.B(n_2982),
.A(n_2076));
CLKMX2X12 g28148 (.Y(n_2424),
.S0(n_2434),
.B(n_2075),
.A(n_2451));
CLKMX2X12 g28149 (.Y(n_2425),
.S0(n_401),
.B(n_2458),
.A(n_2046));
CLKMX2X12 g28150 (.Y(n_2426),
.S0(n_400),
.B(n_2760),
.A(n_2074));
CLKMX2X12 g28151 (.Y(n_2427),
.S0(n_2757),
.B(n_1908),
.A(n_2505));
ADDFHX4 g28152 (.S(),
.CO(n_2428),
.CI(n_2465),
.B(n_2075),
.A(n_1905));
ADDFHX4 g28153 (.S(),
.CO(n_2429),
.CI(n_2050),
.B(n_2761),
.A(n_2973));
ADDFHX4 g28154 (.S(),
.CO(n_2430),
.CI(n_1908),
.B(n_2023),
.A(n_1906));
CLKMX2X12 g28155 (.Y(n_2431),
.S0(n_2437),
.B(n_1902),
.A(n_2508));
XOR2X4 g28156 (.Y(n_2432),
.B(n_2761),
.A(n_2436));
CLKMX2X12 g28158 (.Y(n_2434),
.S0(n_2465),
.B(n_2497),
.A(n_1905));
CLKMX2X12 g28160 (.Y(n_2436),
.S0(n_2973),
.B(n_2983),
.A(n_2050));
CLKMX2X12 g28161 (.Y(n_2437),
.S0(n_2470),
.B(n_2473),
.A(n_2001));
ADDFHX4 g28162 (.S(),
.CO(n_2438),
.CI(n_2470),
.B(n_2001),
.A(n_1902));
CLKMX2X12 g28163 (.Y(n_2439),
.S0(n_2759),
.B(n_1912),
.A(n_2501));
CLKMX2X12 g28164 (.Y(n_2440),
.S0(n_386),
.B(n_2476),
.A(n_1928));
CLKMX2X12 g28165 (.Y(n_2441),
.S0(n_369),
.B(n_2455),
.A(n_2049));
ADDFHX4 g28167 (.S(),
.CO(n_2443),
.CI(n_1914),
.B(n_2475),
.A(n_1915));
ADDFHX4 g28168 (.S(),
.CO(n_2444),
.CI(n_1907),
.B(n_1999),
.A(n_1912));
ADDFHX4 g28169 (.S(),
.CO(n_2445),
.CI(n_1924),
.B(n_2573),
.A(n_2481));
CLKMX2X12 g28170 (.Y(n_2446),
.S0(n_2462),
.B(n_2489),
.A(n_1924));
ADDFHX4 g28171 (.S(),
.CO(n_2447),
.CI(n_1988),
.B(n_2526),
.A(n_2529));
CLKMX2X12 g28172 (.Y(n_2448),
.S0(n_2464),
.B(n_2776),
.A(n_1914));
XNOR2X4 g28173 (.Y(n_2449),
.B(n_2461),
.A(n_2562));
CLKMX2X12 g28175 (.Y(n_2451),
.S0(n_372),
.B(n_1929),
.A(n_2770));
CLKMX2X12 g28176 (.Y(n_2452),
.S0(n_371),
.B(n_1910),
.A(n_2503));
CLKMX2X12 g28177 (.Y(n_2453),
.S0(n_2006),
.B(n_1911),
.A(n_2506));
XOR2X4 g28179 (.Y(n_2455),
.B(n_2767),
.A(n_2565));
CLKMX2X12 g28180 (.Y(n_2456),
.S0(n_2768),
.B(n_1646),
.A(n_2793));
XNOR2X4 g28181 (.Y(n_2457),
.B(n_357),
.A(n_2495));
CLKMX2X12 g28182 (.Y(n_2458),
.S0(n_360),
.B(n_2500),
.A(n_1916));
CLKMX2X12 g28183 (.Y(n_2459),
.S0(n_358),
.B(n_2975),
.A(n_1909));
CLKMX2X12 g28184 (.Y(n_2460),
.S0(n_359),
.B(n_2488),
.A(n_1927));
CLKMX2X12 g28185 (.Y(n_2461),
.S0(n_2544),
.B(n_1920),
.A(n_2477));
XOR2X4 g28186 (.Y(n_2462),
.B(n_2481),
.A(n_2573));
CLKMX2X12 g28188 (.Y(n_2464),
.S0(n_2475),
.B(n_2520),
.A(n_1915));
ADDFHX4 g28189 (.S(),
.CO(n_2465),
.CI(n_2487),
.B(n_2509),
.A(n_2504));
ADDFHX4 g28190 (.S(),
.CO(n_2466),
.CI(n_1920),
.B(n_2544),
.A(n_2562));
ADDFHX4 g28191 (.S(),
.CO(n_2467),
.CI(n_1923),
.B(n_1668),
.A(n_1673));
CLKMX2X12 g28192 (.Y(n_2468),
.S0(n_2774),
.B(n_2482),
.A(n_1923));
ADDFHX4 g28194 (.S(),
.CO(n_2470),
.CI(n_2494),
.B(n_2546),
.A(n_2792));
ADDFHX4 g28195 (.S(),
.CO(n_2471),
.CI(n_1913),
.B(n_1672),
.A(n_2565));
ADDFHX4 g28196 (.S(),
.CO(n_2472),
.CI(n_1903),
.B(n_1666),
.A(n_1646));
XOR2X4 g28197 (.Y(n_2473),
.B(n_2985),
.A(n_300));
XNOR2X4 g28198 (.Y(n_2474),
.B(n_2574),
.A(n_341));
XOR2X4 g28199 (.Y(n_2475),
.B(n_2579),
.A(n_2780));
CLKMX2X12 g28200 (.Y(n_2476),
.S0(n_2516),
.B(n_1659),
.A(n_2560));
ADDFHX4 g28201 (.S(),
.CO(n_2477),
.CI(n_1514),
.B(n_2976),
.A(n_2799));
ADDFHX4 g28202 (.S(),
.CO(n_2478),
.CI(n_1659),
.B(n_2563),
.A(n_1636));
ADDFHX4 g28205 (.S(),
.CO(n_2481),
.CI(n_1528),
.B(n_1643),
.A(n_791));
CLKMX2X12 g28206 (.Y(n_2482),
.S0(n_2782),
.B(n_1528),
.A(n_1463));
ADDFHX4 g28207 (.S(),
.CO(n_2483),
.CI(n_2579),
.B(n_1634),
.A(n_1525));
CLKMX2X12 g28208 (.Y(Z[4]),
.S0(n_2772),
.B(n_2577),
.A(n_1635));
CLKMX2X12 g28209 (.Y(n_2485),
.S0(n_2773),
.B(n_2707),
.A(n_1039));
CLKMX2X12 g28210 (.Y(n_2486),
.S0(n_2775),
.B(n_2550),
.A(n_1653));
XOR2X4 g28211 (.Y(n_2487),
.B(n_321),
.A(n_2530));
CLKMX2X12 g28212 (.Y(n_2488),
.S0(n_295),
.B(n_2533),
.A(n_1818));
CLKMX2X12 g28213 (.Y(n_2489),
.S0(n_1827),
.B(n_1647),
.A(n_2571));
CLKMX2X12 g28214 (.Y(n_2490),
.S0(n_312),
.B(n_1644),
.A(n_2552));
ADDFHX4 g28215 (.S(),
.CO(n_2491),
.CI(n_1650),
.B(n_2531),
.A(n_1654));
ADDFHX4 g28216 (.S(),
.CO(n_2492),
.CI(n_1669),
.B(n_1671),
.A(n_1653));
ADDFHX4 g28217 (.S(),
.CO(n_2493),
.CI(n_1496),
.B(n_1670),
.A(n_2555));
CLKMX2X12 g28218 (.Y(n_2494),
.S0(n_2513),
.B(n_2788),
.A(n_1637));
CLKMX2X12 g28219 (.Y(n_2495),
.S0(n_2779),
.B(n_2798),
.A(n_1640));
CLKMX2X12 g28221 (.Y(n_2497),
.S0(n_2514),
.B(n_1670),
.A(n_2543));
XOR2X4 g28222 (.Y(n_2498),
.B(n_2531),
.A(n_2781));
CLKMX2X12 g28223 (.Y(n_2499),
.S0(n_2517),
.B(n_2704),
.A(n_1044));
CLKMX2X12 g28224 (.Y(n_2500),
.S0(n_2518),
.B(n_1296),
.A(n_2605));
CLKMX2X12 g28225 (.Y(n_2501),
.S0(n_2783),
.B(n_1511),
.A(n_1645));
XOR2X4 g28226 (.Y(n_2502),
.B(n_2784),
.A(n_2554));
CLKMX2X12 g28227 (.Y(n_2503),
.S0(n_1793),
.B(n_1639),
.A(n_2551));
CLKMX2X12 g28228 (.Y(n_2504),
.S0(n_298),
.B(n_2581),
.A(n_1652));
CLKMX2X12 g28229 (.Y(n_2505),
.S0(n_294),
.B(n_1503),
.A(n_1638));
CLKMX2X12 g28230 (.Y(n_2506),
.S0(n_1780),
.B(n_1651),
.A(n_2570));
ADDFHX4 g28231 (.S(),
.CO(n_2507),
.CI(n_1641),
.B(n_1661),
.A(n_2554));
ADDFHX4 g28232 (.S(),
.CO(n_2508),
.CI(n_1648),
.B(n_1637),
.A(n_2680));
ADDFHX4 g28233 (.S(),
.CO(n_2509),
.CI(n_1642),
.B(n_1645),
.A(n_1633));
ADDFHX4 g28234 (.S(),
.CO(n_2510),
.CI(n_1665),
.B(n_1656),
.A(n_2707));
ADDFHX4 g28235 (.S(),
.CO(n_2511),
.CI(n_1660),
.B(n_1640),
.A(n_1526));
ADDFHX4 g28236 (.S(),
.CO(n_2512),
.CI(n_1667),
.B(n_1635),
.A(n_1062));
CLKMX2X12 g28237 (.Y(n_2513),
.S0(n_2680),
.B(n_1648),
.A(n_2558));
XOR2X4 g28238 (.Y(n_2514),
.B(n_1496),
.A(n_2555));
CLKMX2X12 g28240 (.Y(n_2516),
.S0(n_2563),
.B(n_1516),
.A(n_1636));
CLKMX2X12 g28241 (.Y(n_2517),
.S0(n_2584),
.B(n_2600),
.A(n_1304));
CLKMX2X12 g28242 (.Y(n_2518),
.S0(n_2801),
.B(n_2789),
.A(n_1649));
ADDFHX4 g28244 (.S(),
.CO(n_2520),
.CI(n_1649),
.B(n_1296),
.A(n_2801));
ADDFHX4 g28245 (.S(),
.CO(n_2521),
.CI(n_2796),
.B(n_2604),
.A(n_2699));
ADDFHX4 g28246 (.S(),
.CO(n_2522),
.CI(n_2584),
.B(n_1304),
.A(n_1044));
CLKMX2X12 g28247 (.Y(n_2523),
.S0(n_2787),
.B(n_1238),
.A(n_2647));
CLKMX2X12 g28248 (.Y(n_2524),
.S0(n_187),
.B(n_2594),
.A(n_1655));
XOR2X4 g28249 (.Y(n_2525),
.B(n_2553),
.A(n_1663));
XNOR2X4 g28250 (.Y(n_2526),
.B(n_267),
.A(n_2595));
ADDFHX4 g28251 (.S(),
.CO(n_2527),
.CI(n_2707),
.B(n_1278),
.A(n_566));
XOR2X4 g28252 (.Y(n_2528),
.B(n_2802),
.A(n_2691));
ADDFHX4 g28253 (.S(),
.CO(n_2529),
.CI(n_1530),
.B(n_1190),
.A(n_833));
ADDFHX4 g28254 (.S(),
.CO(n_2530),
.CI(n_1042),
.B(n_1283),
.A(n_1276));
ADDFHX4 g28255 (.S(),
.CO(n_2531),
.CI(n_2620),
.B(n_1280),
.A(n_1274));
XOR2X4 g28256 (.Y(n_2532),
.B(n_2805),
.A(n_2620));
ADDFHX4 g28257 (.S(),
.CO(n_2533),
.CI(n_1529),
.B(n_1238),
.A(n_900));
ADDFHX4 g28258 (.S(),
.CO(n_2534),
.CI(n_2660),
.B(n_1173),
.A(n_1254));
CLKMX2X12 g28259 (.Y(n_2535),
.S0(n_2808),
.B(n_1042),
.A(n_2705));
ADDFHX4 g28260 (.S(),
.CO(n_2536),
.CI(n_162),
.B(n_1227),
.A(n_1042));
ADDFHX4 g28261 (.S(),
.CO(n_2537),
.CI(n_1220),
.B(n_1224),
.A(n_1199));
XOR2X4 g28262 (.Y(n_2538),
.B(n_162),
.A(n_2810));
CLKMX2X12 g28263 (.Y(n_2539),
.S0(n_2590),
.B(n_1039),
.A(n_2707));
CLKMX2X12 g28264 (.Y(n_2540),
.S0(n_2811),
.B(n_1220),
.A(n_2661));
ADDFHX4 g28265 (.S(),
.CO(n_2541),
.CI(n_2691),
.B(n_1186),
.A(n_1175));
CLKMX2X12 g28266 (.Y(n_2542),
.S0(n_2812),
.B(n_1460),
.A(n_1530));
XOR2X4 g28267 (.Y(n_2543),
.B(n_2814),
.A(n_2660));
CLKMX2X12 g28268 (.Y(n_2544),
.S0(n_207),
.B(n_1391),
.A(n_1527));
XNOR2X4 g28269 (.Y(Z[2]),
.B(n_184),
.A(n_2714));
CLKMX2X12 g28270 (.Y(n_2546),
.S0(n_2587),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28271 (.Y(n_2547),
.S0(n_2815),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28272 (.Y(n_2548),
.S0(n_2588),
.B(n_1169),
.A(n_2698));
CLKMX2X12 g28273 (.Y(n_2549),
.S0(n_2589),
.B(n_2701),
.A(n_1164));
XNOR2X4 g28274 (.Y(n_2550),
.B(n_2807),
.A(n_539));
XNOR2X4 g28275 (.Y(n_2551),
.B(n_2809),
.A(n_550));
CLKMX2X12 g28276 (.Y(n_2552),
.S0(n_2806),
.B(n_688),
.A(n_687));
XNOR2X4 g28277 (.Y(n_2553),
.B(n_2615),
.A(n_2804));
XNOR2X4 g28278 (.Y(n_2554),
.B(n_2813),
.A(n_2670));
CLKMX2X12 g28279 (.Y(n_2555),
.S0(n_2592),
.B(n_2646),
.A(n_1240));
XOR2X4 g28280 (.Y(n_2556),
.B(n_190),
.A(n_2925));
XOR2X4 g28281 (.Y(n_2557),
.B(n_1491),
.A(n_2703));
CLKMX2X12 g28282 (.Y(n_2558),
.S0(n_189),
.B(n_2689),
.A(n_1183));
XOR2X4 g28283 (.Y(n_2559),
.B(n_1489),
.A(n_2654));
CLKMX2X12 g28284 (.Y(n_2560),
.S0(n_209),
.B(n_554),
.A(n_555));
CLKMX2X12 g28285 (.Y(n_2561),
.S0(n_212),
.B(n_672),
.A(n_673));
CLKMX2X12 g28286 (.Y(n_2562),
.S0(n_1472),
.B(n_2612),
.A(n_1286));
CLKMX2X12 g28287 (.Y(n_2563),
.S0(n_1486),
.B(B[9]),
.A(n_512));
CLKMX2X12 g28288 (.Y(n_2564),
.S0(n_196),
.B(B[11]),
.A(n_516));
XOR2X4 g28289 (.Y(n_2565),
.B(n_208),
.A(n_2623));
CLKMX2X12 g28290 (.Y(n_2566),
.S0(n_1471),
.B(n_2693),
.A(n_1177));
XNOR2X4 g28291 (.Y(n_2567),
.B(n_1477),
.A(n_2630));
XOR2X4 g28292 (.Y(n_2568),
.B(n_1480),
.A(n_2697));
CLKMX2X12 g28293 (.Y(n_2569),
.S0(n_206),
.B(n_2627),
.A(n_1265));
CLKMX2X12 g28294 (.Y(n_2570),
.S0(n_1482),
.B(n_2638),
.A(n_1249));
XOR2X4 g28295 (.Y(n_2571),
.B(n_199),
.A(n_2651));
XOR2X4 g28296 (.Y(n_2572),
.B(n_194),
.A(n_2667));
XOR2X4 g28297 (.Y(n_2573),
.B(n_201),
.A(n_2665));
XOR2X4 g28298 (.Y(n_2574),
.B(n_202),
.A(n_583));
XOR2X4 g28299 (.Y(n_2575),
.B(n_213),
.A(n_2678));
XOR2X4 g28300 (.Y(n_2576),
.B(n_1475),
.A(n_2644));
CLKMX2X12 g28301 (.Y(n_2577),
.S0(n_191),
.B(n_12),
.A(n_767));
ADDFHX4 g28302 (.S(),
.CO(n_2578),
.CI(n_1055),
.B(n_2714),
.A(n_11));
ADDFHX4 g28303 (.S(),
.CO(n_2579),
.CI(n_2598),
.B(n_1164),
.A(n_2597));
ADDFHX4 g28304 (.S(),
.CO(n_2580),
.CI(n_1179),
.B(n_2615),
.A(n_865));
ADDFHX4 g28305 (.S(),
.CO(n_2581),
.CI(n_1257),
.B(n_539),
.A(n_1262));
ADDFHX4 g28306 (.S(),
.CO(n_2582),
.CI(n_2648),
.B(n_1240),
.A(n_523));
ADDFHX4 g28307 (.S(),
.CO(n_2583),
.CI(n_1202),
.B(n_1207),
.A(n_2670));
ADDFHX4 g28308 (.S(),
.CO(n_2584),
.CI(n_1290),
.B(n_1301),
.A(n_550));
ADDFHX4 g28309 (.S(),
.CO(n_2585),
.CI(n_2599),
.B(n_1166),
.A(B[7]));
ADDFHX4 g28310 (.S(),
.CO(n_2586),
.CI(n_1259),
.B(n_1169),
.A(n_770));
CLKMX2X12 g28311 (.Y(n_2587),
.S0(n_2599),
.B(n_1166),
.A(n_2700));
CLKMX2X12 g28312 (.Y(n_2588),
.S0(n_770),
.B(n_1259),
.A(n_2631));
XNOR2X4 g28313 (.Y(n_2589),
.B(n_2598),
.A(n_2597));
CLKMX2X12 g28314 (.Y(n_2590),
.S0(n_566),
.B(n_2617),
.A(n_1278));
XOR2X4 g28315 (.Y(n_2591),
.B(n_2719),
.A(n_2676));
CLKMX2X12 g28316 (.Y(n_2592),
.S0(n_2648),
.B(n_523),
.A(B[5]));
ADDFHX4 g28317 (.S(),
.CO(n_2593),
.CI(n_1211),
.B(n_688),
.A(n_960));
ADDFHX4 g28318 (.S(),
.CO(n_2594),
.CI(n_1292),
.B(n_563),
.A(B[13]));
XOR2X4 g28319 (.Y(n_2595),
.B(n_2682),
.A(n_146));
CLKMX2X12 g28320 (.Y(n_2596),
.S0(n_2826),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28321 (.Y(n_2597),
.S0(n_2821),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28322 (.Y(n_2598),
.S0(n_2854),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28323 (.Y(n_2599),
.S0(n_2823),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28324 (.Y(n_2600),
.S0(n_2832),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28325 (.Y(n_2601),
.S0(n_2885),
.B(B[3]),
.A(n_519));
CLKMX2X12 g28326 (.Y(n_2602),
.S0(n_2856),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28327 (.Y(n_2603),
.S0(n_2853),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28328 (.Y(n_2604),
.S0(n_2904),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28329 (.Y(n_2605),
.S0(n_2835),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28330 (.Y(n_2606),
.S0(n_2914),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28331 (.Y(n_2607),
.S0(n_2828),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28332 (.Y(n_2608),
.S0(n_2897),
.B(B[15]),
.A(n_522));
CLKMX2X12 g28333 (.Y(n_2609),
.S0(n_2838),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28334 (.Y(n_2610),
.S0(n_2915),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28335 (.Y(n_2611),
.S0(n_2839),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28336 (.Y(n_2612),
.S0(n_2841),
.B(B[9]),
.A(n_512));
XOR2X4 g28337 (.Y(Z[1]),
.B(n_3),
.A(n_2712));
CLKMX2X12 g28338 (.Y(n_2614),
.S0(n_2855),
.B(B[15]),
.A(n_522));
CLKMX2X12 g28339 (.Y(n_2615),
.S0(n_2909),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28340 (.Y(n_2616),
.S0(n_2827),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28341 (.Y(n_2617),
.S0(n_2905),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28342 (.Y(n_2618),
.S0(n_2847),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28343 (.Y(n_2619),
.S0(n_2848),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28344 (.Y(n_2620),
.S0(n_2908),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28345 (.Y(n_2621),
.S0(n_2880),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28346 (.Y(n_2622),
.S0(n_2851),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28347 (.Y(n_2623),
.S0(n_2852),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28348 (.Y(n_2624),
.S0(n_2858),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28349 (.Y(n_2625),
.S0(n_2907),
.B(B[3]),
.A(n_519));
CLKMX2X12 g28350 (.Y(n_2626),
.S0(n_2888),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28351 (.Y(n_2627),
.S0(n_2862),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28352 (.Y(n_2628),
.S0(n_2861),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28353 (.Y(n_2629),
.S0(n_2863),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28354 (.Y(n_2630),
.S0(n_2899),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28355 (.Y(n_2631),
.S0(n_2881),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28356 (.Y(n_2632),
.S0(n_2891),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28357 (.Y(n_2633),
.S0(n_2865),
.B(B[11]),
.A(n_516));
CLKMX2X12 g28358 (.Y(n_2634),
.S0(n_2850),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28359 (.Y(n_2635),
.S0(n_2896),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28360 (.Y(n_2636),
.S0(n_2868),
.B(B[9]),
.A(n_512));
CLKMX2X12 g28361 (.Y(n_2637),
.S0(n_2874),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28362 (.Y(n_2638),
.S0(n_2872),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28363 (.Y(n_2639),
.S0(n_2845),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28364 (.Y(n_2640),
.S0(n_2867),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28365 (.Y(n_2641),
.S0(n_2887),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28366 (.Y(n_2642),
.S0(n_2849),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28367 (.Y(n_2643),
.S0(n_2866),
.B(B[15]),
.A(n_522));
CLKMX2X12 g28368 (.Y(n_2644),
.S0(n_2871),
.B(B[11]),
.A(n_516));
CLKMX2X12 g28369 (.Y(n_2645),
.S0(n_2836),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28370 (.Y(n_2646),
.S0(n_2883),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28371 (.Y(n_2647),
.S0(n_2924),
.B(B[11]),
.A(n_516));
CLKMX2X12 g28372 (.Y(n_2648),
.S0(n_2895),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28373 (.Y(n_2649),
.S0(n_2890),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28374 (.Y(n_2650),
.S0(n_2911),
.B(B[15]),
.A(n_522));
CLKMX2X12 g28375 (.Y(n_2651),
.S0(n_2834),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28376 (.Y(n_2652),
.S0(n_2886),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28377 (.Y(n_2653),
.S0(n_2875),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28378 (.Y(n_2654),
.S0(n_2889),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28379 (.Y(n_2655),
.S0(n_2919),
.B(B[15]),
.A(n_522));
CLKMX2X12 g28380 (.Y(n_2656),
.S0(n_2893),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28381 (.Y(n_2657),
.S0(n_2830),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28382 (.Y(n_2658),
.S0(n_2906),
.B(B[9]),
.A(n_512));
CLKMX2X12 g28383 (.Y(n_2659),
.S0(n_2913),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28384 (.Y(n_2660),
.S0(n_2882),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28385 (.Y(n_2661),
.S0(n_2894),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28386 (.Y(n_2662),
.S0(n_2829),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28387 (.Y(n_2663),
.S0(n_2873),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28388 (.Y(n_2664),
.S0(n_2842),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28389 (.Y(n_2665),
.S0(n_2837),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28390 (.Y(n_2666),
.S0(n_2921),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28391 (.Y(n_2667),
.S0(n_2831),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28392 (.Y(n_2668),
.S0(n_2870),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28393 (.Y(n_2669),
.S0(n_2902),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28394 (.Y(n_2670),
.S0(n_2878),
.B(B[11]),
.A(n_516));
CLKMX2X12 g28395 (.Y(n_2671),
.S0(n_2857),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28396 (.Y(n_2672),
.S0(n_2843),
.B(B[3]),
.A(n_519));
CLKMX2X12 g28397 (.Y(n_2673),
.S0(n_2918),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28398 (.Y(n_2674),
.S0(n_2820),
.B(B[15]),
.A(n_522));
CLKMX2X12 g28399 (.Y(n_2675),
.S0(n_2900),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28400 (.Y(n_2676),
.S0(n_2840),
.B(B[3]),
.A(n_519));
CLKMX2X12 g28401 (.Y(n_2677),
.S0(n_2901),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28402 (.Y(n_2678),
.S0(n_2898),
.B(B[11]),
.A(n_516));
CLKMX2X12 g28403 (.Y(n_2679),
.S0(n_2825),
.B(n_523),
.A(B[5]));
CLKMX2X12 g28404 (.Y(n_2680),
.S0(n_2912),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28405 (.Y(n_2681),
.S0(n_2844),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28406 (.Y(n_2682),
.S0(n_2864),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28407 (.Y(n_2683),
.S0(n_2910),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28408 (.Y(n_2684),
.S0(n_2920),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28409 (.Y(n_2685),
.S0(n_2884),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28410 (.Y(n_2686),
.S0(n_2876),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28411 (.Y(n_2687),
.S0(n_2833),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28412 (.Y(n_2688),
.S0(n_2859),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28413 (.Y(n_2689),
.S0(n_2860),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28414 (.Y(n_2690),
.S0(n_2879),
.B(B[15]),
.A(n_522));
CLKMX2X12 g28415 (.Y(n_2691),
.S0(n_2922),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28416 (.Y(n_2692),
.S0(n_2923),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28417 (.Y(n_2693),
.S0(n_2869),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28418 (.Y(n_2694),
.S0(n_2916),
.B(B[7]),
.A(n_497));
CLKMX2X12 g28419 (.Y(n_2695),
.S0(n_2892),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28420 (.Y(n_2696),
.S0(n_2877),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28421 (.Y(n_2697),
.S0(n_2917),
.B(B[9]),
.A(n_512));
CLKMX2X12 g28422 (.Y(n_2698),
.S0(n_2846),
.B(n_522),
.A(B[15]));
CLKMX2X12 g28423 (.Y(n_2699),
.S0(n_2903),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28424 (.Y(n_2700),
.S0(n_2824),
.B(B[13]),
.A(n_496));
CLKMX2X12 g28425 (.Y(n_2701),
.S0(n_2822),
.B(B[9]),
.A(n_512));
CLKMX2X12 g28426 (.Y(n_2702),
.S0(n_2989),
.B(n_497),
.A(B[7]));
CLKMX2X12 g28427 (.Y(n_2703),
.S0(n_874),
.B(n_512),
.A(B[9]));
CLKMX2X12 g28428 (.Y(n_2704),
.S0(n_882),
.B(n_516),
.A(B[11]));
CLKMX2X12 g28429 (.Y(n_2705),
.S0(n_2990),
.B(n_519),
.A(B[3]));
CLKMX2X12 g28430 (.Y(n_2706),
.S0(n_2988),
.B(B[5]),
.A(n_523));
CLKMX2X12 g28431 (.Y(n_2707),
.S0(n_856),
.B(n_496),
.A(B[13]));
CLKMX2X12 g28432 (.Y(n_2708),
.S0(n_2943),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28433 (.Y(n_2709),
.S0(n_2948),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28434 (.Y(n_2710),
.S0(n_2940),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28435 (.Y(n_2711),
.S0(n_2939),
.B(B[1]),
.A(n_508));
CLKMX2X12 g28436 (.Y(n_2712),
.S0(n_2950),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28437 (.Y(n_2713),
.S0(n_2938),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28438 (.Y(n_2714),
.S0(n_2947),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28439 (.Y(n_2715),
.S0(n_2945),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28440 (.Y(n_2716),
.S0(n_2936),
.B(B[1]),
.A(n_508));
CLKMX2X12 g28441 (.Y(n_2717),
.S0(n_2942),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28442 (.Y(n_2718),
.S0(n_2944),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28443 (.Y(n_2719),
.S0(n_2937),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28444 (.Y(n_2720),
.S0(n_2949),
.B(B[1]),
.A(n_508));
CLKMX2X12 g28445 (.Y(n_2721),
.S0(n_2941),
.B(n_508),
.A(B[1]));
CLKMX2X12 g28446 (.Y(n_2722),
.S0(n_2946),
.B(B[1]),
.A(n_508));
CLKMX2X12 g28447 (.Y(n_2723),
.S0(n_536),
.B(n_543),
.A(n_542));
MXI2X1 g28448 (.Y(Z[28]),
.S0(n_460),
.B(n_2456),
.A(n_2048));
XNOR2X4 g2 (.Y(Z[24]),
.B(n_2381),
.A(n_2193));
MXI2X1 g28449 (.Y(Z[21]),
.S0(n_448),
.B(n_2180),
.A(n_2424));
MXI2X1 g28450 (.Y(Z[20]),
.S0(n_2222),
.B(n_2290),
.A(n_2386));
MXI2X1 g28451 (.Y(Z[12]),
.S0(n_2131),
.B(n_2404),
.A(n_2245));
MXI2X1 g28452 (.Y(Z[8]),
.S0(n_2002),
.B(n_2419),
.A(n_2213));
MXI2X1 g28453 (.Y(n_2730),
.S0(n_365),
.B(n_1904),
.A(n_2493));
MXI2X1 g28454 (.Y(Z[5]),
.S0(n_1823),
.B(n_2512),
.A(n_1921));
MXI2X1 g28455 (.Y(n_2732),
.S0(n_293),
.B(n_2483),
.A(n_1919));
XOR2X4 g28456 (.Y(n_2733),
.B(n_1832),
.A(n_2572));
MXI2X1 g28457 (.Y(n_2734),
.S0(n_311),
.B(n_591),
.A(n_590));
MXI2X1 g28458 (.Y(n_2735),
.S0(n_299),
.B(n_1518),
.A(n_1657));
MXI2X1 g28459 (.Y(n_2736),
.S0(n_297),
.B(n_1377),
.A(n_1376));
MXI2X1 g28460 (.Y(n_2737),
.S0(n_195),
.B(n_2583),
.A(n_1658));
XNOR2X4 g28461 (.Y(n_2738),
.B(n_1476),
.A(n_1342));
MXI2X1 g28462 (.Y(Z[3]),
.S0(n_1551),
.B(n_2578),
.A(n_1524));
MXI2X1 g28463 (.Y(n_2740),
.S0(n_1493),
.B(n_2650),
.A(n_1234));
AO22X4 g28464 (.Y(n_2741),
.B1(n_2375),
.B0(n_1926),
.A1(n_2330),
.A0(n_2510));
OA21X4 g28465 (.Y(n_2742),
.B0(n_2322),
.A1(n_2321),
.A0(n_2048));
OA21X4 g28466 (.Y(n_2743),
.B0(n_2309),
.A1(n_2308),
.A0(n_2438));
OA21X4 g28467 (.Y(n_2744),
.B0(n_2293),
.A1(n_2294),
.A0(n_2180));
AO22X4 g28468 (.Y(n_2745),
.B1(n_2389),
.B0(n_2216),
.A1(n_2282),
.A0(n_2410));
OA22X4 g28469 (.Y(n_2746),
.B1(n_2247),
.B0(n_2047),
.A1(n_2248),
.A0(n_2764));
OA22X4 g28470 (.Y(n_2747),
.B1(n_2407),
.B0(n_2098),
.A1(n_2237),
.A0(n_2445));
AO22X4 g28471 (.Y(n_2748),
.B1(n_2218),
.B0(n_2024),
.A1(n_2221),
.A0(n_2468));
AO22X4 g28472 (.Y(n_2749),
.B1(n_2425),
.B0(n_2192),
.A1(n_2179),
.A0(n_2417));
AO22X4 g28473 (.Y(n_2750),
.B1(n_2447),
.B0(n_1817),
.A1(n_2140),
.A0(n_2525));
OA21X4 g28474 (.Y(n_2751),
.B0(n_2128),
.A1(n_2044),
.A0(n_2126));
OA21X4 g28475 (.Y(n_2752),
.B0(n_2119),
.A1(n_2120),
.A0(n_2045));
OA21X4 g28476 (.Y(n_2753),
.B0(n_2101),
.A1(n_2102),
.A0(n_2074));
OA21X4 g28477 (.Y(n_2754),
.B0(n_2104),
.A1(n_2046),
.A0(n_2105));
AO22X4 g28478 (.Y(n_2755),
.B1(n_2763),
.B0(n_2000),
.A1(n_2043),
.A0(n_2474));
OA21X4 g28479 (.Y(n_2756),
.B0(n_1972),
.A1(n_2049),
.A0(n_1971));
AO22X4 g28480 (.Y(n_2757),
.B1(n_2023),
.B0(n_2511),
.A1(n_2765),
.A0(n_1906));
OA21X4 g28481 (.Y(n_2758),
.B0(n_2030),
.A1(n_2036),
.A0(n_1928));
OA22X4 g28482 (.Y(n_2759),
.B1(n_2769),
.B0(n_1907),
.A1(n_1999),
.A0(n_2778));
OA21X4 g28483 (.Y(n_2760),
.B0(n_1977),
.A1(n_1929),
.A0(n_1980));
OA21X4 g28484 (.Y(n_2761),
.B0(n_1965),
.A1(n_1904),
.A0(n_1962));
OA21X4 g28485 (.Y(n_2762),
.B0(n_1976),
.A1(n_1979),
.A0(n_1910));
OA21X4 g28486 (.Y(n_2763),
.B0(n_1934),
.A1(n_1947),
.A0(n_2495));
OA21X4 g28487 (.Y(n_2764),
.B0(n_1937),
.A1(n_1927),
.A0(n_1936));
OA21X4 g28488 (.Y(n_2765),
.B0(n_1736),
.A1(n_1919),
.A0(n_1682));
OA21X4 g28489 (.Y(n_2766),
.B0(n_1941),
.A1(n_1942),
.A0(n_1916));
OA22X4 g28490 (.Y(n_2767),
.B1(n_1672),
.B0(n_2777),
.A1(n_2532),
.A0(n_1913));
AO22X4 g28491 (.Y(n_2768),
.B1(n_1903),
.B0(n_2539),
.A1(n_2522),
.A0(n_1666));
OA21X4 g28492 (.Y(n_2769),
.B0(n_1874),
.A1(n_1871),
.A0(n_2574));
OA21X4 g28493 (.Y(n_2770),
.B0(n_1799),
.A1(n_1795),
.A0(n_2530));
OA21X4 g28494 (.Y(n_2771),
.B0(n_1775),
.A1(n_1644),
.A0(n_1774));
AO22X4 g28495 (.Y(n_2772),
.B1(n_1614),
.B0(n_1062),
.A1(n_1667),
.A0(n_1311));
OA22X4 g28496 (.Y(n_2773),
.B1(n_2547),
.B0(n_1665),
.A1(n_1656),
.A0(n_2527));
AO22X4 g28497 (.Y(n_2774),
.B1(n_2540),
.B0(n_1673),
.A1(n_1668),
.A0(n_1618));
OA22X4 g28498 (.Y(n_2775),
.B1(n_2535),
.B0(n_1669),
.A1(n_1671),
.A0(n_2536));
OA21X4 g28499 (.Y(n_2776),
.B0(n_1723),
.A1(n_1721),
.A0(n_1657));
OA21X4 g28500 (.Y(n_2777),
.B0(n_1710),
.A1(n_1706),
.A0(n_1377));
OA21X4 g28501 (.Y(n_2778),
.B0(n_1690),
.A1(n_1688),
.A0(n_1638));
AO22X4 g28502 (.Y(n_2779),
.B1(n_1499),
.B0(n_1526),
.A1(n_1660),
.A0(n_2800));
AO22X4 g28503 (.Y(n_2780),
.B1(n_2586),
.B0(n_1525),
.A1(n_1634),
.A0(n_1423));
OA22X4 g28504 (.Y(n_2781),
.B1(n_2561),
.B0(n_1654),
.A1(n_1650),
.A0(n_2790));
AO22X4 g28505 (.Y(n_2782),
.B1(n_1643),
.B0(n_790),
.A1(n_2593),
.A0(n_791));
OA22X4 g28506 (.Y(n_2783),
.B1(n_2569),
.B0(n_1633),
.A1(n_1642),
.A0(n_2791));
OA22X4 g28507 (.Y(n_2784),
.B1(n_2566),
.B0(n_1641),
.A1(n_1661),
.A0(n_2556));
OA21X4 g28508 (.Y(n_2785),
.B0(n_1413),
.A1(n_1655),
.A0(n_1319));
OA21X4 g28509 (.Y(n_2786),
.B0(n_1593),
.A1(n_2595),
.A0(n_1592));
AO22X4 g28510 (.Y(n_2787),
.B1(n_1464),
.B0(n_900),
.A1(n_1529),
.A0(n_899));
OA21X4 g28511 (.Y(n_2788),
.B0(n_1339),
.A1(n_1343),
.A0(n_2667));
OA21X4 g28512 (.Y(n_2789),
.B0(n_1415),
.A1(n_673),
.A0(n_1414));
OA21X4 g28513 (.Y(n_2790),
.B0(n_1397),
.A1(n_1395),
.A0(n_2623));
OA21X4 g28514 (.Y(n_2791),
.B0(n_1378),
.A1(n_1375),
.A0(n_583));
OA21X4 g28515 (.Y(n_2792),
.B0(n_1331),
.A1(n_2678),
.A0(n_1425));
OA21X4 g28516 (.Y(n_2793),
.B0(n_1367),
.A1(n_1346),
.A0(n_516));
OA21X4 g28517 (.Y(n_2794),
.B0(n_1365),
.A1(n_1364),
.A0(n_2651));
OA21X4 g28518 (.Y(n_2795),
.B0(n_1363),
.A1(n_1373),
.A0(n_2665));
OA21X4 g28519 (.Y(n_2796),
.B0(n_1328),
.A1(n_1321),
.A0(n_1183));
OA21X4 g28520 (.Y(n_2797),
.B0(n_1366),
.A1(n_1325),
.A0(n_767));
OA21X4 g28521 (.Y(n_2798),
.B0(n_1324),
.A1(n_1323),
.A0(n_2925));
OA21X4 g28522 (.Y(n_2799),
.B0(n_1527),
.A1(n_2722),
.A0(n_2625));
OA21X4 g28523 (.Y(n_2800),
.B0(n_162),
.A1(n_2659),
.A0(B[1]));
AO22X4 g28524 (.Y(n_2801),
.B1(n_2713),
.B0(n_1216),
.A1(n_985),
.A0(n_2664));
AO22X4 g28525 (.Y(n_2802),
.B1(n_2687),
.B0(n_1175),
.A1(n_1186),
.A0(n_2694));
AO22X4 g28526 (.Y(n_2803),
.B1(n_2603),
.B0(n_1196),
.A1(n_1299),
.A0(n_2679));
OA22X4 g28527 (.Y(n_2804),
.B1(n_2692),
.B0(n_865),
.A1(n_1179),
.A0(n_864));
AO22X4 g28528 (.Y(n_2805),
.B1(n_2616),
.B0(n_1274),
.A1(n_1280),
.A0(n_2619));
OA22X4 g28529 (.Y(n_2806),
.B1(n_2668),
.B0(n_960),
.A1(n_1211),
.A0(n_2716));
OA22X4 g28530 (.Y(n_2807),
.B1(n_2632),
.B0(n_1262),
.A1(n_1257),
.A0(n_2629));
AO22X4 g28531 (.Y(n_2808),
.B1(n_2614),
.B0(n_1276),
.A1(n_1283),
.A0(n_2618));
OA22X4 g28532 (.Y(n_2809),
.B1(n_2602),
.B0(n_1290),
.A1(n_1301),
.A0(n_2609));
AO22X4 g28533 (.Y(n_2810),
.B1(n_2656),
.B0(n_1042),
.A1(n_1227),
.A0(n_2705));
AO22X4 g28534 (.Y(n_2811),
.B1(n_2658),
.B0(n_1199),
.A1(n_1224),
.A0(n_2677));
AO22X4 g28535 (.Y(n_2812),
.B1(n_2684),
.B0(n_833),
.A1(n_1190),
.A0(n_832));
OA22X4 g28536 (.Y(n_2813),
.B1(n_2671),
.B0(n_1202),
.A1(n_1207),
.A0(n_2675));
AO22X4 g28537 (.Y(n_2814),
.B1(n_2695),
.B0(n_1254),
.A1(n_1173),
.A0(n_2634));
OA22X4 g28538 (.Y(n_2815),
.B1(n_2608),
.B0(n_563),
.A1(n_1292),
.A0(n_562));
OA21X4 g28539 (.Y(n_2816),
.B0(n_1138),
.A1(n_2682),
.A0(n_1068));
INVXL g3 (.Y(n_2818),
.A(n_2817));
AOI221X4 g28540 (.Y(n_2817),
.C0(n_536),
.B1(n_2991),
.B0(n_522),
.A1(n_646),
.A0(B[15]));
OA21X4 g28541 (.Y(n_2819),
.B0(n_1311),
.A1(n_2721),
.A0(n_871));
OA22X4 g28542 (.Y(n_2820),
.B1(n_646),
.B0(n_503),
.A1(n_605),
.A0(n_509));
OA22X4 g28543 (.Y(n_2821),
.B1(n_513),
.B0(n_2954),
.A1(n_525),
.A0(n_653));
OA22X4 g28544 (.Y(n_2822),
.B1(n_2931),
.B0(n_518),
.A1(n_2951),
.A0(n_503));
OA22X4 g28545 (.Y(n_2823),
.B1(n_527),
.B0(n_2931),
.A1(n_499),
.A0(n_2951));
OA22X4 g28546 (.Y(n_2824),
.B1(n_10),
.B0(n_525),
.A1(n_2958),
.A0(n_513));
OA22X4 g28547 (.Y(n_2825),
.B1(n_521),
.B0(n_2954),
.A1(n_498),
.A0(n_653));
OA22X4 g28548 (.Y(n_2826),
.B1(n_646),
.B0(n_510),
.A1(n_605),
.A0(n_518));
OA22X4 g28549 (.Y(n_2827),
.B1(n_10),
.B0(n_520),
.A1(n_2958),
.A0(n_505));
OA22X4 g28550 (.Y(n_2828),
.B1(n_646),
.B0(n_500),
.A1(n_605),
.A0(n_525));
OA22X4 g28551 (.Y(n_2829),
.B1(n_10),
.B0(n_527),
.A1(n_2958),
.A0(n_499));
OA22X4 g28552 (.Y(n_2830),
.B1(n_648),
.B0(n_518),
.A1(n_2956),
.A0(n_503));
OA22X4 g28553 (.Y(n_2831),
.B1(n_10),
.B0(n_500),
.A1(n_2958),
.A0(n_525));
OA22X4 g28554 (.Y(n_2832),
.B1(n_646),
.B0(n_498),
.A1(n_605),
.A0(n_521));
OA22X4 g28555 (.Y(n_2833),
.B1(n_10),
.B0(n_503),
.A1(n_2958),
.A0(n_509));
OA22X4 g28556 (.Y(n_2834),
.B1(n_648),
.B0(n_503),
.A1(n_2956),
.A0(n_509));
OA22X4 g28557 (.Y(n_2835),
.B1(n_10),
.B0(n_506),
.A1(n_2958),
.A0(n_501));
OA22X4 g28558 (.Y(n_2836),
.B1(n_9),
.B0(n_527),
.A1(n_2959),
.A0(n_499));
OA22X4 g28559 (.Y(n_2837),
.B1(n_653),
.B0(n_510),
.A1(n_2954),
.A0(n_518));
OA22X4 g28560 (.Y(n_2838),
.B1(n_10),
.B0(n_521),
.A1(n_2958),
.A0(n_527));
OA22X4 g28561 (.Y(n_2839),
.B1(n_653),
.B0(n_500),
.A1(n_525),
.A0(n_2954));
OA22X4 g28562 (.Y(n_2840),
.B1(n_648),
.B0(n_514),
.A1(n_2956),
.A0(n_510));
OA22X4 g28563 (.Y(n_2841),
.B1(n_2931),
.B0(n_510),
.A1(n_2951),
.A0(n_518));
OA22X4 g28564 (.Y(n_2842),
.B1(n_521),
.B0(n_2956),
.A1(n_498),
.A0(n_648));
OA22X4 g28565 (.Y(n_2843),
.B1(n_648),
.B0(n_510),
.A1(n_2956),
.A0(n_518));
OA22X4 g28566 (.Y(n_2844),
.B1(n_527),
.B0(n_648),
.A1(n_499),
.A0(n_2956));
OA22X4 g28567 (.Y(n_2845),
.B1(n_527),
.B0(n_2954),
.A1(n_521),
.A0(n_653));
OA22X4 g28568 (.Y(n_2846),
.B1(n_646),
.B0(n_520),
.A1(n_605),
.A0(n_505));
OA22X4 g28569 (.Y(n_2847),
.B1(n_10),
.B0(n_518),
.A1(n_2958),
.A0(n_503));
OA22X4 g28570 (.Y(n_2848),
.B1(n_9),
.B0(n_506),
.A1(n_2959),
.A0(n_501));
OA22X4 g28571 (.Y(n_2849),
.B1(n_651),
.B0(n_513),
.A1(n_498),
.A0(n_2952));
OA22X4 g28572 (.Y(n_2850),
.B1(n_2931),
.B0(n_498),
.A1(n_521),
.A0(n_2951));
OA22X4 g28573 (.Y(n_2851),
.B1(n_651),
.B0(n_518),
.A1(n_2952),
.A0(n_503));
OA22X4 g28574 (.Y(n_2852),
.B1(n_2931),
.B0(n_514),
.A1(n_2951),
.A0(n_510));
OA22X4 g28575 (.Y(n_2853),
.B1(n_2931),
.B0(n_509),
.A1(n_2951),
.A0(n_500));
OA22X4 g28576 (.Y(n_2854),
.B1(n_9),
.B0(n_514),
.A1(n_2959),
.A0(n_510));
OA22X4 g28577 (.Y(n_2855),
.B1(n_646),
.B0(n_514),
.A1(n_605),
.A0(n_510));
OA22X4 g28578 (.Y(n_2856),
.B1(n_646),
.B0(n_513),
.A1(n_605),
.A0(n_498));
OA22X4 g28579 (.Y(n_2857),
.B1(n_10),
.B0(n_501),
.A1(n_2958),
.A0(n_514));
OA22X4 g28580 (.Y(n_2858),
.B1(n_527),
.B0(n_653),
.A1(n_499),
.A0(n_2954));
OA22X4 g28581 (.Y(n_2859),
.B1(n_648),
.B0(n_520),
.A1(n_2956),
.A0(n_505));
OA22X4 g28582 (.Y(n_2860),
.B1(n_9),
.B0(n_498),
.A1(n_2959),
.A0(n_521));
OA22X4 g28583 (.Y(n_2861),
.B1(n_10),
.B0(n_505),
.A1(n_2958),
.A0(n_506));
OA22X4 g28584 (.Y(n_2862),
.B1(n_2931),
.B0(n_525),
.A1(n_2951),
.A0(n_513));
OA22X4 g28585 (.Y(n_2863),
.B1(n_521),
.B0(n_2952),
.A1(n_498),
.A0(n_651));
OA22X4 g28586 (.Y(n_2864),
.B1(n_648),
.B0(n_501),
.A1(n_2956),
.A0(n_514));
OA22X4 g28587 (.Y(n_2865),
.B1(n_9),
.B0(n_505),
.A1(n_2959),
.A0(n_506));
OA22X4 g28588 (.Y(n_2866),
.B1(n_646),
.B0(n_525),
.A1(n_605),
.A0(n_513));
OA22X4 g28589 (.Y(n_2867),
.B1(n_648),
.B0(n_509),
.A1(n_500),
.A0(n_2956));
OA22X4 g28590 (.Y(n_2868),
.B1(n_2931),
.B0(n_500),
.A1(n_2951),
.A0(n_525));
OA22X4 g28591 (.Y(n_2869),
.B1(n_651),
.B0(n_500),
.A1(n_525),
.A0(n_2952));
OA22X4 g28592 (.Y(n_2870),
.B1(n_651),
.B0(n_505),
.A1(n_2952),
.A0(n_506));
OA22X4 g28593 (.Y(n_2871),
.B1(n_9),
.B0(n_503),
.A1(n_2959),
.A0(n_509));
OA22X4 g28594 (.Y(n_2872),
.B1(n_653),
.B0(n_518),
.A1(n_2954),
.A0(n_503));
OA22X4 g28595 (.Y(n_2873),
.B1(n_653),
.B0(n_505),
.A1(n_2954),
.A0(n_506));
OA22X4 g28596 (.Y(n_2874),
.B1(n_651),
.B0(n_514),
.A1(n_2952),
.A0(n_510));
OA22X4 g28597 (.Y(n_2875),
.B1(n_2931),
.B0(n_506),
.A1(n_2951),
.A0(n_501));
OA22X4 g28598 (.Y(n_2876),
.B1(n_648),
.B0(n_505),
.A1(n_2956),
.A0(n_506));
OA22X4 g28599 (.Y(n_2877),
.B1(n_2931),
.B0(n_503),
.A1(n_2951),
.A0(n_509));
OA22X4 g28600 (.Y(n_2878),
.B1(n_9),
.B0(n_510),
.A1(n_2959),
.A0(n_518));
OA22X4 g28601 (.Y(n_2879),
.B1(n_646),
.B0(n_505),
.A1(n_605),
.A0(n_506));
OA22X4 g28602 (.Y(n_2880),
.B1(n_10),
.B0(n_498),
.A1(n_2958),
.A0(n_521));
OA22X4 g28603 (.Y(n_2881),
.B1(n_651),
.B0(n_509),
.A1(n_2952),
.A0(n_500));
OA22X4 g28604 (.Y(n_2882),
.B1(n_10),
.B0(n_509),
.A1(n_2958),
.A0(n_500));
OA22X4 g28605 (.Y(n_2883),
.B1(n_9),
.B0(n_525),
.A1(n_2959),
.A0(n_513));
OA22X4 g28606 (.Y(n_2884),
.B1(n_527),
.B0(n_2956),
.A1(n_521),
.A0(n_648));
OA22X4 g28607 (.Y(n_2885),
.B1(n_525),
.B0(n_2956),
.A1(n_648),
.A0(n_500));
OA22X4 g28608 (.Y(n_2886),
.B1(n_2931),
.B0(n_505),
.A1(n_2951),
.A0(n_506));
OA22X4 g28609 (.Y(n_2887),
.B1(n_10),
.B0(n_510),
.A1(n_2958),
.A0(n_518));
OA22X4 g28610 (.Y(n_2888),
.B1(n_9),
.B0(n_501),
.A1(n_2959),
.A0(n_514));
OA22X4 g28611 (.Y(n_2889),
.B1(n_2931),
.B0(n_513),
.A1(n_498),
.A0(n_2951));
OA22X4 g28612 (.Y(n_2890),
.B1(n_651),
.B0(n_501),
.A1(n_2952),
.A0(n_514));
OA22X4 g28613 (.Y(n_2891),
.B1(n_9),
.B0(n_509),
.A1(n_2959),
.A0(n_500));
OA22X4 g28614 (.Y(n_2892),
.B1(n_646),
.B0(n_518),
.A1(n_605),
.A0(n_503));
OA22X4 g28615 (.Y(n_2893),
.B1(n_646),
.B0(n_501),
.A1(n_605),
.A0(n_514));
OA22X4 g28616 (.Y(n_2894),
.B1(n_653),
.B0(n_514),
.A1(n_2954),
.A0(n_510));
OA22X4 g28617 (.Y(n_2895),
.B1(n_527),
.B0(n_651),
.A1(n_499),
.A0(n_2952));
OA22X4 g28618 (.Y(n_2896),
.B1(n_653),
.B0(n_503),
.A1(n_2954),
.A0(n_509));
OA22X4 g28619 (.Y(n_2897),
.B1(n_646),
.B0(n_527),
.A1(n_605),
.A0(n_499));
OA22X4 g28620 (.Y(n_2898),
.B1(n_9),
.B0(n_513),
.A1(n_2959),
.A0(n_498));
OA22X4 g28621 (.Y(n_2899),
.B1(n_651),
.B0(n_510),
.A1(n_2952),
.A0(n_518));
OA22X4 g28622 (.Y(n_2900),
.B1(n_498),
.B0(n_2954),
.A1(n_513),
.A0(n_653));
OA22X4 g28623 (.Y(n_2901),
.B1(n_651),
.B0(n_506),
.A1(n_2952),
.A0(n_501));
OA22X4 g28624 (.Y(n_2902),
.B1(n_10),
.B0(n_514),
.A1(n_2958),
.A0(n_510));
OA22X4 g28625 (.Y(n_2903),
.B1(n_9),
.B0(n_521),
.A1(n_527),
.A0(n_2959));
OA22X4 g28626 (.Y(n_2904),
.B1(n_10),
.B0(n_513),
.A1(n_2958),
.A0(n_498));
OA22X4 g28627 (.Y(n_2905),
.B1(n_646),
.B0(n_521),
.A1(n_605),
.A0(n_527));
OA22X4 g28628 (.Y(n_2906),
.B1(n_2931),
.B0(n_520),
.A1(n_2951),
.A0(n_505));
OA22X4 g28629 (.Y(n_2907),
.B1(n_513),
.B0(n_2956),
.A1(n_525),
.A0(n_648));
OA22X4 g28630 (.Y(n_2908),
.B1(n_653),
.B0(n_509),
.A1(n_500),
.A0(n_2954));
OA22X4 g28631 (.Y(n_2909),
.B1(n_653),
.B0(n_506),
.A1(n_2954),
.A0(n_501));
OA22X4 g28632 (.Y(n_2910),
.B1(n_648),
.B0(n_506),
.A1(n_2956),
.A0(n_501));
OA22X4 g28633 (.Y(n_2911),
.B1(n_646),
.B0(n_506),
.A1(n_605),
.A0(n_501));
OA22X4 g28634 (.Y(n_2912),
.B1(n_2931),
.B0(n_521),
.A1(n_527),
.A0(n_2951));
OA22X4 g28635 (.Y(n_2913),
.B1(n_651),
.B0(n_525),
.A1(n_513),
.A0(n_2952));
OA22X4 g28636 (.Y(n_2914),
.B1(n_651),
.B0(n_503),
.A1(n_2952),
.A0(n_509));
OA22X4 g28637 (.Y(n_2915),
.B1(n_498),
.B0(n_2956),
.A1(n_513),
.A0(n_648));
OA22X4 g28638 (.Y(n_2916),
.B1(n_527),
.B0(n_2952),
.A1(n_521),
.A0(n_651));
OA22X4 g28639 (.Y(n_2917),
.B1(n_2931),
.B0(n_501),
.A1(n_2951),
.A0(n_514));
OA22X4 g28640 (.Y(n_2918),
.B1(n_653),
.B0(n_501),
.A1(n_2954),
.A0(n_514));
OA22X4 g28641 (.Y(n_2919),
.B1(n_646),
.B0(n_509),
.A1(n_605),
.A0(n_500));
OA22X4 g28642 (.Y(n_2920),
.B1(n_653),
.B0(n_520),
.A1(n_2954),
.A0(n_505));
OA22X4 g28643 (.Y(n_2921),
.B1(n_9),
.B0(n_518),
.A1(n_2959),
.A0(n_503));
OA22X4 g28644 (.Y(n_2922),
.B1(n_9),
.B0(n_500),
.A1(n_2959),
.A0(n_525));
OA22X4 g28645 (.Y(n_2923),
.B1(n_651),
.B0(n_520),
.A1(n_2952),
.A0(n_505));
OA22X4 g28646 (.Y(n_2924),
.B1(n_9),
.B0(n_520),
.A1(n_2959),
.A0(n_505));
AO21X4 g28647 (.Y(n_2925),
.B0(n_508),
.A1(A[15]),
.A0(n_548));
OAI221X4 g28649 (.Y(n_653),
.C0(n_2954),
.B1(B[5]),
.B0(B[4]),
.A1(n_523),
.A0(n_526));
OAI221X4 g28651 (.Y(n_651),
.C0(n_2952),
.B1(B[7]),
.B0(B[6]),
.A1(n_497),
.A0(n_517));
INVXL g28652 (.Y(n_2931),
.A(n_2930));
AOI221X4 g28653 (.Y(n_2930),
.C0(n_607),
.B1(B[9]),
.B0(B[8]),
.A1(n_512),
.A0(n_524));
OAI221X4 g28655 (.Y(n_648),
.C0(n_2956),
.B1(B[2]),
.B0(B[3]),
.A1(n_519),
.A0(n_502));
OAI221X4 g28657 (.Y(n_646),
.C0(n_605),
.B1(B[14]),
.B0(B[15]),
.A1(n_504),
.A0(n_522));
AO22X4 g28658 (.Y(n_2936),
.B1(A[8]),
.B0(B[0]),
.A1(n_548),
.A0(A[7]));
AO22X4 g28659 (.Y(n_2937),
.B1(n_548),
.B0(A[6]),
.A1(A[7]),
.A0(B[0]));
OA22X4 g28660 (.Y(n_2938),
.B1(n_527),
.B0(n_547),
.A1(n_499),
.A0(n_515));
AO22X4 g28661 (.Y(n_2939),
.B1(n_548),
.B0(A[3]),
.A1(A[4]),
.A0(B[0]));
OA22X4 g28662 (.Y(n_2940),
.B1(n_500),
.B0(n_515),
.A1(n_509),
.A0(n_547));
AO22X4 g28663 (.Y(n_2941),
.B1(n_548),
.B0(A[2]),
.A1(A[3]),
.A0(B[0]));
OA22X4 g28664 (.Y(n_2942),
.B1(n_525),
.B0(n_515),
.A1(n_500),
.A0(n_547));
OA22X4 g28665 (.Y(n_2943),
.B1(n_527),
.B0(n_515),
.A1(n_521),
.A0(n_547));
AO22X4 g28666 (.Y(n_2944),
.B1(n_548),
.B0(A[4]),
.A1(A[5]),
.A0(B[0]));
OA22X4 g28667 (.Y(n_2945),
.B1(n_498),
.B0(n_515),
.A1(n_513),
.A0(n_547));
OA22X4 g28668 (.Y(n_2946),
.B1(n_521),
.B0(n_515),
.A1(n_498),
.A0(n_547));
AO22X4 g28669 (.Y(n_2947),
.B1(n_548),
.B0(A[1]),
.A1(A[2]),
.A0(B[0]));
OA22X4 g28670 (.Y(n_2948),
.B1(n_513),
.B0(n_515),
.A1(n_525),
.A0(n_547));
AO22X4 g28671 (.Y(n_2949),
.B1(n_548),
.B0(A[5]),
.A1(A[6]),
.A0(B[0]));
AO22X4 g28672 (.Y(n_2950),
.B1(n_548),
.B0(A[0]),
.A1(A[1]),
.A0(B[0]));
OA22X4 g28673 (.Y(n_2951),
.B1(B[8]),
.B0(n_497),
.A1(n_524),
.A0(B[7]));
OA22X4 g28674 (.Y(n_2952),
.B1(B[6]),
.B0(n_523),
.A1(n_517),
.A0(B[5]));
AO22X4 g28675 (.Y(n_2953),
.B1(B[14]),
.B0(n_496),
.A1(n_504),
.A0(B[13]));
OA22X4 g28676 (.Y(n_2954),
.B1(n_526),
.B0(B[3]),
.A1(n_519),
.A0(B[4]));
AO22X4 g28677 (.Y(n_2955),
.B1(B[11]),
.B0(B[10]),
.A1(n_516),
.A0(n_507));
OA22X4 g28678 (.Y(n_2956),
.B1(n_502),
.B0(B[1]),
.A1(n_508),
.A0(B[2]));
AO22X4 g28679 (.Y(n_2957),
.B1(B[13]),
.B0(B[12]),
.A1(n_496),
.A0(n_511));
OA22X4 g28680 (.Y(n_2958),
.B1(B[12]),
.B0(n_516),
.A1(n_511),
.A0(B[11]));
OA22X4 g28681 (.Y(n_2959),
.B1(B[10]),
.B0(n_512),
.A1(n_507),
.A0(B[9]));
OAI21X4 g28682 (.Y(n_2960),
.B0(n_2317),
.A1(n_2316),
.A0(n_2762));
OAI21X4 g28683 (.Y(n_2961),
.B0(n_1944),
.A1(n_1935),
.A0(n_1909));
OAI21X4 g28684 (.Y(n_2962),
.B0(n_1678),
.A1(n_2985),
.A0(n_1722));
OAI21X4 g28685 (.Y(n_2963),
.B0(n_1703),
.A1(n_1701),
.A0(n_1818));
OAI21X4 g28686 (.Y(n_2964),
.B0(n_1770),
.A1(n_1766),
.A0(n_591));
OAI21X4 g28687 (.Y(n_2965),
.B0(n_1717),
.A1(n_1652),
.A0(n_1714));
OAI21X4 g28688 (.Y(n_2966),
.B0(n_1345),
.A1(n_1658),
.A0(n_1344));
OAI21X4 g28689 (.Y(n_2967),
.B0(n_1388),
.A1(n_1389),
.A0(n_1527));
OAI21X4 g28690 (.Y(n_2968),
.B0(n_1394),
.A1(n_1399),
.A0(n_555));
OAI21X4 g28691 (.Y(n_2969),
.B0(n_1392),
.A1(n_1387),
.A0(n_1265));
AOI2BB1X4 g28692 (.Y(n_2970),
.B0(n_431),
.A1N(n_2290),
.A0N(n_430));
AOI2BB1X4 g28693 (.Y(n_2971),
.B0(n_403),
.A1N(n_2743),
.A0N(n_2152));
AOI2BB1X4 g28694 (.Y(n_2972),
.B0(n_1969),
.A1N(n_1911),
.A0N(n_353));
AOI2BB1X4 g28695 (.Y(n_2973),
.B0(n_291),
.A1N(n_2572),
.A0N(n_1778));
AOI2BB1X4 g28696 (.Y(n_2974),
.B0(n_1782),
.A1N(n_290),
.A0N(n_1647));
AOI2BB1X4 g28697 (.Y(n_2975),
.B0(n_1705),
.A1N(n_1639),
.A0N(n_270));
AOI2BB1X4 g28698 (.Y(n_2976),
.B0(n_178),
.A1N(n_1385),
.A0N(n_2630));
XNOR3X1 g28699 (.Y(Z[29]),
.C(n_2472),
.B(n_2742),
.A(n_2485));
XNOR3X1 g28700 (.Y(Z[22]),
.C(n_2426),
.B(n_2744),
.A(n_2428));
XNOR3X1 g28701 (.Y(Z[18]),
.C(n_2392),
.B(n_2751),
.A(n_2414));
XNOR3X1 g28702 (.Y(n_2980),
.C(n_2449),
.B(n_2498),
.A(n_2471));
XNOR3X1 g28703 (.Y(Z[6]),
.C(n_2529),
.B(n_1988),
.A(n_2526));
XNOR3X1 g28704 (.Y(n_2982),
.C(n_2487),
.B(n_2509),
.A(n_2504));
XNOR3X1 g28705 (.Y(n_2983),
.C(n_2494),
.B(n_2546),
.A(n_2792));
XNOR3X1 g28706 (.Y(n_2984),
.C(n_1514),
.B(n_2976),
.A(n_2799));
XNOR3X1 g28707 (.Y(n_2985),
.C(n_2796),
.B(n_2699),
.A(n_2604));
XOR3X1 g28708 (.Y(Z[31]),
.C(n_2785),
.B(n_2723),
.A(n_2986));
ACHCONX2 g28709 (.CON(n_2986),
.CI(n_2330),
.B(n_1926),
.A(n_2524));
NOR2BX4 g28710 (.Y(n_2988),
.B(n_653),
.AN(A[15]));
NOR2BX4 g28711 (.Y(n_2989),
.B(n_651),
.AN(A[15]));
NOR2BX4 g28712 (.Y(n_2990),
.B(n_648),
.AN(A[15]));
NOR2BX4 g28713 (.Y(n_2991),
.B(n_646),
.AN(A[15]));
endmodule
module m16x16 (
a,
b,
y);
input [15:0] a;
input [15:0] b;
output [31:0] y;
mult_unsigned mul_8_14 (.A(a),
.B(b),
.Z(y));
endmodule
module increment_unsigned_1373 (
A,
CI,
Z);
input [31:0] A;
input CI;
output [32:0] Z;
// Internal wires
wire n_62;
wire n_64;
wire n_66;
wire n_68;
wire n_70;
wire n_72;
wire n_74;
wire n_76;
wire n_78;
wire n_80;
wire n_82;
wire n_84;
wire n_86;
wire n_88;
wire n_90;
wire n_92;
wire n_94;
wire n_98;
wire n_100;
wire n_102;
wire n_104;
wire n_106;
wire n_108;
wire n_110;
wire n_112;
wire n_114;
wire n_116;
wire n_118;
wire n_120;
wire n_122;
AND2X1 g685 (.Y(n_122),
.B(A[30]),
.A(n_120));
AND2X2 g692 (.Y(n_120),
.B(A[29]),
.A(n_118));
AND2X2 g695 (.Y(n_118),
.B(A[28]),
.A(n_116));
AND2X2 g702 (.Y(n_116),
.B(A[27]),
.A(n_114));
AND2X2 g707 (.Y(n_114),
.B(n_112),
.A(A[26]));
AND2X2 g712 (.Y(n_112),
.B(A[25]),
.A(n_110));
AND2X2 g715 (.Y(n_110),
.B(n_108),
.A(A[24]));
AND2X2 g720 (.Y(n_108),
.B(A[23]),
.A(n_106));
AND2X2 g725 (.Y(n_106),
.B(A[22]),
.A(n_104));
AND2X2 g730 (.Y(n_104),
.B(A[21]),
.A(n_102));
AND2X2 g735 (.Y(n_102),
.B(n_100),
.A(A[20]));
AND2X2 g742 (.Y(n_100),
.B(A[19]),
.A(n_98));
AND2X2 g747 (.Y(n_98),
.B(A[18]),
.A(n_94));
AND2X2 g752 (.Y(n_94),
.B(A[17]),
.A(n_92));
AND2X2 g755 (.Y(n_92),
.B(A[16]),
.A(n_90));
AND2X2 g760 (.Y(n_90),
.B(n_88),
.A(A[15]));
AND2X2 g765 (.Y(n_88),
.B(A[14]),
.A(n_86));
AND2X2 g770 (.Y(n_86),
.B(A[13]),
.A(n_84));
AND2X2 g775 (.Y(n_84),
.B(n_82),
.A(A[12]));
AND2X2 g780 (.Y(n_82),
.B(A[11]),
.A(n_80));
AND2X2 g787 (.Y(n_80),
.B(A[10]),
.A(n_78));
AND2X2 g792 (.Y(n_78),
.B(A[9]),
.A(n_76));
AND2X2 g797 (.Y(n_76),
.B(n_74),
.A(A[8]));
AND2X2 g802 (.Y(n_74),
.B(A[7]),
.A(n_72));
AND2X2 g807 (.Y(n_72),
.B(A[6]),
.A(n_70));
AND2X2 g812 (.Y(n_70),
.B(A[5]),
.A(n_68));
AND2X2 g817 (.Y(n_68),
.B(A[4]),
.A(n_66));
AND2X2 g822 (.Y(n_66),
.B(A[3]),
.A(n_64));
AND2X2 g827 (.Y(n_64),
.B(A[2]),
.A(n_62));
AND2X2 g832 (.Y(n_62),
.B(A[1]),
.A(A[0]));
XOR2X4 g926 (.Y(Z[31]),
.B(n_122),
.A(A[31]));
XOR2X4 g2 (.Y(Z[30]),
.B(A[30]),
.A(n_120));
XOR2X4 g927 (.Y(Z[29]),
.B(A[29]),
.A(n_118));
XOR2X4 g928 (.Y(Z[28]),
.B(A[28]),
.A(n_116));
XOR2X4 g929 (.Y(Z[27]),
.B(A[27]),
.A(n_114));
XOR2X4 g930 (.Y(Z[26]),
.B(A[26]),
.A(n_112));
XOR2X4 g931 (.Y(Z[25]),
.B(A[25]),
.A(n_110));
XOR2X4 g932 (.Y(Z[24]),
.B(n_108),
.A(A[24]));
XOR2X4 g933 (.Y(Z[23]),
.B(A[23]),
.A(n_106));
XOR2X4 g934 (.Y(Z[22]),
.B(A[22]),
.A(n_104));
XOR2X4 g935 (.Y(Z[21]),
.B(A[21]),
.A(n_102));
XOR2X4 g936 (.Y(Z[20]),
.B(n_100),
.A(A[20]));
XOR2X4 g937 (.Y(Z[19]),
.B(A[19]),
.A(n_98));
XOR2X4 g938 (.Y(Z[18]),
.B(A[18]),
.A(n_94));
XOR2X4 g939 (.Y(Z[17]),
.B(A[17]),
.A(n_92));
XOR2X4 g940 (.Y(Z[16]),
.B(A[16]),
.A(n_90));
XOR2X4 g941 (.Y(Z[15]),
.B(n_88),
.A(A[15]));
XOR2X4 g942 (.Y(Z[14]),
.B(A[14]),
.A(n_86));
XOR2X4 g943 (.Y(Z[13]),
.B(A[13]),
.A(n_84));
XOR2X4 g944 (.Y(Z[12]),
.B(n_82),
.A(A[12]));
XOR2X4 g945 (.Y(Z[11]),
.B(A[11]),
.A(n_80));
XOR2X4 g946 (.Y(Z[10]),
.B(A[10]),
.A(n_78));
XOR2X4 g947 (.Y(Z[9]),
.B(A[9]),
.A(n_76));
XOR2X4 g948 (.Y(Z[8]),
.B(n_74),
.A(A[8]));
XOR2X4 g949 (.Y(Z[7]),
.B(A[7]),
.A(n_72));
XOR2X4 g950 (.Y(Z[6]),
.B(A[6]),
.A(n_70));
XOR2X4 g951 (.Y(Z[5]),
.B(A[5]),
.A(n_68));
XOR2X4 g952 (.Y(Z[4]),
.B(A[4]),
.A(n_66));
XOR2X4 g953 (.Y(Z[3]),
.B(A[3]),
.A(n_64));
XOR2X4 g954 (.Y(Z[2]),
.B(A[2]),
.A(n_62));
XOR2X4 g955 (.Y(Z[1]),
.B(A[1]),
.A(A[0]));
endmodule
module mult_32 (
ovm,
op_a,
op_b,
result);
input ovm;
input [15:0] op_a;
input [15:0] op_b;
output [31:0] result;
// Internal wires
wire UNCONNECTED1;
wire UNCONNECTED2;
wire \ab_result[1] ;
wire \ab_result[2] ;
wire \ab_result[3] ;
wire \ab_result[4] ;
wire \ab_result[5] ;
wire \ab_result[6] ;
wire \ab_result[7] ;
wire \ab_result[8] ;
wire \ab_result[9] ;
wire \ab_result[10] ;
wire \ab_result[11] ;
wire \ab_result[12] ;
wire \ab_result[13] ;
wire \ab_result[14] ;
wire \ab_result[15] ;
wire \ab_result[16] ;
wire \ab_result[17] ;
wire \ab_result[18] ;
wire \ab_result[19] ;
wire \ab_result[20] ;
wire \ab_result[21] ;
wire \ab_result[22] ;
wire \ab_result[23] ;
wire \ab_result[24] ;
wire \ab_result[25] ;
wire \ab_result[26] ;
wire \ab_result[27] ;
wire \ab_result[28] ;
wire \ab_result[29] ;
wire \ab_result[30] ;
wire \ab_result[31] ;
wire n_2;
wire n_80;
wire n_111;
wire n_117;
wire n_119;
wire n_122;
wire n_123;
wire n_124;
wire n_126;
wire n_127;
wire n_128;
wire n_129;
wire n_131;
wire n_132;
wire n_133;
wire n_134;
wire n_135;
wire n_136;
wire n_137;
wire n_138;
wire n_139;
wire n_140;
wire n_141;
wire n_142;
wire n_143;
wire n_144;
wire n_145;
wire n_146;
wire n_147;
wire n_148;
wire n_149;
wire n_150;
wire n_151;
wire n_152;
wire n_153;
wire n_154;
wire n_155;
wire n_156;
wire n_157;
wire n_158;
wire n_159;
wire n_160;
wire n_161;
wire n_162;
wire n_163;
wire n_164;
wire n_165;
wire n_166;
wire n_167;
wire n_171;
wire n_173;
wire n_174;
wire n_175;
wire n_176;
wire n_177;
wire n_178;
wire n_179;
wire n_188;
wire n_189;
wire n_190;
wire n_191;
wire n_224;
wire n_225;
wire n_226;
wire n_227;
wire n_228;
wire n_229;
wire n_230;
wire n_231;
wire n_232;
wire n_233;
wire n_234;
wire n_235;
wire n_236;
wire n_237;
wire n_238;
wire n_239;
wire n_240;
wire n_241;
wire n_242;
wire n_243;
wire n_244;
wire n_245;
wire n_246;
wire n_247;
wire n_248;
wire n_249;
wire n_250;
wire n_251;
wire n_252;
wire n_253;
wire n_254;
wire n_255;
wire n_276;
wire n_287;
wire n_288;
wire n_289;
wire n_290;
wire n_291;
wire n_292;
wire n_293;
wire n_294;
wire n_295;
wire n_296;
wire n_297;
wire n_298;
wire n_299;
wire n_300;
wire n_301;
wire n_302;
wire n_303;
wire n_304;
wire n_305;
wire n_306;
wire n_307;
wire n_308;
wire n_309;
wire n_310;
wire n_311;
wire n_312;
wire n_313;
wire n_314;
wire n_340;
wire n_341;
wire n_342;
wire n_343;
wire n_344;
wire n_345;
wire n_346;
wire n_347;
wire n_348;
wire n_349;
wire n_350;
wire n_351;
wire n_352;
wire n_353;
wire n_354;
wire n_355;
wire n_356;
wire n_357;
wire n_358;
wire n_359;
wire n_360;
wire n_361;
wire n_362;
wire n_363;
wire n_364;
wire n_365;
wire n_366;
wire n_367;
wire n_368;
wire n_369;
wire n_370;
m16x16 M16X16_INST (.a({ n_191,
n_341,
n_343,
n_344,
n_346,
n_348,
n_350,
n_352,
n_354,
n_357,
n_359,
n_361,
n_362,
n_364,
n_366,
op_a[0] }),
.b({ n_276,
n_340,
n_342,
n_345,
n_347,
n_349,
n_351,
n_353,
n_355,
n_356,
n_358,
n_360,
n_363,
n_365,
n_367,
op_b[0] }),
.y({ \ab_result[31] ,
\ab_result[30] ,
\ab_result[29] ,
\ab_result[28] ,
\ab_result[27] ,
\ab_result[26] ,
\ab_result[25] ,
\ab_result[24] ,
\ab_result[23] ,
\ab_result[22] ,
\ab_result[21] ,
\ab_result[20] ,
\ab_result[19] ,
\ab_result[18] ,
\ab_result[17] ,
\ab_result[16] ,
\ab_result[15] ,
\ab_result[14] ,
\ab_result[13] ,
\ab_result[12] ,
\ab_result[11] ,
\ab_result[10] ,
\ab_result[9] ,
\ab_result[8] ,
\ab_result[7] ,
\ab_result[6] ,
\ab_result[5] ,
\ab_result[4] ,
\ab_result[3] ,
\ab_result[2] ,
\ab_result[1] ,
result[0] }));
increment_unsigned_1373 inc_add_63_54 (.A({ n_255,
n_254,
n_238,
n_253,
n_245,
n_237,
n_230,
n_252,
n_248,
n_244,
n_241,
n_236,
n_233,
n_229,
n_226,
n_251,
n_249,
n_247,
n_246,
n_243,
n_242,
n_240,
n_239,
n_235,
n_234,
n_232,
n_231,
n_228,
n_227,
n_225,
n_224,
n_250 }),
.CI(1'b1),
.Z({ UNCONNECTED1,
n_188,
n_189,
n_190,
n_287,
n_288,
n_289,
n_290,
n_291,
n_292,
n_293,
n_294,
n_295,
n_296,
n_297,
n_298,
n_299,
n_300,
n_301,
n_302,
n_303,
n_304,
n_305,
n_306,
n_307,
n_308,
n_309,
n_310,
n_311,
n_312,
n_313,
n_314,
UNCONNECTED2 }));
AND2X2 g1511 (.Y(n_276),
.B(n_119),
.A(op_b[15]));
AND2X2 g1512 (.Y(n_191),
.B(n_117),
.A(op_a[15]));
AND2X1 g1516 (.Y(n_179),
.B(n_177),
.A(op_b[15]));
AND2X1 g1522 (.Y(n_178),
.B(n_175),
.A(op_a[15]));
OR2X2 g1530 (.Y(n_177),
.B(n_370),
.A(op_b[13]));
AND2X1 g1532 (.Y(n_176),
.B(n_370),
.A(op_b[15]));
OR2X2 g1536 (.Y(n_175),
.B(n_171),
.A(op_a[13]));
AND2X1 g1538 (.Y(n_174),
.B(n_171),
.A(op_a[15]));
AND2X1 g1542 (.Y(n_173),
.B(n_165),
.A(op_a[15]));
OR2X1 g1546 (.Y(n_171),
.B(n_165),
.A(op_a[12]));
AND2X1 g1555 (.Y(n_167),
.B(n_162),
.A(op_a[15]));
CLKINVX1 g1558 (.Y(n_166),
.A(n_111));
OR2X1 g1560 (.Y(n_165),
.B(n_162),
.A(op_a[11]));
AND2X1 g1566 (.Y(n_164),
.B(n_158),
.A(op_a[15]));
OR2X2 g1570 (.Y(n_163),
.B(n_159),
.A(op_b[10]));
OR2X1 g1571 (.Y(n_162),
.B(n_158),
.A(op_a[10]));
AND2X1 g1573 (.Y(n_161),
.B(n_159),
.A(op_b[15]));
AND2X1 g1581 (.Y(n_160),
.B(n_155),
.A(op_a[15]));
OR2X1 g1582 (.Y(n_159),
.B(n_153),
.A(op_b[9]));
OR2X1 g1583 (.Y(n_158),
.B(n_155),
.A(op_a[9]));
AND2X1 g1585 (.Y(n_157),
.B(n_153),
.A(op_b[15]));
AND2X1 g1592 (.Y(n_156),
.B(n_150),
.A(op_a[15]));
OR2X1 g1595 (.Y(n_155),
.B(n_150),
.A(op_a[8]));
AND2X1 g1597 (.Y(n_154),
.B(n_152),
.A(op_b[15]));
OR2X1 g1598 (.Y(n_153),
.B(n_152),
.A(op_b[8]));
OR2X1 g1603 (.Y(n_152),
.B(n_146),
.A(op_b[7]));
AND2X1 g1605 (.Y(n_151),
.B(n_145),
.A(op_a[15]));
OR2X1 g1609 (.Y(n_150),
.B(n_145),
.A(op_a[7]));
AND2X1 g1611 (.Y(n_149),
.B(n_146),
.A(op_b[15]));
AND2X1 g1615 (.Y(n_148),
.B(n_142),
.A(op_a[15]));
AND2X1 g1620 (.Y(n_147),
.B(n_144),
.A(op_b[15]));
OR2X1 g1621 (.Y(n_146),
.B(n_144),
.A(op_b[6]));
OR2X1 g1623 (.Y(n_145),
.B(n_142),
.A(op_a[6]));
OR2X1 g1630 (.Y(n_144),
.B(n_139),
.A(op_b[5]));
AND2X1 g1632 (.Y(n_143),
.B(n_137),
.A(op_a[15]));
OR2X1 g1633 (.Y(n_142),
.B(n_137),
.A(op_a[5]));
AND2X1 g1635 (.Y(n_141),
.B(n_139),
.A(op_b[15]));
AND2X1 g1639 (.Y(n_140),
.B(n_133),
.A(op_a[15]));
OR2X1 g1642 (.Y(n_139),
.B(n_134),
.A(op_b[4]));
AND2X1 g1645 (.Y(n_138),
.B(op_b[15]),
.A(n_134));
OR2X1 g1646 (.Y(n_137),
.B(n_133),
.A(op_a[4]));
AND2X1 g1653 (.Y(n_136),
.B(op_b[15]),
.A(n_131));
AND2X1 g1656 (.Y(n_135),
.B(n_129),
.A(op_a[15]));
OR2X1 g1657 (.Y(n_134),
.B(n_131),
.A(op_b[3]));
OR2X1 g1659 (.Y(n_133),
.B(n_129),
.A(op_a[3]));
AND2X1 g1663 (.Y(n_132),
.B(op_b[15]),
.A(n_127));
OR2X1 g1667 (.Y(n_131),
.B(op_b[2]),
.A(n_127));
OR2X1 g1669 (.Y(n_129),
.B(n_124),
.A(op_a[2]));
AND2X1 g1671 (.Y(n_128),
.B(n_124),
.A(op_a[15]));
OR2X1 g1672 (.Y(n_127),
.B(op_b[1]),
.A(op_b[0]));
AND2X1 g1676 (.Y(n_126),
.B(op_b[15]),
.A(op_b[0]));
OR2X1 g1678 (.Y(n_124),
.B(op_a[0]),
.A(op_a[1]));
CLKINVX1 g1694 (.Y(n_123),
.A(op_b[14]));
CLKINVX1 g1704 (.Y(n_122),
.A(op_a[14]));
NOR2BX4 g1711 (.Y(n_119),
.B(n_177),
.AN(n_123));
NOR2BX4 g1713 (.Y(n_117),
.B(n_175),
.AN(n_122));
NAND2X8 g1719 (.Y(n_111),
.B(n_163),
.A(op_b[15]));
NAND2X2 g1750 (.Y(n_80),
.B(op_a[0]),
.A(op_a[15]));
CLKINVX2 g784 (.Y(n_255),
.A(\ab_result[31] ));
CLKINVX2 g785 (.Y(n_250),
.A(result[0]));
CLKINVX2 g786 (.Y(n_224),
.A(\ab_result[1] ));
CLKINVX2 g787 (.Y(n_225),
.A(\ab_result[2] ));
CLKINVX2 g788 (.Y(n_227),
.A(\ab_result[3] ));
CLKINVX2 g789 (.Y(n_228),
.A(\ab_result[4] ));
CLKINVX2 g790 (.Y(n_231),
.A(\ab_result[5] ));
CLKINVX2 g791 (.Y(n_232),
.A(\ab_result[6] ));
CLKINVX2 g792 (.Y(n_234),
.A(\ab_result[7] ));
CLKINVX2 g793 (.Y(n_235),
.A(\ab_result[8] ));
CLKINVX2 g794 (.Y(n_239),
.A(\ab_result[9] ));
CLKINVX2 g795 (.Y(n_240),
.A(\ab_result[10] ));
CLKINVX2 g796 (.Y(n_242),
.A(\ab_result[11] ));
CLKINVX2 g797 (.Y(n_243),
.A(\ab_result[12] ));
CLKINVX2 g798 (.Y(n_246),
.A(\ab_result[13] ));
CLKINVX2 g799 (.Y(n_247),
.A(\ab_result[14] ));
CLKINVX2 g800 (.Y(n_249),
.A(\ab_result[15] ));
CLKINVX2 g801 (.Y(n_251),
.A(\ab_result[16] ));
CLKINVX2 g802 (.Y(n_226),
.A(\ab_result[17] ));
CLKINVX2 g803 (.Y(n_229),
.A(\ab_result[18] ));
CLKINVX2 g804 (.Y(n_233),
.A(\ab_result[19] ));
CLKINVX2 g805 (.Y(n_236),
.A(\ab_result[20] ));
CLKINVX2 g806 (.Y(n_241),
.A(\ab_result[21] ));
CLKINVX2 g807 (.Y(n_244),
.A(\ab_result[22] ));
CLKINVX2 g808 (.Y(n_248),
.A(\ab_result[23] ));
CLKINVX2 g809 (.Y(n_252),
.A(\ab_result[24] ));
CLKINVX2 g810 (.Y(n_230),
.A(\ab_result[25] ));
CLKINVX2 g811 (.Y(n_237),
.A(\ab_result[26] ));
CLKINVX2 g812 (.Y(n_245),
.A(\ab_result[27] ));
CLKINVX2 g813 (.Y(n_253),
.A(\ab_result[28] ));
CLKINVX2 g814 (.Y(n_238),
.A(\ab_result[29] ));
CLKINVX2 g815 (.Y(n_254),
.A(\ab_result[30] ));
CLKINVX8 g1369 (.Y(n_2),
.A(n_368));
CLKMX2X12 g1766 (.Y(n_340),
.S0(n_179),
.B(n_123),
.A(op_b[14]));
CLKMX2X12 g2 (.Y(n_341),
.S0(n_178),
.B(n_122),
.A(op_a[14]));
XOR2X4 g1767 (.Y(n_342),
.B(op_b[13]),
.A(n_176));
XOR2X4 g1768 (.Y(n_343),
.B(op_a[13]),
.A(n_174));
XOR2X4 g1769 (.Y(n_344),
.B(op_a[12]),
.A(n_173));
XOR2X4 g1770 (.Y(n_345),
.B(op_b[12]),
.A(n_369));
XOR2X4 g1771 (.Y(n_346),
.B(op_a[11]),
.A(n_167));
CLKMX2X12 g1772 (.Y(n_347),
.S0(op_b[11]),
.B(n_111),
.A(n_166));
XOR2X4 g1773 (.Y(n_348),
.B(op_a[10]),
.A(n_164));
XOR2X4 g1774 (.Y(n_349),
.B(op_b[10]),
.A(n_161));
XOR2X4 g1775 (.Y(n_350),
.B(op_a[9]),
.A(n_160));
XOR2X4 g1776 (.Y(n_351),
.B(op_b[9]),
.A(n_157));
XOR2X4 g1777 (.Y(n_352),
.B(op_a[8]),
.A(n_156));
XOR2X4 g1778 (.Y(n_353),
.B(op_b[8]),
.A(n_154));
XOR2X4 g1779 (.Y(n_354),
.B(op_a[7]),
.A(n_151));
XOR2X4 g1780 (.Y(n_355),
.B(op_b[7]),
.A(n_149));
XOR2X4 g1781 (.Y(n_356),
.B(op_b[6]),
.A(n_147));
XOR2X4 g1782 (.Y(n_357),
.B(n_148),
.A(op_a[6]));
XOR2X4 g1783 (.Y(n_358),
.B(n_141),
.A(op_b[5]));
XOR2X4 g1784 (.Y(n_359),
.B(op_a[5]),
.A(n_143));
XOR2X4 g1785 (.Y(n_360),
.B(op_b[4]),
.A(n_138));
XOR2X4 g1786 (.Y(n_361),
.B(n_140),
.A(op_a[4]));
XOR2X4 g1787 (.Y(n_362),
.B(op_a[3]),
.A(n_135));
XOR2X4 g1788 (.Y(n_363),
.B(op_b[3]),
.A(n_136));
XOR2X4 g1789 (.Y(n_364),
.B(n_128),
.A(op_a[2]));
XOR2X4 g1790 (.Y(n_365),
.B(op_b[2]),
.A(n_132));
XNOR2X4 g1791 (.Y(n_366),
.B(n_80),
.A(op_a[1]));
XOR2X4 g1792 (.Y(n_367),
.B(op_b[1]),
.A(n_126));
XNOR2X4 g1793 (.Y(n_368),
.B(op_b[15]),
.A(op_a[15]));
AO21X4 g1794 (.Y(n_369),
.B0(n_166),
.A1(op_b[15]),
.A0(op_b[11]));
OR3X6 g1795 (.Y(n_370),
.C(n_163),
.B(op_b[12]),
.A(op_b[11]));
AO22X4 g1796 (.Y(result[31]),
.B1(n_188),
.B0(n_2),
.A1(\ab_result[31] ),
.A0(n_368));
AO22X4 g1797 (.Y(result[30]),
.B1(n_189),
.B0(n_2),
.A1(\ab_result[30] ),
.A0(n_368));
AO22X4 g1798 (.Y(result[29]),
.B1(n_190),
.B0(n_2),
.A1(\ab_result[29] ),
.A0(n_368));
AO22X4 g1799 (.Y(result[19]),
.B1(n_296),
.B0(n_2),
.A1(\ab_result[19] ),
.A0(n_368));
AO22X4 g1800 (.Y(result[6]),
.B1(n_309),
.B0(n_2),
.A1(\ab_result[6] ),
.A0(n_368));
AO22X4 g1801 (.Y(result[18]),
.B1(n_297),
.B0(n_2),
.A1(\ab_result[18] ),
.A0(n_368));
AO22X4 g1802 (.Y(result[5]),
.B1(n_310),
.B0(n_2),
.A1(\ab_result[5] ),
.A0(n_368));
AO22X4 g1803 (.Y(result[4]),
.B1(n_311),
.B0(n_2),
.A1(\ab_result[4] ),
.A0(n_368));
AO22X4 g1804 (.Y(result[25]),
.B1(n_290),
.B0(n_2),
.A1(\ab_result[25] ),
.A0(n_368));
AO22X4 g1805 (.Y(result[3]),
.B1(n_312),
.B0(n_2),
.A1(\ab_result[3] ),
.A0(n_368));
AO22X4 g1806 (.Y(result[2]),
.B1(n_313),
.B0(n_2),
.A1(\ab_result[2] ),
.A0(n_368));
AO22X4 g1807 (.Y(result[17]),
.B1(n_298),
.B0(n_2),
.A1(\ab_result[17] ),
.A0(n_368));
AO22X4 g1808 (.Y(result[1]),
.B1(n_314),
.B0(n_2),
.A1(\ab_result[1] ),
.A0(n_368));
AO22X4 g1809 (.Y(result[28]),
.B1(n_287),
.B0(n_2),
.A1(\ab_result[28] ),
.A0(n_368));
AO22X4 g1810 (.Y(result[24]),
.B1(n_291),
.B0(n_2),
.A1(\ab_result[24] ),
.A0(n_368));
AO22X4 g1811 (.Y(result[16]),
.B1(n_299),
.B0(n_2),
.A1(\ab_result[16] ),
.A0(n_368));
AO22X4 g1812 (.Y(result[15]),
.B1(n_300),
.B0(n_2),
.A1(\ab_result[15] ),
.A0(n_368));
AO22X4 g1813 (.Y(result[23]),
.B1(n_292),
.B0(n_2),
.A1(\ab_result[23] ),
.A0(n_368));
AO22X4 g1814 (.Y(result[14]),
.B1(n_301),
.B0(n_2),
.A1(\ab_result[14] ),
.A0(n_368));
AO22X4 g1815 (.Y(result[27]),
.B1(n_288),
.B0(n_2),
.A1(\ab_result[27] ),
.A0(n_368));
AO22X4 g1816 (.Y(result[22]),
.B1(n_293),
.B0(n_2),
.A1(\ab_result[22] ),
.A0(n_368));
AO22X4 g1817 (.Y(result[13]),
.B1(n_302),
.B0(n_2),
.A1(\ab_result[13] ),
.A0(n_368));
AO22X4 g1818 (.Y(result[12]),
.B1(n_303),
.B0(n_2),
.A1(\ab_result[12] ),
.A0(n_368));
AO22X4 g1819 (.Y(result[11]),
.B1(n_304),
.B0(n_2),
.A1(\ab_result[11] ),
.A0(n_368));
AO22X4 g1820 (.Y(result[10]),
.B1(n_305),
.B0(n_2),
.A1(\ab_result[10] ),
.A0(n_368));
AO22X4 g1821 (.Y(result[26]),
.B1(n_289),
.B0(n_2),
.A1(\ab_result[26] ),
.A0(n_368));
AO22X4 g1822 (.Y(result[21]),
.B1(n_294),
.B0(n_2),
.A1(\ab_result[21] ),
.A0(n_368));
AO22X4 g1823 (.Y(result[9]),
.B1(n_306),
.B0(n_2),
.A1(\ab_result[9] ),
.A0(n_368));
AO22X4 g1824 (.Y(result[20]),
.B1(n_295),
.B0(n_2),
.A1(\ab_result[20] ),
.A0(n_368));
AO22X4 g1825 (.Y(result[8]),
.B1(n_307),
.B0(n_2),
.A1(\ab_result[8] ),
.A0(n_368));
AO22X4 g1826 (.Y(result[7]),
.B1(n_308),
.B0(n_2),
.A1(\ab_result[7] ),
.A0(n_368));
endmodule
module CKLNQD1BWP_SPCA_17 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3300 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_17 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module SDFCNQD1BWP_SPCA_292 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_210 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_292 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_293 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_211 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_293 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_294 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_212 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_294 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_295 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_213 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_295 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_296 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_214 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_296 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_297 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_215 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_297 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_298 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_216 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_298 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_299 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_217 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_299 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_300 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_218 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_300 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_301 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_219 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_301 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_302 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_220 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_302 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_303 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_221 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_303 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_304 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_222 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_304 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_305 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_223 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_305 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_306 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_224 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_306 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_307 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_225 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_307 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_308 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_226 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_308 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_309 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_227 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_309 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_310 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_228 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_310 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_311 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_229 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_311 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module port_bus_mach (
clk,
reset,
read,
write,
write_h,
address,
data_in,
data_out,
pad_data_in,
pad_data_out,
addrs_in,
read_cycle,
sync,
go,
as,
done,
RC_CG_TEST_PORT,
SRPG_PG_in,
SRPG_PG_in_1,
DFT_sdi,
DFT_sen,
DFT_sdo);
input clk;
input reset;
output read;
output write;
output write_h;
output [2:0] address;
input [15:0] data_in;
output [15:0] data_out;
input [15:0] pad_data_in;
output [15:0] pad_data_out;
input [2:0] addrs_in;
input read_cycle;
input sync;
input go;
output as;
output done;
input RC_CG_TEST_PORT;
input SRPG_PG_in;
input SRPG_PG_in_1;
input DFT_sdi;
input DFT_sen;
output DFT_sdo;
// Internal wires
wire n_0;
wire n_1;
wire n_2;
wire n_3;
wire n_8;
wire n_10;
wire n_12;
wire n_16;
wire n_88;
wire n_89;
wire n_90;
wire n_91;
wire n_92;
wire n_93;
wire \present_state[0] ;
wire \present_state[1] ;
wire rc_gclk;
RC_CG_MOD_3291_3300 RC_CG_HIER_INST27 (.enable(n_91),
.ck_in(clk),
.ck_out(rc_gclk),
.test(RC_CG_TEST_PORT));
RSDFCSRHD2BWP_REMAP_SPC_210 as_reg (.CDN(n_16),
.SDN(1'b1),
.CP(clk),
.D(n_88),
.SI(DFT_sdi),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(as));
RSDFCSRHD2BWP_REMAP_SPC_211 \data_out_reg[0] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[0]),
.SI(as),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[0]));
RSDFCSRHD2BWP_REMAP_SPC_212 \data_out_reg[10] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[10]),
.SI(data_out[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[10]));
RSDFCSRHD2BWP_REMAP_SPC_213 \data_out_reg[11] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[11]),
.SI(data_out[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[11]));
RSDFCSRHD2BWP_REMAP_SPC_214 \data_out_reg[12] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[12]),
.SI(data_out[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[12]));
RSDFCSRHD2BWP_REMAP_SPC_215 \data_out_reg[13] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[13]),
.SI(data_out[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[13]));
RSDFCSRHD2BWP_REMAP_SPC_216 \data_out_reg[14] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[14]),
.SI(data_out[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[14]));
RSDFCSRHD2BWP_REMAP_SPC_217 \data_out_reg[15] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[15]),
.SI(data_out[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[15]));
RSDFCSRHD2BWP_REMAP_SPC_218 \data_out_reg[1] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[1]),
.SI(data_out[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[1]));
RSDFCSRHD2BWP_REMAP_SPC_219 \data_out_reg[2] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[2]),
.SI(data_out[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[2]));
RSDFCSRHD2BWP_REMAP_SPC_220 \data_out_reg[3] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[3]),
.SI(data_out[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[3]));
RSDFCSRHD2BWP_REMAP_SPC_221 \data_out_reg[4] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[4]),
.SI(data_out[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[4]));
RSDFCSRHD2BWP_REMAP_SPC_222 \data_out_reg[5] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[5]),
.SI(data_out[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[5]));
RSDFCSRHD2BWP_REMAP_SPC_223 \data_out_reg[6] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[6]),
.SI(data_out[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[6]));
RSDFCSRHD2BWP_REMAP_SPC_224 \data_out_reg[7] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[7]),
.SI(data_out[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[7]));
RSDFCSRHD2BWP_REMAP_SPC_225 \data_out_reg[8] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[8]),
.SI(data_out[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[8]));
RSDFCSRHD2BWP_REMAP_SPC_226 \data_out_reg[9] (.CDN(n_16),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[9]),
.SI(data_out[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[9]));
RSDFCSRHD2BWP_REMAP_SPC_227 \present_state_reg[0] (.CDN(n_16),
.SDN(1'b1),
.CP(clk),
.D(n_89),
.SI(data_out[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\present_state[0] ));
RSDFCSRHD2BWP_REMAP_SPC_228 \present_state_reg[1] (.CDN(n_16),
.SDN(1'b1),
.CP(clk),
.D(n_93),
.SI(\present_state[0] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\present_state[1] ));
RSDFCSRHD2BWP_REMAP_SPC_229 \present_state_reg[2] (.CDN(n_16),
.SDN(1'b1),
.CP(clk),
.D(n_90),
.SI(\present_state[1] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(DFT_sdo));
INVXL g73 (.Y(n_16),
.A(reset));
AND2X2 g191 (.Y(n_12),
.B(n_92),
.A(go));
CLKINVX1 g194 (.Y(n_10),
.A(n_92));
OR2X1 g197 (.Y(n_8),
.B(n_1),
.A(n_2));
CLKINVX1 g202 (.Y(n_3),
.A(DFT_sdo));
CLKINVX2 g203 (.Y(n_2),
.A(\present_state[0] ));
CLKINVX1 g205 (.Y(n_1),
.A(\present_state[1] ));
NOR2BX1 g2 (.Y(n_0),
.B(read_cycle),
.AN(n_12));
AO21X4 g206 (.Y(n_88),
.B0(n_12),
.A1(n_10),
.A0(n_8));
AO22X4 g207 (.Y(n_89),
.B1(n_12),
.B0(read_cycle),
.A1(n_10),
.A0(n_2));
AO21X4 g208 (.Y(n_90),
.B0(n_0),
.A1(n_8),
.A0(DFT_sdo));
AND3X6 g209 (.Y(n_91),
.C(n_3),
.B(\present_state[1] ),
.A(n_2));
AND3X6 g210 (.Y(n_92),
.C(n_1),
.B(n_3),
.A(n_2));
AO22X4 g211 (.Y(n_93),
.B1(n_2),
.B0(\present_state[1] ),
.A1(n_1),
.A0(\present_state[0] ));
endmodule
module CKLNQD1BWP_SPCA_18 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3301 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_18 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module SDFCNQD1BWP_SPCA_312 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_230 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_312 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_313 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_231 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_313 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_314 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_232 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_314 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_315 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_233 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_315 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_316 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_234 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_316 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_317 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_235 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_317 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_318 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_236 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_318 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_319 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_237 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_319 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_320 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_238 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_320 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_321 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_239 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_321 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_322 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_240 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_322 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_323 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_241 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_323 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_324 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_242 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_324 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_325 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_243 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_325 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_326 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_244 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_326 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_327 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_245 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_327 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_328 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_246 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_328 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_329 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_247 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_329 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_330 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_248 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_330 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module prog_bus_mach (
clk,
reset,
read,
write,
write_h,
address,
data_in,
data_out,
pad_data_in,
pad_data_out,
addrs_in,
read_cycle,
sync,
go,
as,
done,
RC_CG_TEST_PORT,
SRPG_PG_in,
SRPG_PG_in_1,
DFT_sdi,
DFT_sen,
DFT_sdo);
input clk;
input reset;
output read;
output write;
output write_h;
output [8:0] address;
input [15:0] data_in;
output [15:0] data_out;
input [15:0] pad_data_in;
output [15:0] pad_data_out;
input [8:0] addrs_in;
input read_cycle;
input sync;
input go;
output as;
output done;
input RC_CG_TEST_PORT;
input SRPG_PG_in;
input SRPG_PG_in_1;
input DFT_sdi;
input DFT_sen;
output DFT_sdo;
// Internal wires
wire n_0;
wire n_2;
wire n_3;
wire n_4;
wire n_5;
wire n_11;
wire n_15;
wire n_92;
wire n_93;
wire n_94;
wire n_95;
wire n_96;
wire n_97;
wire \present_state[0] ;
wire \present_state[1] ;
wire rc_gclk;
RC_CG_MOD_3291_3301 RC_CG_HIER_INST28 (.enable(n_96),
.ck_in(clk),
.ck_out(rc_gclk),
.test(RC_CG_TEST_PORT));
RSDFCSRHD2BWP_REMAP_SPC_230 \data_out_reg[0] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[0]),
.SI(DFT_sdi),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[0]));
RSDFCSRHD2BWP_REMAP_SPC_231 \data_out_reg[10] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[10]),
.SI(data_out[9]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[10]));
RSDFCSRHD2BWP_REMAP_SPC_232 \data_out_reg[11] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[11]),
.SI(data_out[10]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[11]));
RSDFCSRHD2BWP_REMAP_SPC_233 \data_out_reg[12] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[12]),
.SI(data_out[11]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[12]));
RSDFCSRHD2BWP_REMAP_SPC_234 \data_out_reg[13] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[13]),
.SI(data_out[12]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[13]));
RSDFCSRHD2BWP_REMAP_SPC_235 \data_out_reg[14] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[14]),
.SI(data_out[13]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[14]));
RSDFCSRHD2BWP_REMAP_SPC_236 \data_out_reg[15] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[15]),
.SI(data_out[14]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[15]));
RSDFCSRHD2BWP_REMAP_SPC_237 \data_out_reg[1] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[1]),
.SI(data_out[0]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[1]));
RSDFCSRHD2BWP_REMAP_SPC_238 \data_out_reg[2] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[2]),
.SI(data_out[1]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[2]));
RSDFCSRHD2BWP_REMAP_SPC_239 \data_out_reg[3] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[3]),
.SI(data_out[2]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[3]));
RSDFCSRHD2BWP_REMAP_SPC_240 \data_out_reg[4] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[4]),
.SI(data_out[3]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[4]));
RSDFCSRHD2BWP_REMAP_SPC_241 \data_out_reg[5] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[5]),
.SI(data_out[4]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[5]));
RSDFCSRHD2BWP_REMAP_SPC_242 \data_out_reg[6] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[6]),
.SI(data_out[5]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[6]));
RSDFCSRHD2BWP_REMAP_SPC_243 \data_out_reg[7] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[7]),
.SI(data_out[6]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[7]));
RSDFCSRHD2BWP_REMAP_SPC_244 \data_out_reg[8] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[8]),
.SI(data_out[7]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[8]));
RSDFCSRHD2BWP_REMAP_SPC_245 \data_out_reg[9] (.CDN(n_15),
.SDN(1'b1),
.CP(rc_gclk),
.D(pad_data_in[9]),
.SI(data_out[8]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(data_out[9]));
RSDFCSRHD2BWP_REMAP_SPC_246 \present_state_reg[0] (.CDN(n_15),
.SDN(1'b1),
.CP(clk),
.D(n_93),
.SI(data_out[15]),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\present_state[0] ));
RSDFCSRHD2BWP_REMAP_SPC_247 \present_state_reg[1] (.CDN(n_15),
.SDN(1'b1),
.CP(clk),
.D(n_97),
.SI(\present_state[0] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\present_state[1] ));
RSDFCSRHD2BWP_REMAP_SPC_248 \present_state_reg[2] (.CDN(n_15),
.SDN(1'b1),
.CP(clk),
.D(n_94),
.SI(\present_state[1] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(DFT_sdo));
INVXL g92 (.Y(n_15),
.A(reset));
AND2X1 g184 (.Y(n_11),
.B(n_0),
.A(n_95));
OR2X1 g193 (.Y(n_5),
.B(n_4),
.A(n_3));
CLKINVX2 g195 (.Y(n_4),
.A(\present_state[0] ));
CLKINVX1 g196 (.Y(n_3),
.A(\present_state[1] ));
CLKINVX1 g197 (.Y(n_2),
.A(DFT_sdo));
NOR2BX1 g199 (.Y(n_0),
.B(read_cycle),
.AN(go));
CLKMX2X12 g200 (.Y(n_93),
.S0(n_95),
.B(n_92),
.A(n_4));
CLKAND2X12 g3 (.Y(n_92),
.B(read_cycle),
.A(go));
AO21X4 g2 (.Y(n_94),
.B0(n_11),
.A1(n_5),
.A0(DFT_sdo));
AND3X6 g201 (.Y(n_95),
.C(n_3),
.B(n_2),
.A(n_4));
AND3X6 g202 (.Y(n_96),
.C(n_2),
.B(\present_state[1] ),
.A(n_4));
AO22X4 g203 (.Y(n_97),
.B1(n_3),
.B0(\present_state[0] ),
.A1(n_4),
.A0(\present_state[1] ));
endmodule
module shift_left_unsigned_884 (
A,
SH,
Z);
input [31:0] A;
input [3:0] SH;
output [31:0] Z;
// Internal wires
wire n_0;
wire n_1;
wire n_2;
wire n_3;
wire n_13;
wire n_14;
wire n_16;
wire n_21;
wire n_27;
wire n_28;
wire n_32;
wire n_34;
wire n_58;
wire n_60;
wire n_63;
wire n_131;
wire n_272;
wire n_273;
wire n_274;
wire n_275;
wire n_276;
wire n_277;
wire n_278;
wire n_279;
wire n_280;
wire n_281;
wire n_282;
wire n_283;
wire n_284;
wire n_285;
wire n_286;
wire n_287;
wire n_288;
wire n_291;
wire n_292;
wire n_293;
wire n_294;
wire n_295;
wire n_296;
wire n_297;
wire n_298;
wire n_299;
wire n_300;
wire n_301;
wire n_302;
wire n_303;
wire n_304;
wire n_305;
wire n_306;
wire n_307;
wire n_308;
wire n_309;
wire n_310;
wire n_311;
wire n_312;
wire n_313;
wire n_314;
wire n_315;
wire n_316;
wire n_317;
wire n_318;
wire n_319;
wire n_320;
wire n_321;
wire n_322;
wire n_323;
wire n_324;
wire n_325;
wire n_326;
AND2X1 g2720 (.Y(Z[4]),
.B(n_282),
.A(n_0));
AND2X1 g2737 (.Y(Z[6]),
.B(n_281),
.A(n_0));
AND2X1 g2738 (.Y(Z[5]),
.B(n_283),
.A(n_0));
AND2X1 g2748 (.Y(Z[7]),
.B(n_294),
.A(n_0));
AND2X1 g2749 (.Y(Z[2]),
.B(n_131),
.A(n_0));
AND2X1 g2772 (.Y(Z[3]),
.B(n_310),
.A(n_0));
AND2X1 g2787 (.Y(n_131),
.B(n_308),
.A(n_2));
AND2X1 g2795 (.Y(Z[1]),
.B(n_63),
.A(n_0));
AND2X1 g2820 (.Y(Z[0]),
.B(n_58),
.A(n_0));
AND2X1 g2855 (.Y(n_63),
.B(n_324),
.A(n_28));
OR2X2 g2858 (.Y(n_60),
.B(n_27),
.A(SH[2]));
AND2X1 g2860 (.Y(n_58),
.B(n_32),
.A(n_28));
AND2X2 g2884 (.Y(n_34),
.B(n_0),
.A(A[15]));
AND2X2 g2886 (.Y(n_32),
.B(n_3),
.A(A[0]));
AND2X2 g2890 (.Y(n_28),
.B(n_2),
.A(n_1));
AND2X2 g2891 (.Y(n_27),
.B(n_2),
.A(A[15]));
AND2X2 g2897 (.Y(n_21),
.B(n_1),
.A(SH[2]));
AND2X2 g2902 (.Y(n_16),
.B(SH[1]),
.A(SH[2]));
OR2X1 g2904 (.Y(n_14),
.B(SH[1]),
.A(A[15]));
AND2X2 g2905 (.Y(n_13),
.B(n_2),
.A(SH[1]));
CLKINVX3 g2915 (.Y(n_3),
.A(SH[0]));
CLKINVX2 g2916 (.Y(n_2),
.A(SH[2]));
CLKINVX2 g2917 (.Y(n_1),
.A(SH[1]));
CLKINVX4 g2918 (.Y(n_0),
.A(SH[3]));
AO21X4 g2 (.Y(Z[29]),
.B0(n_34),
.A1(n_273),
.A0(SH[3]));
AO21X4 g2919 (.Y(Z[28]),
.B0(n_34),
.A1(n_275),
.A0(SH[3]));
AO21X4 g2920 (.Y(Z[27]),
.B0(n_34),
.A1(n_272),
.A0(SH[3]));
AO21X4 g2921 (.Y(Z[24]),
.B0(n_34),
.A1(n_276),
.A0(SH[3]));
AO21X4 g2922 (.Y(Z[26]),
.B0(n_34),
.A1(n_274),
.A0(SH[3]));
AO21X4 g2923 (.Y(Z[22]),
.B0(n_34),
.A1(n_280),
.A0(SH[3]));
AO21X4 g2924 (.Y(Z[25]),
.B0(n_34),
.A1(n_285),
.A0(SH[3]));
AO22X4 g2925 (.Y(Z[19]),
.B1(n_272),
.B0(n_0),
.A1(n_288),
.A0(SH[3]));
AO22X4 g2926 (.Y(Z[18]),
.B1(n_274),
.B0(n_0),
.A1(n_277),
.A0(SH[3]));
AO22X4 g2927 (.Y(Z[17]),
.B1(n_285),
.B0(n_0),
.A1(n_278),
.A0(SH[3]));
AO22X4 g2928 (.Y(Z[16]),
.B1(n_276),
.B0(n_0),
.A1(n_279),
.A0(SH[3]));
AO22X4 g2929 (.Y(Z[21]),
.B1(n_273),
.B0(n_0),
.A1(n_284),
.A0(SH[3]));
AO22X4 g2930 (.Y(Z[14]),
.B1(n_280),
.B0(n_0),
.A1(n_281),
.A0(SH[3]));
AO22X4 g2931 (.Y(Z[13]),
.B1(n_284),
.B0(n_0),
.A1(n_283),
.A0(SH[3]));
AO22X4 g2932 (.Y(Z[12]),
.B1(n_286),
.B0(n_0),
.A1(n_282),
.A0(SH[3]));
AO22X4 g2933 (.Y(Z[20]),
.B1(n_286),
.B0(SH[3]),
.A1(n_275),
.A0(n_0));
AO22X4 g2934 (.Y(Z[10]),
.B1(n_277),
.B0(n_0),
.A1(n_131),
.A0(SH[3]));
AO22X4 g2935 (.Y(Z[9]),
.B1(n_278),
.B0(n_0),
.A1(n_63),
.A0(SH[3]));
AO22X4 g2936 (.Y(Z[8]),
.B1(n_279),
.B0(n_0),
.A1(n_58),
.A0(SH[3]));
AO21X4 g2937 (.Y(Z[23]),
.B0(n_34),
.A1(n_292),
.A0(SH[3]));
AO22X4 g2938 (.Y(Z[15]),
.B1(n_292),
.B0(n_0),
.A1(n_294),
.A0(SH[3]));
AO22X4 g2939 (.Y(Z[11]),
.B1(n_288),
.B0(n_0),
.A1(n_310),
.A0(SH[3]));
OA21X4 g2940 (.Y(n_272),
.B0(n_60),
.A1(n_301),
.A0(n_27));
OA21X4 g2941 (.Y(n_273),
.B0(n_60),
.A1(n_295),
.A0(n_27));
OA21X4 g2942 (.Y(n_274),
.B0(n_60),
.A1(n_306),
.A0(n_27));
OA21X4 g2943 (.Y(n_275),
.B0(n_60),
.A1(n_296),
.A0(n_27));
OA22X4 g2944 (.Y(n_276),
.B1(n_299),
.B0(n_2),
.A1(n_296),
.A0(SH[2]));
AO22X4 g2945 (.Y(n_277),
.B1(n_307),
.B0(n_2),
.A1(n_300),
.A0(SH[2]));
OA22X4 g2946 (.Y(n_278),
.B1(n_303),
.B0(n_2),
.A1(n_309),
.A0(SH[2]));
OA22X4 g2947 (.Y(n_279),
.B1(n_305),
.B0(n_2),
.A1(n_311),
.A0(SH[2]));
OA22X4 g2948 (.Y(n_280),
.B1(n_306),
.B0(SH[2]),
.A1(n_307),
.A0(n_2));
OA22X4 g2949 (.Y(n_281),
.B1(n_300),
.B0(SH[2]),
.A1(n_308),
.A0(n_2));
AO22X4 g2950 (.Y(n_282),
.B1(n_305),
.B0(n_2),
.A1(n_21),
.A0(n_32));
AO22X4 g2951 (.Y(n_283),
.B1(n_303),
.B0(n_2),
.A1(n_324),
.A0(n_21));
OA22X4 g2952 (.Y(n_284),
.B1(n_309),
.B0(n_2),
.A1(n_297),
.A0(SH[2]));
OA22X4 g2953 (.Y(n_285),
.B1(n_297),
.B0(n_2),
.A1(n_295),
.A0(SH[2]));
OA22X4 g2954 (.Y(n_286),
.B1(n_299),
.B0(SH[2]),
.A1(n_311),
.A0(n_2));
INVXL g3 (.Y(n_288),
.A(n_287));
AOI221X4 g2955 (.Y(n_287),
.C0(n_298),
.B1(n_320),
.B0(n_13),
.A1(n_313),
.A0(n_28));
INVXL g2956 (.Y(n_292),
.A(n_291));
AOI221X4 g2957 (.Y(n_291),
.C0(n_302),
.B1(n_313),
.B0(n_21),
.A1(n_314),
.A0(n_28));
INVXL g2958 (.Y(n_294),
.A(n_293));
AOI221X4 g2959 (.Y(n_293),
.C0(n_304),
.B1(n_322),
.B0(n_21),
.A1(n_316),
.A0(n_28));
OA21X4 g2960 (.Y(n_295),
.B0(n_14),
.A1(n_314),
.A0(n_1));
OA21X4 g2961 (.Y(n_296),
.B0(n_14),
.A1(n_326),
.A0(n_1));
AO22X4 g2962 (.Y(n_297),
.B1(n_313),
.B0(SH[1]),
.A1(n_317),
.A0(n_1));
AO22X4 g2963 (.Y(n_298),
.B1(n_315),
.B0(n_16),
.A1(n_316),
.A0(n_21));
OA22X4 g2964 (.Y(n_299),
.B1(n_319),
.B0(n_1),
.A1(n_325),
.A0(SH[1]));
AO22X4 g2965 (.Y(n_300),
.B1(n_312),
.B0(n_1),
.A1(n_318),
.A0(SH[1]));
OA22X4 g2966 (.Y(n_301),
.B1(n_317),
.B0(n_1),
.A1(n_314),
.A0(SH[1]));
AO22X4 g2967 (.Y(n_302),
.B1(n_320),
.B0(n_16),
.A1(n_317),
.A0(n_13));
AO22X4 g2968 (.Y(n_303),
.B1(n_322),
.B0(SH[1]),
.A1(n_315),
.A0(n_1));
AO22X4 g2969 (.Y(n_304),
.B1(n_324),
.B0(n_16),
.A1(n_315),
.A0(n_13));
AO22X4 g2970 (.Y(n_305),
.B1(n_321),
.B0(SH[1]),
.A1(n_318),
.A0(n_1));
OA22X4 g2971 (.Y(n_306),
.B1(n_325),
.B0(n_1),
.A1(n_326),
.A0(SH[1]));
AO22X4 g2972 (.Y(n_307),
.B1(n_323),
.B0(SH[1]),
.A1(n_319),
.A0(n_1));
AO22X4 g2973 (.Y(n_308),
.B1(n_321),
.B0(n_1),
.A1(n_32),
.A0(SH[1]));
AO22X4 g2974 (.Y(n_309),
.B1(n_316),
.B0(SH[1]),
.A1(n_320),
.A0(n_1));
AO22X4 g2975 (.Y(n_310),
.B1(n_324),
.B0(n_13),
.A1(n_322),
.A0(n_28));
AO22X4 g2976 (.Y(n_311),
.B1(n_312),
.B0(SH[1]),
.A1(n_323),
.A0(n_1));
OA22X1 g2977 (.Y(n_312),
.B1(SH[0]),
.B0(A[6]),
.A1(n_3),
.A0(A[5]));
AO22X2 g2978 (.Y(n_313),
.B1(n_3),
.B0(A[11]),
.A1(SH[0]),
.A0(A[10]));
AO22X2 g2979 (.Y(n_314),
.B1(n_3),
.B0(A[15]),
.A1(SH[0]),
.A0(A[14]));
AO22X2 g2980 (.Y(n_315),
.B1(n_3),
.B0(A[5]),
.A1(SH[0]),
.A0(A[4]));
AO22X2 g2981 (.Y(n_316),
.B1(n_3),
.B0(A[7]),
.A1(SH[0]),
.A0(A[6]));
AO22X2 g2982 (.Y(n_317),
.B1(n_3),
.B0(A[13]),
.A1(SH[0]),
.A0(A[12]));
AO22X1 g2983 (.Y(n_318),
.B1(n_3),
.B0(A[4]),
.A1(SH[0]),
.A0(A[3]));
OA22X1 g2984 (.Y(n_319),
.B1(SH[0]),
.B0(A[10]),
.A1(n_3),
.A0(A[9]));
AO22X2 g2985 (.Y(n_320),
.B1(n_3),
.B0(A[9]),
.A1(SH[0]),
.A0(A[8]));
AO22X1 g2986 (.Y(n_321),
.B1(n_3),
.B0(A[2]),
.A1(SH[0]),
.A0(A[1]));
AO22X2 g2987 (.Y(n_322),
.B1(n_3),
.B0(A[3]),
.A1(SH[0]),
.A0(A[2]));
OA22X1 g2988 (.Y(n_323),
.B1(SH[0]),
.B0(A[8]),
.A1(n_3),
.A0(A[7]));
AO22X2 g2989 (.Y(n_324),
.B1(n_3),
.B0(A[1]),
.A1(SH[0]),
.A0(A[0]));
OA22X1 g2990 (.Y(n_325),
.B1(SH[0]),
.B0(A[12]),
.A1(n_3),
.A0(A[11]));
OA22X1 g2991 (.Y(n_326),
.B1(SH[0]),
.B0(A[14]),
.A1(n_3),
.A0(A[13]));
endmodule
module shift_left_unsigned (
A,
SH,
Z);
input [31:0] A;
input [2:0] SH;
output [31:0] Z;
// Internal wires
wire n_0;
wire n_1;
wire n_2;
wire n_4;
wire n_18;
wire n_21;
wire n_44;
wire n_79;
wire n_83;
wire n_84;
wire n_88;
wire n_156;
wire n_157;
wire n_171;
wire n_172;
wire n_216;
wire n_218;
wire n_231;
wire n_233;
wire n_240;
wire n_241;
wire n_242;
wire n_243;
wire n_244;
wire n_245;
wire n_246;
wire n_247;
wire n_248;
wire n_249;
wire n_250;
wire n_251;
wire n_252;
wire n_253;
wire n_254;
wire n_255;
wire n_256;
wire n_257;
wire n_258;
wire n_259;
wire n_260;
wire n_261;
wire n_262;
wire n_263;
wire n_264;
wire n_265;
wire n_266;
wire n_267;
wire n_268;
wire n_269;
wire n_270;
wire n_271;
wire n_272;
wire n_273;
wire n_274;
wire n_275;
wire n_276;
wire n_277;
AND2X1 g1717 (.Y(n_172),
.B(n_242),
.A(SH[2]));
AND2X1 g1718 (.Y(n_171),
.B(n_244),
.A(SH[2]));
AND2X1 g1732 (.Y(n_157),
.B(n_243),
.A(n_0));
AND2X1 g1733 (.Y(n_156),
.B(n_245),
.A(n_0));
AND2X1 g1785 (.Y(n_88),
.B(n_260),
.A(n_44));
AND2X1 g1789 (.Y(n_84),
.B(n_266),
.A(n_4));
AND2X1 g1790 (.Y(n_83),
.B(n_254),
.A(n_44));
AND2X1 g1794 (.Y(n_79),
.B(n_271),
.A(n_4));
AND2X2 g1829 (.Y(n_44),
.B(n_2),
.A(SH[2]));
AND2X2 g1852 (.Y(n_21),
.B(n_2),
.A(n_0));
AND2X2 g1855 (.Y(n_18),
.B(SH[2]),
.A(SH[1]));
AND2X2 g1869 (.Y(n_4),
.B(n_0),
.A(SH[1]));
CLKINVX2 g1871 (.Y(n_2),
.A(SH[1]));
CLKINVX3 g1872 (.Y(n_1),
.A(SH[0]));
CLKINVX2 g1873 (.Y(n_0),
.A(SH[2]));
INVXL g3 (.Y(Z[31]),
.A(n_216));
AOI211X4 g2 (.Y(n_216),
.C0(n_172),
.B0(n_79),
.A1(n_259),
.A0(n_21));
INVXL g1874 (.Y(Z[30]),
.A(n_218));
AOI211X4 g1875 (.Y(n_218),
.C0(n_171),
.B0(n_84),
.A1(n_268),
.A0(n_21));
INVXL g1876 (.Y(Z[28]),
.A(n_274));
AO22X4 g1878 (.Y(Z[22]),
.B1(n_240),
.B0(SH[2]),
.A1(n_247),
.A0(n_0));
AO22X4 g1879 (.Y(Z[21]),
.B1(n_243),
.B0(SH[2]),
.A1(n_248),
.A0(n_0));
AO22X4 g1880 (.Y(Z[27]),
.B1(n_246),
.B0(SH[2]),
.A1(n_242),
.A0(n_0));
AO22X4 g1881 (.Y(Z[20]),
.B1(n_245),
.B0(SH[2]),
.A1(n_249),
.A0(n_0));
INVXL g1882 (.Y(Z[19]),
.A(n_275));
AO22X4 g1884 (.Y(Z[26]),
.B1(n_247),
.B0(SH[2]),
.A1(n_244),
.A0(n_0));
INVXL g1885 (.Y(Z[18]),
.A(n_276));
INVXL g1887 (.Y(Z[17]),
.A(n_231));
AOI211X4 g1888 (.Y(n_231),
.C0(n_157),
.B0(n_88),
.A1(n_264),
.A0(n_18));
INVXL g1889 (.Y(Z[16]),
.A(n_233));
AOI211X4 g1890 (.Y(n_233),
.C0(n_156),
.B0(n_83),
.A1(n_265),
.A0(n_18));
INVXL g1891 (.Y(Z[29]),
.A(n_277));
AO22X4 g1893 (.Y(Z[25]),
.B1(n_248),
.B0(SH[2]),
.A1(n_250),
.A0(n_0));
AO22X4 g1894 (.Y(Z[24]),
.B1(n_249),
.B0(SH[2]),
.A1(n_241),
.A0(n_0));
AO22X4 g1895 (.Y(Z[23]),
.B1(n_251),
.B0(SH[2]),
.A1(n_246),
.A0(n_0));
AO22X4 g1896 (.Y(n_240),
.B1(n_253),
.B0(SH[1]),
.A1(n_270),
.A0(n_2));
AO22X4 g1897 (.Y(n_241),
.B1(n_255),
.B0(SH[1]),
.A1(n_258),
.A0(n_2));
AO22X4 g1898 (.Y(n_242),
.B1(n_267),
.B0(SH[1]),
.A1(n_269),
.A0(n_2));
AO22X4 g1899 (.Y(n_243),
.B1(n_252),
.B0(SH[1]),
.A1(n_273),
.A0(n_2));
AO22X4 g1900 (.Y(n_244),
.B1(n_258),
.B0(SH[1]),
.A1(n_262),
.A0(n_2));
AO22X4 g1901 (.Y(n_245),
.B1(n_257),
.B0(SH[1]),
.A1(n_253),
.A0(n_2));
AO22X4 g1902 (.Y(n_246),
.B1(n_261),
.B0(SH[1]),
.A1(n_272),
.A0(n_2));
AO22X4 g1903 (.Y(n_247),
.B1(n_263),
.B0(SH[1]),
.A1(n_255),
.A0(n_2));
AO22X4 g1904 (.Y(n_248),
.B1(n_256),
.B0(SH[1]),
.A1(n_261),
.A0(n_2));
AO22X4 g1905 (.Y(n_249),
.B1(n_270),
.B0(SH[1]),
.A1(n_263),
.A0(n_2));
AO22X4 g1906 (.Y(n_250),
.B1(n_272),
.B0(SH[1]),
.A1(n_267),
.A0(n_2));
AO22X4 g1907 (.Y(n_251),
.B1(n_273),
.B0(SH[1]),
.A1(n_256),
.A0(n_2));
OA22X4 g1908 (.Y(n_252),
.B1(SH[0]),
.B0(A[15]),
.A1(n_1),
.A0(A[14]));
OA22X4 g1909 (.Y(n_253),
.B1(SH[0]),
.B0(A[16]),
.A1(n_1),
.A0(A[15]));
OA22X4 g1910 (.Y(n_254),
.B1(SH[0]),
.B0(A[12]),
.A1(n_1),
.A0(A[11]));
OA22X4 g1911 (.Y(n_255),
.B1(SH[0]),
.B0(A[22]),
.A1(n_1),
.A0(A[21]));
OA22X4 g1912 (.Y(n_256),
.B1(SH[0]),
.B0(A[19]),
.A1(n_1),
.A0(A[18]));
OA22X4 g1913 (.Y(n_257),
.B1(SH[0]),
.B0(A[14]),
.A1(n_1),
.A0(A[13]));
OA22X4 g1914 (.Y(n_258),
.B1(SH[0]),
.B0(A[24]),
.A1(n_1),
.A0(A[23]));
AO22X4 g1915 (.Y(n_259),
.B1(n_1),
.B0(A[31]),
.A1(SH[0]),
.A0(A[30]));
OA22X4 g1916 (.Y(n_260),
.B1(SH[0]),
.B0(A[13]),
.A1(n_1),
.A0(A[12]));
OA22X4 g1917 (.Y(n_261),
.B1(SH[0]),
.B0(A[21]),
.A1(n_1),
.A0(A[20]));
OA22X4 g1918 (.Y(n_262),
.B1(SH[0]),
.B0(A[26]),
.A1(n_1),
.A0(A[25]));
OA22X4 g1919 (.Y(n_263),
.B1(SH[0]),
.B0(A[20]),
.A1(n_1),
.A0(A[19]));
AO22X4 g1920 (.Y(n_264),
.B1(n_1),
.B0(A[11]),
.A1(SH[0]),
.A0(A[10]));
AO22X4 g1921 (.Y(n_265),
.B1(n_1),
.B0(A[10]),
.A1(SH[0]),
.A0(A[9]));
OA22X4 g1922 (.Y(n_266),
.B1(SH[0]),
.B0(A[28]),
.A1(n_1),
.A0(A[27]));
OA22X4 g1923 (.Y(n_267),
.B1(SH[0]),
.B0(A[25]),
.A1(n_1),
.A0(A[24]));
AO22X4 g1924 (.Y(n_268),
.B1(n_1),
.B0(A[30]),
.A1(SH[0]),
.A0(A[29]));
OA22X4 g1925 (.Y(n_269),
.B1(SH[0]),
.B0(A[27]),
.A1(n_1),
.A0(A[26]));
OA22X4 g1926 (.Y(n_270),
.B1(SH[0]),
.B0(A[18]),
.A1(n_1),
.A0(A[17]));
OA22X2 g1927 (.Y(n_271),
.B1(SH[0]),
.B0(A[29]),
.A1(n_1),
.A0(A[28]));
OA22X1 g1928 (.Y(n_272),
.B1(SH[0]),
.B0(A[23]),
.A1(n_1),
.A0(A[22]));
OA22X1 g1929 (.Y(n_273),
.B1(SH[0]),
.B0(A[17]),
.A1(n_1),
.A0(A[16]));
AOI222X4 g1930 (.Y(n_274),
.C1(n_241),
.C0(SH[2]),
.B1(n_262),
.B0(n_4),
.A1(n_266),
.A0(n_21));
AOI222X4 g1931 (.Y(n_275),
.C1(n_251),
.C0(n_0),
.B1(n_260),
.B0(n_18),
.A1(n_252),
.A0(n_44));
AOI222X4 g1932 (.Y(n_276),
.C1(n_240),
.C0(n_0),
.B1(n_254),
.B0(n_18),
.A1(n_257),
.A0(n_44));
AOI222X4 g1933 (.Y(n_277),
.C1(n_250),
.C0(SH[2]),
.B1(n_269),
.B0(n_4),
.A1(n_271),
.A0(n_21));
endmodule
module tdsp_core_glue (
addrs_in,
data_in,
p_addrs_in,
p_data_in,
port_addrs_in,
port_data_in,
ar,
res_adr,
res_port_adr,
se_shift_mdr,
ze_mdr,
alu_out,
go_prog,
read_prog,
go_data,
read_data,
go_port,
read_port,
pc_acc,
arp,
ar1,
ar0,
dp,
ir,
pdr,
opa,
opb,
mdr,
acc,
pc,
data_out,
p_data_out,
port_data_out,
top,
p,
alu_cmd,
sel_op_a,
sel_op_b,
dec_go_prog,
enc_go_prog,
dec_read_prog,
enc_read_prog,
dec_go_data,
enc_go_data,
dec_read_data,
enc_read_data,
dec_go_port,
enc_go_port,
dec_read_port,
enc_read_port,
dmov_inc);
output [7:0] addrs_in;
output [15:0] data_in;
output [8:0] p_addrs_in;
output [15:0] p_data_in;
output [2:0] port_addrs_in;
output [15:0] port_data_in;
output [15:0] ar;
output [7:0] res_adr;
output [7:0] res_port_adr;
output [31:0] se_shift_mdr;
output [31:0] ze_mdr;
output [15:0] alu_out;
output go_prog;
output read_prog;
output go_data;
output read_data;
output go_port;
output read_port;
input pc_acc;
input arp;
input [15:0] ar1;
input [15:0] ar0;
input dp;
input [15:0] ir;
output [15:0] pdr;
output [31:0] opa;
output [31:0] opb;
output [15:0] mdr;
input [32:0] acc;
input [15:0] pc;
input [15:0] data_out;
input [15:0] p_data_out;
input [15:0] port_data_out;
input [15:0] top;
input [31:0] p;
input [3:0] alu_cmd;
input [2:0] sel_op_a;
input [2:0] sel_op_b;
input dec_go_prog;
input enc_go_prog;
input dec_read_prog;
input enc_read_prog;
input dec_go_data;
input enc_go_data;
input dec_read_data;
input enc_read_data;
input dec_go_port;
input enc_go_port;
input dec_read_port;
input enc_read_port;
input dmov_inc;
// Internal wires
wire UNCONNECTED3;
wire UNCONNECTED4;
wire UNCONNECTED5;
wire UNCONNECTED6;
wire UNCONNECTED7;
wire UNCONNECTED8;
wire UNCONNECTED9;
wire UNCONNECTED10;
wire UNCONNECTED11;
wire UNCONNECTED12;
wire UNCONNECTED13;
wire UNCONNECTED14;
wire UNCONNECTED15;
wire UNCONNECTED16;
wire UNCONNECTED17;
wire UNCONNECTED18;
wire UNCONNECTED19;
wire UNCONNECTED20;
wire n_0;
wire n_2;
wire n_6;
wire n_7;
wire n_8;
wire n_9;
wire n_11;
wire n_12;
wire n_14;
wire n_15;
wire n_16;
wire n_17;
wire n_18;
wire n_20;
wire n_21;
wire n_22;
wire n_23;
wire n_28;
wire n_29;
wire n_30;
wire n_32;
wire n_40;
wire n_41;
wire n_42;
wire n_43;
wire n_44;
wire n_45;
wire n_46;
wire n_47;
wire n_48;
wire n_49;
wire n_50;
wire n_51;
wire n_57;
wire n_60;
wire n_71;
wire n_78;
wire n_87;
wire n_95;
wire n_96;
wire n_111;
wire n_118;
wire n_119;
wire n_120;
wire n_121;
wire n_122;
wire n_124;
wire n_140;
wire n_151;
wire n_197;
wire n_203;
wire n_236;
wire n_317;
wire n_354;
wire n_367;
wire n_388;
wire n_396;
wire n_398;
wire n_401;
wire n_422;
wire n_428;
wire n_429;
wire n_431;
wire n_477;
wire n_538;
wire n_585;
wire n_603;
wire n_604;
wire n_606;
wire n_751;
wire n_752;
wire n_753;
wire n_754;
wire n_755;
wire n_756;
wire n_757;
wire n_758;
wire n_759;
wire n_760;
wire n_761;
wire n_762;
wire n_763;
wire n_764;
wire n_765;
wire n_766;
wire n_948;
wire n_949;
wire n_950;
wire n_1119;
wire n_1121;
wire n_1123;
wire n_1125;
wire n_1127;
wire n_1129;
wire n_1131;
wire n_1133;
wire n_1135;
wire n_1137;
wire n_1139;
wire n_1146;
wire n_1147;
wire n_1148;
wire n_1149;
wire n_1150;
wire n_1151;
wire n_1152;
wire n_1153;
wire n_1154;
wire n_1155;
wire n_1156;
wire n_1157;
wire n_1158;
wire n_1159;
wire n_1160;
wire n_1161;
wire n_1162;
wire n_1163;
wire n_1164;
wire n_1165;
wire n_1166;
wire n_1167;
wire n_1168;
wire n_1169;
wire n_1170;
wire n_1171;
wire n_1172;
wire n_1173;
wire n_1174;
wire n_1175;
wire n_1176;
wire n_1177;
wire n_1178;
wire n_1179;
wire n_1180;
wire n_1181;
wire n_1182;
wire n_1183;
wire n_1184;
wire n_1185;
wire n_1186;
wire n_1187;
wire n_1188;
wire n_1189;
wire n_1190;
wire n_1191;
wire n_1192;
wire n_1193;
wire n_1194;
wire n_1195;
wire n_1196;
wire n_1197;
wire n_1198;
wire n_1199;
wire n_1200;
wire n_1201;
wire n_1202;
wire n_1203;
wire n_1204;
wire n_1205;
wire n_1206;
wire n_1207;
wire n_1208;
wire n_1209;
wire n_1210;
wire n_1211;
wire n_1212;
wire n_1214;
wire n_1216;
wire n_1218;
wire n_1220;
wire n_1222;
wire n_1224;
wire n_1226;
wire n_1227;
wire n_1229;
wire n_1231;
wire n_1233;
wire n_1235;
wire n_1237;
wire n_1239;
wire n_1241;
wire n_1244;
wire n_1246;
wire n_1248;
wire n_1250;
wire n_1252;
wire n_1254;
wire n_1256;
wire n_1258;
wire n_1260;
wire n_1262;
wire n_1264;
wire n_1326;
wire n_1327;
wire n_1328;
wire n_1329;
wire n_1330;
wire n_1331;
wire n_1332;
wire n_1333;
wire n_1334;
wire n_1335;
wire n_1336;
wire n_1337;
wire n_1338;
wire n_1339;
wire n_1340;
wire n_1341;
wire n_1342;
wire n_1343;
wire n_1344;
wire n_1345;
wire n_1346;
wire n_1347;
wire n_1348;
wire n_1349;
wire n_1350;
wire n_1351;
wire n_1352;
wire n_1353;
wire n_1354;
wire n_1355;
wire n_1356;
wire n_1357;
wire n_1377;
wire n_1378;
wire n_1379;
wire n_1380;
wire n_1381;
wire n_1382;
wire n_1383;
wire n_1384;
wire n_1385;
wire n_1386;
wire n_1387;
wire n_1388;
wire n_1389;
wire n_1390;
wire n_1391;
wire n_1392;
wire n_1393;
wire n_1394;
wire n_1395;
wire n_1396;
wire n_1397;
wire n_1398;
wire n_1399;
wire n_1400;
wire n_1401;
wire n_1402;
wire n_1403;
wire n_1404;
wire n_1405;
wire n_1406;
wire n_1407;
wire n_1408;
wire n_1409;
wire n_1410;
wire \se_shift_mdr[0]_415 ;
wire \se_shift_mdr[1]_416 ;
wire \se_shift_mdr[3]_418 ;
wire \se_shift_mdr[4]_419 ;
wire \se_shift_mdr[5]_420 ;
wire \se_shift_mdr[6]_421 ;
wire \se_shift_mdr[7]_422 ;
wire \se_shift_mdr[8]_423 ;
wire \se_shift_mdr[9]_424 ;
wire \se_shift_mdr[10]_425 ;
wire \se_shift_mdr[11]_426 ;
wire \se_shift_mdr[12]_427 ;
wire \se_shift_mdr[13]_428 ;
wire \se_shift_mdr[14]_429 ;
wire \se_shift_mdr[15]_430 ;
wire \se_shift_mdr[18]_433 ;
wire \se_shift_mdr[19]_434 ;
wire \se_shift_mdr[20]_435 ;
wire \se_shift_mdr[21]_436 ;
wire \se_shift_mdr[22]_437 ;
wire \se_shift_mdr[23]_438 ;
wire \se_shift_mdr[24]_439 ;
wire \se_shift_mdr[25]_440 ;
wire \se_shift_mdr[26]_441 ;
wire \se_shift_mdr[27]_442 ;
wire \se_shift_mdr[28]_443 ;
wire \se_shift_mdr[29]_444 ;
shift_left_unsigned_884 lsh_120_82 (.A({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
data_out }),
.SH({ ir[11],
ir[10],
ir[9],
ir[8] }),
.Z({ UNCONNECTED3,
UNCONNECTED4,
\se_shift_mdr[29]_444 ,
\se_shift_mdr[28]_443 ,
\se_shift_mdr[27]_442 ,
\se_shift_mdr[26]_441 ,
\se_shift_mdr[25]_440 ,
\se_shift_mdr[24]_439 ,
\se_shift_mdr[23]_438 ,
\se_shift_mdr[22]_437 ,
\se_shift_mdr[21]_436 ,
\se_shift_mdr[20]_435 ,
\se_shift_mdr[19]_434 ,
\se_shift_mdr[18]_433 ,
n_950,
n_949,
\se_shift_mdr[15]_430 ,
\se_shift_mdr[14]_429 ,
\se_shift_mdr[13]_428 ,
\se_shift_mdr[12]_427 ,
\se_shift_mdr[11]_426 ,
\se_shift_mdr[10]_425 ,
\se_shift_mdr[9]_424 ,
\se_shift_mdr[8]_423 ,
\se_shift_mdr[7]_422 ,
\se_shift_mdr[6]_421 ,
\se_shift_mdr[5]_420 ,
\se_shift_mdr[4]_419 ,
\se_shift_mdr[3]_418 ,
n_948,
\se_shift_mdr[1]_416 ,
\se_shift_mdr[0]_415 }));
shift_left_unsigned lsh_121_73 (.A({ acc[31],
acc[30],
acc[29],
acc[28],
acc[27],
acc[26],
acc[25],
acc[24],
acc[23],
acc[22],
acc[21],
acc[20],
acc[19],
acc[18],
acc[17],
acc[16],
acc[15],
acc[14],
acc[13],
acc[12],
acc[11],
acc[10],
acc[9],
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0 }),
.SH({ ir[10],
ir[9],
ir[8] }),
.Z({ n_766,
n_765,
n_764,
n_763,
n_762,
n_761,
n_760,
n_759,
n_758,
n_757,
n_756,
n_755,
n_754,
n_753,
n_752,
n_751,
UNCONNECTED5,
UNCONNECTED6,
UNCONNECTED7,
UNCONNECTED8,
UNCONNECTED9,
UNCONNECTED10,
UNCONNECTED11,
UNCONNECTED12,
UNCONNECTED13,
UNCONNECTED14,
UNCONNECTED15,
UNCONNECTED16,
UNCONNECTED17,
UNCONNECTED18,
UNCONNECTED19,
UNCONNECTED20 }));
AND2X1 g7434 (.Y(n_606),
.B(n_604),
.A(n_1352));
AND2X2 g7439 (.Y(n_604),
.B(n_603),
.A(n_354));
AND2X2 g7442 (.Y(n_603),
.B(n_585),
.A(n_398));
AND2X2 g7479 (.Y(n_585),
.B(n_538),
.A(n_367));
AND2X2 g7531 (.Y(n_538),
.B(n_477),
.A(n_1342));
AND2X2 g7596 (.Y(n_477),
.B(n_422),
.A(n_396));
AND2X2 g7643 (.Y(n_431),
.B(n_1220),
.A(n_401));
OR2X2 g7650 (.Y(n_429),
.B(n_1377),
.A(ir[8]));
OR2X2 g7656 (.Y(n_428),
.B(n_1377),
.A(n_49));
AND2X2 g7665 (.Y(n_422),
.B(n_388),
.A(dmov_inc));
AND2X1 g7731 (.Y(n_401),
.B(n_22),
.A(n_46));
CLKINVX2 g7753 (.Y(n_398),
.A(n_1402));
CLKINVX2 g7755 (.Y(n_396),
.A(n_1404));
CLKINVX2 g7763 (.Y(n_388),
.A(n_1406));
CLKINVX2 g7784 (.Y(n_367),
.A(n_1408));
CLKINVX2 g7797 (.Y(n_354),
.A(n_1410));
AND2X2 g7837 (.Y(n_317),
.B(n_1378),
.A(data_out[15]));
OR2X1 g7920 (.Y(n_236),
.B(n_124),
.A(n_96));
AND2X1 g7953 (.Y(n_203),
.B(n_140),
.A(ir[8]));
AND2X2 g7959 (.Y(n_197),
.B(n_140),
.A(ir[12]));
AND2X2 g8008 (.Y(n_151),
.B(n_95),
.A(sel_op_b[1]));
AND2X2 g8019 (.Y(n_140),
.B(n_87),
.A(sel_op_b[0]));
AND2X2 g8037 (.Y(n_124),
.B(n_17),
.A(sel_op_b[0]));
CLKINVX2 g8040 (.Y(ar[1]),
.A(n_122));
AND2X2 g8041 (.Y(n_122),
.B(n_15),
.A(n_14));
CLKINVX2 g8045 (.Y(ar[4]),
.A(n_121));
AND2X2 g8046 (.Y(n_121),
.B(n_16),
.A(n_2));
CLKINVX2 g8049 (.Y(ar[3]),
.A(n_120));
AND2X2 g8050 (.Y(n_120),
.B(n_6),
.A(n_7));
CLKINVX2 g8051 (.Y(ar[0]),
.A(n_119));
AND2X2 g8052 (.Y(n_119),
.B(n_12),
.A(n_8));
CLKINVX2 g8054 (.Y(ar[5]),
.A(n_118));
AND2X2 g8055 (.Y(n_118),
.B(n_0),
.A(n_9));
OR2X2 g8069 (.Y(read_prog),
.B(enc_read_prog),
.A(dec_read_prog));
OR2X1 g8071 (.Y(go_data),
.B(enc_go_data),
.A(dec_go_data));
OR2X1 g8072 (.Y(go_port),
.B(enc_go_port),
.A(dec_go_port));
AND2X1 g8080 (.Y(n_111),
.B(data_out[11]),
.A(dmov_inc));
OR2X1 g8099 (.Y(go_prog),
.B(enc_go_prog),
.A(dec_go_prog));
CLKINVX8 g8106 (.Y(n_96),
.A(n_95));
OR2X2 g8107 (.Y(n_95),
.B(sel_op_b[0]),
.A(sel_op_b[2]));
AND2X1 g8116 (.Y(n_87),
.B(n_43),
.A(sel_op_b[2]));
AND2X1 g8126 (.Y(n_78),
.B(data_out[0]),
.A(dmov_inc));
AND2X1 g8135 (.Y(n_71),
.B(data_out[15]),
.A(dmov_inc));
AND2X1 g8138 (.Y(p_addrs_in[8]),
.B(n_40),
.A(pc[8]));
AND2X1 g8148 (.Y(n_60),
.B(data_out[10]),
.A(dmov_inc));
AND2X1 g8151 (.Y(n_57),
.B(data_out[1]),
.A(dmov_inc));
OR2X2 g8158 (.Y(n_51),
.B(ir[9]),
.A(ir[11]));
CLKINVX2 g8161 (.Y(n_50),
.A(ir[7]));
CLKINVX1 g8162 (.Y(n_49),
.A(ir[8]));
CLKINVX1 g8168 (.Y(n_48),
.A(ir[10]));
CLKINVX1 g8169 (.Y(n_47),
.A(sel_op_a[2]));
CLKINVX2 g8176 (.Y(n_46),
.A(dmov_inc));
CLKINVX1 g8180 (.Y(n_45),
.A(ir[15]));
CLKINVX1 g8181 (.Y(n_44),
.A(sel_op_a[1]));
CLKINVX1 g8182 (.Y(n_43),
.A(sel_op_b[1]));
CLKINVX1 g8183 (.Y(n_42),
.A(ir[13]));
CLKINVX3 g8187 (.Y(n_41),
.A(arp));
CLKINVX2 g8190 (.Y(n_40),
.A(pc_acc));
NOR2BX4 g8198 (.Y(n_32),
.B(n_1195),
.AN(n_46));
NOR2BX4 g8200 (.Y(n_30),
.B(n_429),
.AN(n_46));
NOR2BX4 g8201 (.Y(n_29),
.B(n_428),
.AN(n_46));
NOR2BX4 g8202 (.Y(n_28),
.B(n_1220),
.AN(n_429));
NOR2BX4 g8207 (.Y(n_23),
.B(n_22),
.AN(n_46));
NAND2BX4 g8208 (.Y(n_22),
.B(n_1354),
.AN(ir[12]));
NOR2BX4 g8209 (.Y(n_21),
.B(n_51),
.AN(n_48));
NAND2X2 g8210 (.Y(n_20),
.B(ir[9]),
.A(ir[11]));
NOR2BX2 g8212 (.Y(n_18),
.B(sel_op_b[0]),
.AN(n_87));
NOR2BX1 g8213 (.Y(n_17),
.B(sel_op_b[2]),
.AN(n_43));
NAND2BX1 g8214 (.Y(n_16),
.B(ar0[4]),
.AN(arp));
NAND2BX1 g8215 (.Y(n_15),
.B(ar0[1]),
.AN(arp));
NAND2BX1 g8216 (.Y(n_14),
.B(ar1[1]),
.AN(n_41));
NAND2BX1 g8218 (.Y(n_12),
.B(ar0[0]),
.AN(arp));
NAND2BX2 g8219 (.Y(n_11),
.B(ir[14]),
.AN(n_1226));
NAND2BX1 g8221 (.Y(n_9),
.B(ar1[5]),
.AN(n_41));
NAND2BX1 g8222 (.Y(n_8),
.B(ar1[0]),
.AN(n_41));
NAND2BX1 g8223 (.Y(n_7),
.B(ar1[3]),
.AN(n_41));
NAND2BX1 g8224 (.Y(n_6),
.B(ar0[3]),
.AN(arp));
NAND2BX1 g8228 (.Y(n_2),
.B(ar1[4]),
.AN(n_41));
NAND2BX1 g8230 (.Y(n_0),
.B(ar0[5]),
.AN(arp));
XOR2X4 g8231 (.Y(addrs_in[7]),
.B(n_606),
.A(n_1348));
XOR2X4 g8232 (.Y(addrs_in[6]),
.B(n_604),
.A(n_1352));
CLKMX2X12 g8233 (.Y(addrs_in[5]),
.S0(n_603),
.B(n_1410),
.A(n_354));
CLKMX2X12 g8234 (.Y(addrs_in[4]),
.S0(n_585),
.B(n_1402),
.A(n_398));
CLKMX2X12 g8235 (.Y(addrs_in[3]),
.S0(n_538),
.B(n_1408),
.A(n_367));
XOR2X4 g8236 (.Y(addrs_in[2]),
.B(n_477),
.A(n_1342));
CLKMX2X12 g8237 (.Y(addrs_in[1]),
.S0(n_422),
.B(n_1404),
.A(n_396));
INVXL g3 (.Y(data_in[9]),
.A(n_1119));
AOI211X4 g8238 (.Y(n_1119),
.C0(n_1149),
.B0(n_1196),
.A1(data_out[9]),
.A0(dmov_inc));
INVXL g8239 (.Y(data_in[8]),
.A(n_1121));
AOI211X4 g8240 (.Y(n_1121),
.C0(n_1151),
.B0(n_1197),
.A1(data_out[8]),
.A0(dmov_inc));
INVXL g8241 (.Y(data_in[7]),
.A(n_1123));
AOI211X4 g8242 (.Y(n_1123),
.C0(n_1153),
.B0(n_1198),
.A1(data_out[7]),
.A0(dmov_inc));
INVXL g8243 (.Y(data_in[14]),
.A(n_1125));
AOI211X4 g8244 (.Y(n_1125),
.C0(n_1157),
.B0(n_1200),
.A1(data_out[14]),
.A0(dmov_inc));
INVXL g8245 (.Y(data_in[6]),
.A(n_1127));
AOI211X4 g8246 (.Y(n_1127),
.C0(n_1155),
.B0(n_1199),
.A1(data_out[6]),
.A0(dmov_inc));
INVXL g8247 (.Y(data_in[5]),
.A(n_1129));
AOI211X4 g8248 (.Y(n_1129),
.C0(n_1159),
.B0(n_1201),
.A1(data_out[5]),
.A0(dmov_inc));
INVXL g8249 (.Y(data_in[4]),
.A(n_1131));
AOI211X4 g8250 (.Y(n_1131),
.C0(n_1161),
.B0(n_1202),
.A1(data_out[4]),
.A0(dmov_inc));
INVXL g8251 (.Y(data_in[13]),
.A(n_1133));
AOI211X4 g8252 (.Y(n_1133),
.C0(n_1167),
.B0(n_1203),
.A1(data_out[13]),
.A0(dmov_inc));
INVXL g8253 (.Y(data_in[3]),
.A(n_1135));
AOI211X4 g8254 (.Y(n_1135),
.C0(n_1163),
.B0(n_1204),
.A1(data_out[3]),
.A0(dmov_inc));
INVXL g8255 (.Y(data_in[12]),
.A(n_1137));
AOI211X4 g8256 (.Y(n_1137),
.C0(n_1169),
.B0(n_1207),
.A1(data_out[12]),
.A0(dmov_inc));
INVXL g8257 (.Y(data_in[2]),
.A(n_1139));
AOI211X4 g8258 (.Y(n_1139),
.C0(n_1165),
.B0(n_1205),
.A1(data_out[2]),
.A0(dmov_inc));
OR3X6 g8259 (.Y(data_in[1]),
.C(n_1171),
.B(n_1206),
.A(n_57));
OR3X6 g8260 (.Y(data_in[15]),
.C(n_1147),
.B(n_1209),
.A(n_71));
OR3X6 g8261 (.Y(data_in[0]),
.C(n_1173),
.B(n_1208),
.A(n_78));
OR3X6 g8262 (.Y(data_in[11]),
.C(n_1175),
.B(n_1210),
.A(n_111));
OR3X6 g8263 (.Y(data_in[10]),
.C(n_1177),
.B(n_1211),
.A(n_60));
INVXL g8264 (.Y(n_1147),
.A(n_1146));
AOI221X4 g8265 (.Y(n_1146),
.C0(n_1180),
.B1(n_30),
.B0(ar0[15]),
.A1(n_23),
.A0(port_data_out[15]));
INVXL g8266 (.Y(n_1149),
.A(n_1148));
AOI221X4 g8267 (.Y(n_1148),
.C0(n_1179),
.B1(n_30),
.B0(ar0[9]),
.A1(n_23),
.A0(port_data_out[9]));
INVXL g8268 (.Y(n_1151),
.A(n_1150));
AOI221X4 g8269 (.Y(n_1150),
.C0(n_1181),
.B1(n_30),
.B0(ar0[8]),
.A1(n_23),
.A0(port_data_out[8]));
INVXL g8270 (.Y(n_1153),
.A(n_1152));
AOI221X4 g8271 (.Y(n_1152),
.C0(n_1182),
.B1(n_30),
.B0(ar0[7]),
.A1(n_23),
.A0(port_data_out[7]));
INVXL g8272 (.Y(n_1155),
.A(n_1154));
AOI221X4 g8273 (.Y(n_1154),
.C0(n_1183),
.B1(n_30),
.B0(ar0[6]),
.A1(n_23),
.A0(port_data_out[6]));
INVXL g8274 (.Y(n_1157),
.A(n_1156));
AOI221X4 g8275 (.Y(n_1156),
.C0(n_1185),
.B1(n_30),
.B0(ar0[14]),
.A1(n_23),
.A0(port_data_out[14]));
INVXL g8276 (.Y(n_1159),
.A(n_1158));
AOI221X4 g8277 (.Y(n_1158),
.C0(n_1184),
.B1(n_30),
.B0(ar0[5]),
.A1(n_23),
.A0(port_data_out[5]));
INVXL g8278 (.Y(n_1161),
.A(n_1160));
AOI221X4 g8279 (.Y(n_1160),
.C0(n_1186),
.B1(n_30),
.B0(ar0[4]),
.A1(n_23),
.A0(port_data_out[4]));
INVXL g8280 (.Y(n_1163),
.A(n_1162));
AOI221X4 g8281 (.Y(n_1162),
.C0(n_1187),
.B1(n_30),
.B0(ar0[3]),
.A1(n_23),
.A0(port_data_out[3]));
INVXL g8282 (.Y(n_1165),
.A(n_1164));
AOI221X4 g8283 (.Y(n_1164),
.C0(n_1188),
.B1(n_30),
.B0(ar0[2]),
.A1(n_23),
.A0(port_data_out[2]));
INVXL g8284 (.Y(n_1167),
.A(n_1166));
AOI221X4 g8285 (.Y(n_1166),
.C0(n_1189),
.B1(n_30),
.B0(ar0[13]),
.A1(n_23),
.A0(port_data_out[13]));
INVXL g8286 (.Y(n_1169),
.A(n_1168));
AOI221X4 g8287 (.Y(n_1168),
.C0(n_1191),
.B1(n_30),
.B0(ar0[12]),
.A1(n_23),
.A0(port_data_out[12]));
INVXL g8288 (.Y(n_1171),
.A(n_1170));
AOI221X4 g8289 (.Y(n_1170),
.C0(n_1190),
.B1(n_30),
.B0(ar0[1]),
.A1(n_23),
.A0(port_data_out[1]));
INVXL g8290 (.Y(n_1173),
.A(n_1172));
AOI221X4 g8291 (.Y(n_1172),
.C0(n_1192),
.B1(n_30),
.B0(ar0[0]),
.A1(n_23),
.A0(port_data_out[0]));
INVXL g8292 (.Y(n_1175),
.A(n_1174));
AOI221X4 g8293 (.Y(n_1174),
.C0(n_1193),
.B1(n_30),
.B0(ar0[11]),
.A1(n_23),
.A0(port_data_out[11]));
INVXL g8294 (.Y(n_1177),
.A(n_1176));
AOI221X4 g8295 (.Y(n_1176),
.C0(n_1178),
.B1(n_30),
.B0(ar0[10]),
.A1(n_23),
.A0(port_data_out[10]));
AO22X4 g8296 (.Y(n_1178),
.B1(n_1194),
.B0(n_761),
.A1(n_32),
.A0(p_data_out[10]));
AO22X4 g8297 (.Y(n_1179),
.B1(n_1194),
.B0(n_760),
.A1(n_32),
.A0(p_data_out[9]));
AO22X4 g8298 (.Y(n_1180),
.B1(n_1194),
.B0(n_766),
.A1(n_32),
.A0(p_data_out[15]));
AO22X4 g8299 (.Y(n_1181),
.B1(n_1194),
.B0(n_759),
.A1(n_32),
.A0(p_data_out[8]));
AO22X4 g8300 (.Y(n_1182),
.B1(n_1194),
.B0(n_758),
.A1(n_32),
.A0(p_data_out[7]));
AO22X4 g8301 (.Y(n_1183),
.B1(n_1194),
.B0(n_757),
.A1(n_32),
.A0(p_data_out[6]));
AO22X4 g8302 (.Y(n_1184),
.B1(n_1194),
.B0(n_756),
.A1(n_32),
.A0(p_data_out[5]));
AO22X4 g8303 (.Y(n_1185),
.B1(n_1194),
.B0(n_765),
.A1(n_32),
.A0(p_data_out[14]));
AO22X4 g8304 (.Y(n_1186),
.B1(n_1194),
.B0(n_755),
.A1(n_32),
.A0(p_data_out[4]));
AO22X4 g8305 (.Y(n_1187),
.B1(n_1194),
.B0(n_754),
.A1(n_32),
.A0(p_data_out[3]));
AO22X4 g8306 (.Y(n_1188),
.B1(n_1194),
.B0(n_753),
.A1(n_32),
.A0(p_data_out[2]));
AO22X4 g8307 (.Y(n_1189),
.B1(n_1194),
.B0(n_764),
.A1(n_32),
.A0(p_data_out[13]));
AO22X4 g8308 (.Y(n_1190),
.B1(n_1194),
.B0(n_752),
.A1(n_32),
.A0(p_data_out[1]));
AO22X4 g8309 (.Y(n_1191),
.B1(n_1194),
.B0(n_763),
.A1(n_32),
.A0(p_data_out[12]));
AO22X4 g8310 (.Y(n_1192),
.B1(n_1194),
.B0(n_751),
.A1(n_32),
.A0(p_data_out[0]));
AO22X4 g8311 (.Y(n_1193),
.B1(n_1194),
.B0(n_762),
.A1(n_32),
.A0(p_data_out[11]));
AND4X6 g8312 (.Y(n_1194),
.D(n_1195),
.C(n_28),
.B(n_428),
.A(n_401));
OR4X8 g8313 (.Y(n_1195),
.D(n_11),
.C(n_45),
.B(n_49),
.A(ir[12]));
AO22X4 g8314 (.Y(n_1196),
.B1(n_29),
.B0(ar1[9]),
.A1(n_431),
.A0(acc[9]));
AO22X4 g8315 (.Y(n_1197),
.B1(n_29),
.B0(ar1[8]),
.A1(n_431),
.A0(acc[8]));
AO22X4 g8316 (.Y(n_1198),
.B1(n_29),
.B0(ar1[7]),
.A1(n_431),
.A0(acc[7]));
AO22X4 g8317 (.Y(n_1199),
.B1(n_29),
.B0(ar1[6]),
.A1(n_431),
.A0(acc[6]));
AO22X4 g8318 (.Y(n_1200),
.B1(n_29),
.B0(ar1[14]),
.A1(n_431),
.A0(acc[14]));
AO22X4 g8319 (.Y(n_1201),
.B1(n_29),
.B0(ar1[5]),
.A1(n_431),
.A0(acc[5]));
AO22X4 g8320 (.Y(n_1202),
.B1(n_29),
.B0(ar1[4]),
.A1(n_431),
.A0(acc[4]));
AO22X4 g8321 (.Y(n_1203),
.B1(n_29),
.B0(ar1[13]),
.A1(n_431),
.A0(acc[13]));
AO22X4 g8322 (.Y(n_1204),
.B1(n_29),
.B0(ar1[3]),
.A1(n_431),
.A0(acc[3]));
AO22X4 g8323 (.Y(n_1205),
.B1(n_29),
.B0(ar1[2]),
.A1(n_431),
.A0(acc[2]));
AO22X4 g8324 (.Y(n_1206),
.B1(n_29),
.B0(ar1[1]),
.A1(n_431),
.A0(acc[1]));
AO22X4 g8325 (.Y(n_1207),
.B1(n_29),
.B0(ar1[12]),
.A1(n_431),
.A0(acc[12]));
AO22X4 g8326 (.Y(n_1208),
.B1(n_29),
.B0(ar1[0]),
.A1(n_431),
.A0(acc[0]));
AO22X4 g8327 (.Y(n_1209),
.B1(n_29),
.B0(ar1[15]),
.A1(n_431),
.A0(acc[15]));
AO22X4 g8328 (.Y(n_1210),
.B1(n_29),
.B0(ar1[11]),
.A1(n_431),
.A0(acc[11]));
AO22X4 g8329 (.Y(n_1211),
.B1(n_29),
.B0(ar1[10]),
.A1(n_431),
.A0(acc[10]));
INVXL g8330 (.Y(opb[15]),
.A(n_1212));
AOI211X4 g8331 (.Y(n_1212),
.C0(n_1337),
.B0(n_197),
.A1(n_151),
.A0(data_out[15]));
INVXL g8332 (.Y(opb[14]),
.A(n_1214));
AOI211X4 g8333 (.Y(n_1214),
.C0(n_1338),
.B0(n_197),
.A1(n_18),
.A0(p[14]));
INVXL g8334 (.Y(opb[13]),
.A(n_1216));
AOI211X4 g8335 (.Y(n_1216),
.C0(n_1339),
.B0(n_197),
.A1(n_18),
.A0(p[13]));
INVXL g8336 (.Y(opb[12]),
.A(n_1218));
AOI211X4 g8337 (.Y(n_1218),
.C0(n_1340),
.B0(n_197),
.A1(n_18),
.A0(p[12]));
AND3X6 g8338 (.Y(n_1220),
.C(n_21),
.B(n_1354),
.A(n_49));
AO22X4 g8339 (.Y(addrs_in[0]),
.B1(n_1406),
.B0(dmov_inc),
.A1(n_388),
.A0(n_46));
INVXL g8340 (.Y(opa[15]),
.A(n_1222));
AOI221X4 g8341 (.Y(n_1222),
.C0(n_317),
.B1(n_1355),
.B0(top[15]),
.A1(n_1353),
.A0(acc[15]));
INVXL g8342 (.Y(opa[7]),
.A(n_1224));
AOI221X4 g8343 (.Y(n_1224),
.C0(n_1327),
.B1(n_1356),
.B0(ir[7]),
.A1(n_1378),
.A0(data_out[7]));
NAND4BBX4 g8344 (.Y(n_1226),
.D(n_51),
.C(n_20),
.BN(n_48),
.AN(n_42));
INVXL g8345 (.Y(opa[6]),
.A(n_1227));
AOI221X4 g2 (.Y(n_1227),
.C0(n_1328),
.B1(n_1356),
.B0(ir[6]),
.A1(n_1378),
.A0(data_out[6]));
INVXL g8346 (.Y(opa[5]),
.A(n_1229));
AOI221X4 g8347 (.Y(n_1229),
.C0(n_1329),
.B1(n_1356),
.B0(ir[5]),
.A1(n_1378),
.A0(data_out[5]));
INVXL g8348 (.Y(opa[4]),
.A(n_1231));
AOI221X4 g8349 (.Y(n_1231),
.C0(n_1330),
.B1(n_1356),
.B0(ir[4]),
.A1(n_1378),
.A0(data_out[4]));
INVXL g8350 (.Y(opa[3]),
.A(n_1233));
AOI221X4 g8351 (.Y(n_1233),
.C0(n_1331),
.B1(n_1356),
.B0(ir[3]),
.A1(n_1378),
.A0(data_out[3]));
INVXL g8352 (.Y(opa[2]),
.A(n_1235));
AOI221X4 g8353 (.Y(n_1235),
.C0(n_1332),
.B1(n_1356),
.B0(ir[2]),
.A1(n_1378),
.A0(data_out[2]));
INVXL g8354 (.Y(opb[11]),
.A(n_1237));
AOI221X4 g8355 (.Y(n_1237),
.C0(n_1326),
.B1(n_140),
.B0(ir[11]),
.A1(n_18),
.A0(p[11]));
INVXL g8356 (.Y(opa[1]),
.A(n_1239));
AOI221X4 g8357 (.Y(n_1239),
.C0(n_1333),
.B1(n_1356),
.B0(ir[1]),
.A1(n_1378),
.A0(data_out[1]));
INVXL g8358 (.Y(opa[0]),
.A(n_1241));
AOI221X4 g8359 (.Y(n_1241),
.C0(n_1334),
.B1(n_1356),
.B0(ir[0]),
.A1(n_1378),
.A0(data_out[0]));
AO22X4 g8360 (.Y(opb[31]),
.B1(n_236),
.B0(data_out[15]),
.A1(n_18),
.A0(p[31]));
INVXL g8361 (.Y(opb[10]),
.A(n_1244));
AOI221X4 g8362 (.Y(n_1244),
.C0(n_1341),
.B1(n_140),
.B0(ir[10]),
.A1(n_18),
.A0(p[10]));
INVXL g8363 (.Y(opb[9]),
.A(n_1246));
AOI221X4 g8364 (.Y(n_1246),
.C0(n_1335),
.B1(n_140),
.B0(ir[9]),
.A1(n_18),
.A0(p[9]));
INVXL g8365 (.Y(opb[8]),
.A(n_1248));
AOI211X4 g8366 (.Y(n_1248),
.C0(n_1343),
.B0(n_203),
.A1(n_18),
.A0(p[8]));
INVXL g8367 (.Y(opb[7]),
.A(n_1250));
AOI221X4 g8368 (.Y(n_1250),
.C0(n_1336),
.B1(n_18),
.B0(p[7]),
.A1(n_151),
.A0(data_out[7]));
INVXL g8369 (.Y(opb[6]),
.A(n_1252));
AOI221X4 g8370 (.Y(n_1252),
.C0(n_1344),
.B1(n_140),
.B0(ir[6]),
.A1(n_18),
.A0(p[6]));
INVXL g8371 (.Y(opb[5]),
.A(n_1254));
AOI221X4 g8372 (.Y(n_1254),
.C0(n_1345),
.B1(n_140),
.B0(ir[5]),
.A1(n_18),
.A0(p[5]));
INVXL g8373 (.Y(opb[4]),
.A(n_1256));
AOI221X4 g8374 (.Y(n_1256),
.C0(n_1346),
.B1(n_140),
.B0(ir[4]),
.A1(n_18),
.A0(p[4]));
INVXL g8375 (.Y(opb[3]),
.A(n_1258));
AOI221X4 g8376 (.Y(n_1258),
.C0(n_1347),
.B1(n_140),
.B0(ir[3]),
.A1(n_18),
.A0(p[3]));
INVXL g8377 (.Y(opb[2]),
.A(n_1260));
AOI221X4 g8378 (.Y(n_1260),
.C0(n_1349),
.B1(n_18),
.B0(p[2]),
.A1(n_151),
.A0(data_out[2]));
INVXL g8379 (.Y(opb[1]),
.A(n_1262));
AOI221X4 g8380 (.Y(n_1262),
.C0(n_1350),
.B1(n_140),
.B0(ir[1]),
.A1(n_18),
.A0(p[1]));
INVXL g8381 (.Y(opb[0]),
.A(n_1264));
AOI221X4 g8382 (.Y(n_1264),
.C0(n_1351),
.B1(n_140),
.B0(ir[0]),
.A1(n_18),
.A0(p[0]));
INVXL g8383 (.Y(opa[14]),
.A(n_1379));
INVXL g8385 (.Y(opa[13]),
.A(n_1380));
INVXL g8387 (.Y(opa[12]),
.A(n_1381));
INVXL g8389 (.Y(opa[11]),
.A(n_1382));
INVXL g8391 (.Y(opa[10]),
.A(n_1383));
INVXL g8393 (.Y(opa[9]),
.A(n_1384));
INVXL g8395 (.Y(opa[8]),
.A(n_1385));
INVXL g8397 (.Y(opb[30]),
.A(n_1386));
INVXL g8399 (.Y(opb[29]),
.A(n_1387));
INVXL g8401 (.Y(opb[28]),
.A(n_1388));
INVXL g8403 (.Y(opb[27]),
.A(n_1389));
INVXL g8405 (.Y(opb[26]),
.A(n_1390));
INVXL g8407 (.Y(opb[25]),
.A(n_1391));
INVXL g8409 (.Y(opb[24]),
.A(n_1392));
INVXL g8411 (.Y(opb[23]),
.A(n_1393));
INVXL g8413 (.Y(opb[22]),
.A(n_1394));
INVXL g8415 (.Y(opb[21]),
.A(n_1395));
INVXL g8417 (.Y(opb[20]),
.A(n_1396));
INVXL g8419 (.Y(opb[19]),
.A(n_1397));
INVXL g8421 (.Y(opb[18]),
.A(n_1398));
INVXL g8423 (.Y(opb[17]),
.A(n_1399));
INVXL g8425 (.Y(opb[16]),
.A(n_1400));
AO21X4 g8427 (.Y(opa[31]),
.B0(n_317),
.A1(n_1353),
.A0(acc[31]));
AO21X4 g8428 (.Y(opa[30]),
.B0(n_317),
.A1(n_1353),
.A0(acc[30]));
AO21X4 g8429 (.Y(opa[29]),
.B0(n_317),
.A1(n_1353),
.A0(acc[29]));
AO21X4 g8430 (.Y(opa[28]),
.B0(n_317),
.A1(n_1353),
.A0(acc[28]));
AO21X4 g8431 (.Y(opa[27]),
.B0(n_317),
.A1(n_1353),
.A0(acc[27]));
AO21X4 g8432 (.Y(opa[26]),
.B0(n_317),
.A1(n_1353),
.A0(acc[26]));
AO21X4 g8433 (.Y(opa[25]),
.B0(n_317),
.A1(n_1353),
.A0(acc[25]));
AO21X4 g8434 (.Y(opa[24]),
.B0(n_317),
.A1(n_1353),
.A0(acc[24]));
AO21X4 g8435 (.Y(opa[23]),
.B0(n_317),
.A1(n_1353),
.A0(acc[23]));
AO21X4 g8436 (.Y(opa[22]),
.B0(n_317),
.A1(n_1353),
.A0(acc[22]));
AO21X4 g8437 (.Y(opa[21]),
.B0(n_317),
.A1(n_1353),
.A0(acc[21]));
AO21X4 g8438 (.Y(opa[20]),
.B0(n_317),
.A1(n_1353),
.A0(acc[20]));
AO21X4 g8439 (.Y(opa[19]),
.B0(n_317),
.A1(n_1353),
.A0(acc[19]));
AO21X4 g8440 (.Y(opa[18]),
.B0(n_317),
.A1(n_1353),
.A0(acc[18]));
AO21X4 g8441 (.Y(opa[17]),
.B0(n_317),
.A1(n_1353),
.A0(acc[17]));
AO21X4 g8442 (.Y(opa[16]),
.B0(n_317),
.A1(n_1353),
.A0(acc[16]));
AO22X4 g8443 (.Y(n_1326),
.B1(\se_shift_mdr[11]_426 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[11]));
AO22X4 g8444 (.Y(n_1327),
.B1(n_1355),
.B0(top[7]),
.A1(n_1353),
.A0(acc[7]));
AO22X4 g8445 (.Y(n_1328),
.B1(n_1355),
.B0(top[6]),
.A1(n_1353),
.A0(acc[6]));
AO22X4 g8446 (.Y(n_1329),
.B1(n_1355),
.B0(top[5]),
.A1(n_1353),
.A0(acc[5]));
AO22X4 g8447 (.Y(n_1330),
.B1(n_1355),
.B0(top[4]),
.A1(n_1353),
.A0(acc[4]));
AO22X4 g8448 (.Y(n_1331),
.B1(n_1355),
.B0(top[3]),
.A1(n_1353),
.A0(acc[3]));
AO22X4 g8449 (.Y(n_1332),
.B1(n_1355),
.B0(top[2]),
.A1(n_1353),
.A0(acc[2]));
AO22X4 g8450 (.Y(n_1333),
.B1(n_1355),
.B0(top[1]),
.A1(n_1353),
.A0(acc[1]));
AO22X4 g8451 (.Y(n_1334),
.B1(n_1355),
.B0(top[0]),
.A1(n_1353),
.A0(acc[0]));
AO22X4 g8452 (.Y(n_1335),
.B1(\se_shift_mdr[9]_424 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[9]));
AO22X4 g8453 (.Y(n_1336),
.B1(\se_shift_mdr[7]_422 ),
.B0(n_96),
.A1(n_140),
.A0(ir[7]));
AO22X4 g8454 (.Y(n_1337),
.B1(\se_shift_mdr[15]_430 ),
.B0(n_96),
.A1(n_18),
.A0(p[15]));
AO22X4 g8455 (.Y(n_1338),
.B1(\se_shift_mdr[14]_429 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[14]));
AO22X4 g8456 (.Y(n_1339),
.B1(\se_shift_mdr[13]_428 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[13]));
AO22X4 g8457 (.Y(n_1340),
.B1(\se_shift_mdr[12]_427 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[12]));
AO22X4 g8458 (.Y(n_1341),
.B1(\se_shift_mdr[10]_425 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[10]));
OA22X4 g8459 (.Y(n_1342),
.B1(ar[2]),
.B0(n_50),
.A1(ir[2]),
.A0(ir[7]));
AO22X4 g8460 (.Y(n_1343),
.B1(\se_shift_mdr[8]_423 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[8]));
AO22X4 g8461 (.Y(n_1344),
.B1(\se_shift_mdr[6]_421 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[6]));
AO22X4 g8462 (.Y(n_1345),
.B1(\se_shift_mdr[5]_420 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[5]));
AO22X4 g8463 (.Y(n_1346),
.B1(\se_shift_mdr[4]_419 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[4]));
AO22X4 g8464 (.Y(n_1347),
.B1(\se_shift_mdr[3]_418 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[3]));
OA22X4 g8465 (.Y(n_1348),
.B1(ar[7]),
.B0(n_50),
.A1(ir[7]),
.A0(dp));
AO22X4 g8466 (.Y(n_1349),
.B1(n_948),
.B0(n_96),
.A1(n_140),
.A0(ir[2]));
AO22X4 g8467 (.Y(n_1350),
.B1(\se_shift_mdr[1]_416 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[1]));
AO22X4 g8468 (.Y(n_1351),
.B1(\se_shift_mdr[0]_415 ),
.B0(n_96),
.A1(n_151),
.A0(data_out[0]));
OA22X4 g8469 (.Y(n_1352),
.B1(ar[6]),
.B0(n_50),
.A1(ir[6]),
.A0(ir[7]));
AND3X6 g8470 (.Y(n_1353),
.C(n_44),
.B(n_47),
.A(sel_op_a[0]));
AND3X6 g8471 (.Y(n_1354),
.C(n_45),
.B(ir[14]),
.A(n_42));
AND3X6 g8472 (.Y(n_1355),
.C(n_47),
.B(sel_op_a[1]),
.A(sel_op_a[0]));
AND3X6 g8473 (.Y(n_1356),
.C(sel_op_a[1]),
.B(sel_op_a[2]),
.A(sel_op_a[0]));
OR3X6 g8474 (.Y(n_1357),
.C(ir[14]),
.B(ir[15]),
.A(n_42));
AO22X4 g8475 (.Y(p_addrs_in[7]),
.B1(pc_acc),
.B0(acc[7]),
.A1(n_40),
.A0(pc[7]));
AO22X4 g8476 (.Y(p_addrs_in[2]),
.B1(pc_acc),
.B0(acc[2]),
.A1(n_40),
.A0(pc[2]));
AO22X4 g8477 (.Y(ar[6]),
.B1(arp),
.B0(ar1[6]),
.A1(n_41),
.A0(ar0[6]));
AO22X4 g8478 (.Y(ar[7]),
.B1(arp),
.B0(ar1[7]),
.A1(n_41),
.A0(ar0[7]));
AO22X4 g8479 (.Y(p_addrs_in[5]),
.B1(pc_acc),
.B0(acc[5]),
.A1(n_40),
.A0(pc[5]));
OA22X4 g8480 (.Y(ar[2]),
.B1(ar0[2]),
.B0(arp),
.A1(n_41),
.A0(ar1[2]));
AO22X4 g8481 (.Y(p_addrs_in[6]),
.B1(pc_acc),
.B0(acc[6]),
.A1(n_40),
.A0(pc[6]));
AO22X4 g8482 (.Y(p_addrs_in[4]),
.B1(pc_acc),
.B0(acc[4]),
.A1(n_40),
.A0(pc[4]));
AO22X4 g8483 (.Y(p_addrs_in[3]),
.B1(pc_acc),
.B0(acc[3]),
.A1(n_40),
.A0(pc[3]));
AO22X4 g8484 (.Y(p_addrs_in[1]),
.B1(pc_acc),
.B0(acc[1]),
.A1(n_40),
.A0(pc[1]));
AO22X4 g8485 (.Y(p_addrs_in[0]),
.B1(pc_acc),
.B0(acc[0]),
.A1(n_40),
.A0(pc[0]));
AO22X4 g8486 (.Y(ar[15]),
.B1(arp),
.B0(ar1[15]),
.A1(n_41),
.A0(ar0[15]));
AO22X4 g8487 (.Y(ar[14]),
.B1(arp),
.B0(ar1[14]),
.A1(n_41),
.A0(ar0[14]));
AO22X4 g8488 (.Y(ar[13]),
.B1(arp),
.B0(ar1[13]),
.A1(n_41),
.A0(ar0[13]));
AO22X4 g8489 (.Y(ar[12]),
.B1(arp),
.B0(ar1[12]),
.A1(n_41),
.A0(ar0[12]));
AO22X4 g8490 (.Y(ar[11]),
.B1(arp),
.B0(ar1[11]),
.A1(n_41),
.A0(ar0[11]));
AO22X4 g8491 (.Y(ar[10]),
.B1(arp),
.B0(ar1[10]),
.A1(n_41),
.A0(ar0[10]));
AO22X4 g8492 (.Y(ar[9]),
.B1(arp),
.B0(ar1[9]),
.A1(n_41),
.A0(ar0[9]));
AO22X4 g8493 (.Y(ar[8]),
.B1(arp),
.B0(ar1[8]),
.A1(n_41),
.A0(ar0[8]));
NAND3BX4 g8494 (.Y(n_1377),
.C(n_21),
.B(ir[12]),
.AN(n_1357));
OAI2BB1X4 g8495 (.Y(n_1378),
.B0(sel_op_a[0]),
.A1N(n_44),
.A0N(sel_op_a[2]));
AOI222X4 g8496 (.Y(n_1379),
.C1(n_1353),
.C0(acc[14]),
.B1(n_1378),
.B0(data_out[14]),
.A1(n_1355),
.A0(top[14]));
AOI222X4 g8497 (.Y(n_1380),
.C1(n_1353),
.C0(acc[13]),
.B1(n_1378),
.B0(data_out[13]),
.A1(n_1355),
.A0(top[13]));
AOI222X4 g8498 (.Y(n_1381),
.C1(n_1355),
.C0(top[12]),
.B1(n_1378),
.B0(data_out[12]),
.A1(n_1353),
.A0(acc[12]));
AOI222X4 g8499 (.Y(n_1382),
.C1(n_1355),
.C0(top[11]),
.B1(n_1378),
.B0(data_out[11]),
.A1(n_1353),
.A0(acc[11]));
AOI222X4 g8500 (.Y(n_1383),
.C1(n_1355),
.C0(top[10]),
.B1(n_1353),
.B0(acc[10]),
.A1(n_1378),
.A0(data_out[10]));
AOI222X4 g8501 (.Y(n_1384),
.C1(n_1355),
.C0(top[9]),
.B1(n_1353),
.B0(acc[9]),
.A1(n_1378),
.A0(data_out[9]));
AOI222X4 g8502 (.Y(n_1385),
.C1(n_1355),
.C0(top[8]),
.B1(n_1378),
.B0(data_out[8]),
.A1(n_1353),
.A0(acc[8]));
AOI222X4 g8503 (.Y(n_1386),
.C1(n_124),
.C0(data_out[14]),
.B1(n_96),
.B0(data_out[15]),
.A1(n_18),
.A0(p[30]));
AOI222X4 g8504 (.Y(n_1387),
.C1(\se_shift_mdr[29]_444 ),
.C0(n_96),
.B1(n_18),
.B0(p[29]),
.A1(n_124),
.A0(data_out[13]));
AOI222X4 g8505 (.Y(n_1388),
.C1(\se_shift_mdr[28]_443 ),
.C0(n_96),
.B1(n_18),
.B0(p[28]),
.A1(n_124),
.A0(data_out[12]));
AOI222X4 g8506 (.Y(n_1389),
.C1(\se_shift_mdr[27]_442 ),
.C0(n_96),
.B1(n_18),
.B0(p[27]),
.A1(n_124),
.A0(data_out[11]));
AOI222X4 g8507 (.Y(n_1390),
.C1(\se_shift_mdr[26]_441 ),
.C0(n_96),
.B1(n_18),
.B0(p[26]),
.A1(n_124),
.A0(data_out[10]));
AOI222X4 g8508 (.Y(n_1391),
.C1(\se_shift_mdr[25]_440 ),
.C0(n_96),
.B1(n_18),
.B0(p[25]),
.A1(n_124),
.A0(data_out[9]));
AOI222X4 g8509 (.Y(n_1392),
.C1(\se_shift_mdr[24]_439 ),
.C0(n_96),
.B1(n_18),
.B0(p[24]),
.A1(n_124),
.A0(data_out[8]));
AOI222X4 g8510 (.Y(n_1393),
.C1(\se_shift_mdr[23]_438 ),
.C0(n_96),
.B1(n_18),
.B0(p[23]),
.A1(n_124),
.A0(data_out[7]));
AOI222X4 g8511 (.Y(n_1394),
.C1(\se_shift_mdr[22]_437 ),
.C0(n_96),
.B1(n_18),
.B0(p[22]),
.A1(n_124),
.A0(data_out[6]));
AOI222X4 g8512 (.Y(n_1395),
.C1(\se_shift_mdr[21]_436 ),
.C0(n_96),
.B1(n_18),
.B0(p[21]),
.A1(n_124),
.A0(data_out[5]));
AOI222X4 g8513 (.Y(n_1396),
.C1(\se_shift_mdr[20]_435 ),
.C0(n_96),
.B1(n_18),
.B0(p[20]),
.A1(n_124),
.A0(data_out[4]));
AOI222X4 g8514 (.Y(n_1397),
.C1(\se_shift_mdr[19]_434 ),
.C0(n_96),
.B1(n_18),
.B0(p[19]),
.A1(n_124),
.A0(data_out[3]));
AOI222X4 g8515 (.Y(n_1398),
.C1(\se_shift_mdr[18]_433 ),
.C0(n_96),
.B1(n_18),
.B0(p[18]),
.A1(n_124),
.A0(data_out[2]));
AOI222X4 g8516 (.Y(n_1399),
.C1(n_950),
.C0(n_96),
.B1(n_18),
.B0(p[17]),
.A1(n_124),
.A0(data_out[1]));
AOI222X4 g8517 (.Y(n_1400),
.C1(n_949),
.C0(n_96),
.B1(n_18),
.B0(p[16]),
.A1(n_124),
.A0(data_out[0]));
AOI2BB1X4 g8518 (.Y(n_1402),
.B0(n_1401),
.A1N(n_121),
.A0N(n_50));
NOR2BX4 g8519 (.Y(n_1401),
.B(ir[7]),
.AN(ir[4]));
AOI2BB1X4 g8520 (.Y(n_1404),
.B0(n_1403),
.A1N(n_122),
.A0N(n_50));
NOR2BX4 g8521 (.Y(n_1403),
.B(ir[7]),
.AN(ir[1]));
AOI2BB1X4 g8522 (.Y(n_1406),
.B0(n_1405),
.A1N(n_119),
.A0N(n_50));
NOR2BX4 g8523 (.Y(n_1405),
.B(ir[7]),
.AN(ir[0]));
AOI2BB1X4 g8524 (.Y(n_1408),
.B0(n_1407),
.A1N(n_120),
.A0N(n_50));
NOR2BX4 g8525 (.Y(n_1407),
.B(ir[7]),
.AN(ir[3]));
AOI2BB1X4 g8526 (.Y(n_1410),
.B0(n_1409),
.A1N(n_118),
.A0N(n_50));
NOR2BX4 g8527 (.Y(n_1409),
.B(ir[7]),
.AN(ir[5]));
endmodule
module CKLNQD1BWP_SPCA_19 (
E,
CP,
TE,
Q);
input E;
input CP;
input TE;
output Q;
TLATNTSCAX16 LATCH (.SE(TE),
.ECK(Q),
.E(E),
.CK(CP));
endmodule
module RC_CG_MOD_3291_3302 (
enable,
ck_in,
ck_out,
test);
input enable;
input ck_in;
output ck_out;
input test;
CKLNQD1BWP_SPCA_19 RC_CGIC_INST (.E(enable),
.CP(ck_in),
.TE(test),
.Q(ck_out));
endmodule
module SDFCNQD1BWP_SPCA_331 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_249 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_331 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_332 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_250 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_332 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_333 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_251 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_333 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_334 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_252 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_334 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_335 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_253 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_335 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_336 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
// Internal wires
wire LTIEHI_NET;
TIEHI LTIEHI (.Y(LTIEHI_NET));
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(LTIEHI_NET),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_254 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_336 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(1'b1));
endmodule
module SDFCNQD1BWP_SPCA_337 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_255 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_337 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_338 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_256 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_338 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module SDFCNQD1BWP_SPCA_339 (
SI,
SE,
Q,
D,
CP,
CDN);
input SI;
input SE;
output Q;
input D;
input CP;
input CDN;
SDFFRX4 DFF (.SI(SI),
.SE(SE),
.RN(CDN),
.Q(Q),
.D(D),
.CK(CP));
endmodule
module RSDFCSRHD2BWP_REMAP_SPC_257 (
CDN,
SDN,
CP,
D,
SI,
SE,
SAVE,
NRESTORE,
Q);
input CDN;
input SDN;
input CP;
input D;
input SI;
input SE;
input SAVE;
input NRESTORE;
output Q;
SDFCNQD1BWP_SPCA_339 state_remap (.SI(SI),
.SE(SE),
.Q(Q),
.D(D),
.CP(CP),
.CDN(CDN));
endmodule
module tdsp_core_mach (
samp_bio,
samp_int,
phi_1,
phi_2,
phi_3,
phi_4,
phi_5,
phi_6,
reset,
clk,
bus_request,
bus_grant,
bio,
int,
RC_CG_TEST_PORT,
SRPG_PG_in,
SRPG_PG_in_1,
DFT_sdi,
DFT_sen,
DFT_sdo);
output samp_bio;
output samp_int;
output phi_1;
output phi_2;
output phi_3;
output phi_4;
output phi_5;
output phi_6;
input reset;
input clk;
input bus_request;
input bus_grant;
input bio;
input int;
input RC_CG_TEST_PORT;
input SRPG_PG_in;
input SRPG_PG_in_1;
input DFT_sdi;
input DFT_sen;
output DFT_sdo;
// Internal wires
wire n_0;
wire n_1;
wire n_2;
wire n_3;
wire n_7;
wire n_8;
wire n_9;
wire n_10;
wire n_11;
wire n_13;
wire n_14;
wire n_15;
wire n_16;
wire n_19;
wire n_24;
wire n_25;
wire n_35;
wire n_41;
wire n_42;
wire n_44;
wire n_52;
wire n_56;
wire n_57;
wire n_58;
wire n_59;
wire n_60;
wire n_61;
wire n_62;
wire rc_gclk;
wire \tdsp_state[0] ;
RC_CG_MOD_3291_3302 RC_CG_HIER_INST29 (.enable(n_44),
.ck_in(clk),
.ck_out(rc_gclk),
.test(RC_CG_TEST_PORT));
RSDFCSRHD2BWP_REMAP_SPC_249 phi_1_reg (.CDN(n_24),
.SDN(1'b1),
.CP(rc_gclk),
.D(n_25),
.SI(DFT_sdi),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(phi_1));
RSDFCSRHD2BWP_REMAP_SPC_250 phi_3_reg (.CDN(n_24),
.SDN(1'b1),
.CP(rc_gclk),
.D(n_59),
.SI(phi_1),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(phi_3));
RSDFCSRHD2BWP_REMAP_SPC_251 phi_4_reg (.CDN(n_24),
.SDN(1'b1),
.CP(rc_gclk),
.D(n_41),
.SI(phi_3),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(phi_4));
RSDFCSRHD2BWP_REMAP_SPC_252 phi_5_reg (.CDN(n_24),
.SDN(1'b1),
.CP(rc_gclk),
.D(n_52),
.SI(phi_4),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(phi_5));
RSDFCSRHD2BWP_REMAP_SPC_253 phi_6_reg (.CDN(n_24),
.SDN(1'b1),
.CP(rc_gclk),
.D(n_42),
.SI(phi_5),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(phi_6));
RSDFCSRHD2BWP_REMAP_SPC_254 samp_bio_reg (.CDN(1'b1),
.SDN(1'b1),
.CP(clk),
.D(bio),
.SI(phi_6),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(samp_bio));
RSDFCSRHD2BWP_REMAP_SPC_255 \tdsp_state_reg[0] (.CDN(n_24),
.SDN(1'b1),
.CP(clk),
.D(n_62),
.SI(samp_bio),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(\tdsp_state[0] ));
RSDFCSRHD2BWP_REMAP_SPC_256 \tdsp_state_reg[1] (.CDN(n_24),
.SDN(1'b1),
.CP(clk),
.D(n_58),
.SI(\tdsp_state[0] ),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(n_35));
RSDFCSRHD2BWP_REMAP_SPC_257 \tdsp_state_reg[2] (.CDN(n_24),
.SDN(1'b1),
.CP(clk),
.D(n_57),
.SI(n_35),
.SE(DFT_sen),
.SAVE(1'b0),
.NRESTORE(1'b0),
.Q(DFT_sdo));
INVXL g112 (.Y(n_24),
.A(reset));
OR2X2 g359 (.Y(n_19),
.B(n_1),
.A(n_15));
CLKINVX2 g362 (.Y(n_44),
.A(n_2));
CLKINVX2 g364 (.Y(n_41),
.A(n_3));
CLKINVX1 g366 (.Y(n_16),
.A(n_15));
OR2X2 g367 (.Y(n_15),
.B(n_9),
.A(DFT_sdo));
AND2X1 g368 (.Y(n_52),
.B(n_0),
.A(DFT_sdo));
AND2X1 g369 (.Y(n_14),
.B(n_11),
.A(DFT_sdo));
AND2X1 g371 (.Y(n_13),
.B(n_10),
.A(n_8));
AND2X1 g372 (.Y(n_25),
.B(n_0),
.A(n_8));
AND2X1 g374 (.Y(n_42),
.B(n_10),
.A(DFT_sdo));
AND2X1 g379 (.Y(n_11),
.B(\tdsp_state[0] ),
.A(n_35));
AND2X1 g380 (.Y(n_10),
.B(n_7),
.A(\tdsp_state[0] ));
OR2X2 g382 (.Y(n_9),
.B(n_7),
.A(\tdsp_state[0] ));
CLKINVX1 g383 (.Y(n_8),
.A(DFT_sdo));
CLKINVX1 g385 (.Y(n_7),
.A(n_35));
NAND2BX4 g389 (.Y(n_3),
.B(n_11),
.AN(DFT_sdo));
NOR2BX4 g390 (.Y(n_2),
.B(n_9),
.AN(DFT_sdo));
NAND2BX1 g391 (.Y(n_1),
.B(bus_request),
.AN(bus_grant));
NOR2BX1 g392 (.Y(n_0),
.B(\tdsp_state[0] ),
.AN(n_7));
INVXL g394 (.Y(n_57),
.A(n_56));
NOR4BX4 g395 (.Y(n_56),
.D(n_52),
.C(n_41),
.B(n_2),
.AN(n_19));
OR4X8 g2 (.Y(n_58),
.D(n_13),
.C(n_14),
.B(n_16),
.A(n_2));
AO21X4 g396 (.Y(n_59),
.B0(n_14),
.A1(n_1),
.A0(n_16));
AO21X4 g397 (.Y(n_60),
.B0(n_44),
.A1(bus_grant),
.A0(bus_request));
NOR3X8 g398 (.Y(n_62),
.C(n_13),
.B(n_42),
.A(n_61));
NAND3X8 g399 (.Y(n_61),
.C(n_60),
.B(n_19),
.A(n_3));
endmodule
module tdsp_core (
clk,
reset,
as,
read,
write,
write_h,
address,
t_data_in,
t_data_out,
p_as,
p_read,
p_write,
p_write_h,
p_address,
rom_data_in,
rom_data_out,
bus_grant,
bus_request,
port_address,
port_pad_data_in,
port_pad_data_out,
port_as,
port_read,
port_write,
port_write_h,
t_sdi,
t_sdo,
bio,
int,
RC_CG_TEST_PORT,
SRPG_PG_in,
SRPG_PG_in_1,
DFT_sen);
input clk;
input reset;
output as;
output read;
output write;
output write_h;
output [7:0] address;
input [15:0] t_data_in;
output [15:0] t_data_out;
output p_as;
output p_read;
output p_write;
output p_write_h;
output [8:0] p_address;
input [15:0] rom_data_in;
output [15:0] rom_data_out;
input bus_grant;
output bus_request;
output [2:0] port_address;
input [15:0] port_pad_data_in;
output [15:0] port_pad_data_out;
output port_as;
output port_read;
output port_write;
output port_write_h;
input [2:0] t_sdi;
output [2:0] t_sdo;
input bio;
input int;
input RC_CG_TEST_PORT;
input SRPG_PG_in;
input SRPG_PG_in_1;
input DFT_sen;
// Internal wires
wire UNCONNECTED21;
wire UNCONNECTED22;
wire UNCONNECTED23;
wire UNCONNECTED24;
wire UNCONNECTED25;
wire UNCONNECTED26;
wire UNCONNECTED27;
wire UNCONNECTED28;
wire UNCONNECTED29;
wire UNCONNECTED30;
wire UNCONNECTED31;
wire UNCONNECTED32;
wire UNCONNECTED33;
wire UNCONNECTED34;
wire UNCONNECTED35;
wire UNCONNECTED36;
wire UNCONNECTED37;
wire UNCONNECTED38;
wire UNCONNECTED39;
wire UNCONNECTED40;
wire UNCONNECTED41;
wire UNCONNECTED42;
wire UNCONNECTED43;
wire UNCONNECTED44;
wire UNCONNECTED45;
wire UNCONNECTED46;
wire UNCONNECTED47;
wire UNCONNECTED48;
wire UNCONNECTED49;
wire UNCONNECTED50;
wire UNCONNECTED51;
wire UNCONNECTED52;
wire UNCONNECTED53;
wire UNCONNECTED54;
wire UNCONNECTED55;
wire UNCONNECTED56;
wire UNCONNECTED57;
wire UNCONNECTED58;
wire UNCONNECTED59;
wire UNCONNECTED60;
wire UNCONNECTED61;
wire UNCONNECTED62;
wire UNCONNECTED63;
wire UNCONNECTED64;
wire UNCONNECTED65;
wire UNCONNECTED66;
wire UNCONNECTED67;
wire UNCONNECTED68;
wire UNCONNECTED69;
wire UNCONNECTED70;
wire UNCONNECTED71;
wire UNCONNECTED72;
wire UNCONNECTED73;
wire UNCONNECTED74;
wire UNCONNECTED75;
wire UNCONNECTED76;
wire UNCONNECTED77;
wire UNCONNECTED78;
wire UNCONNECTED79;
wire UNCONNECTED80;
wire UNCONNECTED81;
wire UNCONNECTED82;
wire UNCONNECTED83;
wire UNCONNECTED84;
wire UNCONNECTED85;
wire UNCONNECTED86;
wire UNCONNECTED87;
wire UNCONNECTED88;
wire UNCONNECTED89;
wire UNCONNECTED90;
wire UNCONNECTED91;
wire UNCONNECTED92;
wire UNCONNECTED93;
wire UNCONNECTED94;
wire UNCONNECTED95;
wire UNCONNECTED96;
wire UNCONNECTED97;
wire UNCONNECTED98;
wire UNCONNECTED99;
wire UNCONNECTED100;
wire UNCONNECTED101;
wire UNCONNECTED102;
wire UNCONNECTED103;
wire UNCONNECTED104;
wire UNCONNECTED105;
wire UNCONNECTED106;
wire UNCONNECTED107;
wire UNCONNECTED108;
wire UNCONNECTED109;
wire UNCONNECTED110;
wire UNCONNECTED111;
wire UNCONNECTED112;
wire UNCONNECTED113;
wire UNCONNECTED114;
wire UNCONNECTED115;
wire UNCONNECTED116;
wire UNCONNECTED117;
wire UNCONNECTED118;
wire UNCONNECTED119;
wire UNCONNECTED120;
wire UNCONNECTED121;
wire UNCONNECTED122;
wire UNCONNECTED123;
wire UNCONNECTED124;
wire UNCONNECTED125;
wire UNCONNECTED126;
wire UNCONNECTED127;
wire UNCONNECTED128;
wire UNCONNECTED129;
wire UNCONNECTED130;
wire UNCONNECTED131;
wire UNCONNECTED132;
wire UNCONNECTED133;
wire UNCONNECTED134;
wire UNCONNECTED135;
wire UNCONNECTED136;
wire UNCONNECTED137;
wire UNCONNECTED138;
wire UNCONNECTED139;
wire UNCONNECTED140;
wire UNCONNECTED141;
wire UNCONNECTED142;
wire UNCONNECTED143;
wire UNCONNECTED144;
wire UNCONNECTED145;
wire UNCONNECTED146;
wire UNCONNECTED147;
wire UNCONNECTED148;
wire UNCONNECTED149;
wire UNCONNECTED150;
wire UNCONNECTED151;
wire UNCONNECTED152;
wire UNCONNECTED153;
wire UNCONNECTED154;
wire UNCONNECTED155;
wire UNCONNECTED156;
wire UNCONNECTED157;
wire UNCONNECTED158;
wire UNCONNECTED159;
wire UNCONNECTED160;
wire UNCONNECTED161;
wire UNCONNECTED162;
wire UNCONNECTED163;
wire UNCONNECTED164;
wire UNCONNECTED165;
wire UNCONNECTED166;
wire UNCONNECTED167;
wire UNCONNECTED168;
wire UNCONNECTED169;
wire UNCONNECTED170;
wire UNCONNECTED171;
wire UNCONNECTED172;
wire UNCONNECTED173;
wire UNCONNECTED174;
wire UNCONNECTED175;
wire UNCONNECTED176;
wire UNCONNECTED177;
wire UNCONNECTED178;
wire UNCONNECTED179;
wire UNCONNECTED180;
wire UNCONNECTED181;
wire UNCONNECTED182;
wire UNCONNECTED183;
wire UNCONNECTED184;
wire UNCONNECTED185;
wire UNCONNECTED186;
wire UNCONNECTED187;
wire UNCONNECTED188;
wire UNCONNECTED189;
wire UNCONNECTED190;
wire UNCONNECTED191;
wire UNCONNECTED192;
wire UNCONNECTED193;
wire UNCONNECTED194;
wire UNCONNECTED195;
wire UNCONNECTED196;
wire UNCONNECTED197;
wire UNCONNECTED198;
wire UNCONNECTED199;
wire UNCONNECTED200;
wire UNCONNECTED201;
wire UNCONNECTED202;
wire UNCONNECTED203;
wire UNCONNECTED204;
wire UNCONNECTED205;
wire UNCONNECTED206;
wire UNCONNECTED207;
wire UNCONNECTED208;
wire UNCONNECTED209;
wire UNCONNECTED210;
wire UNCONNECTED211;
wire UNCONNECTED212;
wire UNCONNECTED213;
wire UNCONNECTED214;
wire UNCONNECTED215;
wire UNCONNECTED216;
wire UNCONNECTED217;
wire UNCONNECTED218;
wire UNCONNECTED219;
wire UNCONNECTED220;
wire UNCONNECTED221;
wire UNCONNECTED222;
wire UNCONNECTED223;
wire UNCONNECTED224;
wire UNCONNECTED225;
wire UNCONNECTED226;
wire UNCONNECTED227;
wire UNCONNECTED228;
wire UNCONNECTED229;
wire UNCONNECTED230;
wire UNCONNECTED231;
wire UNCONNECTED232;
wire UNCONNECTED233;
wire UNCONNECTED234;
wire UNCONNECTED235;
wire UNCONNECTED236;
wire UNCONNECTED237;
wire UNCONNECTED238;
wire UNCONNECTED239;
wire UNCONNECTED240;
wire UNCONNECTED241;
wire UNCONNECTED242;
wire UNCONNECTED243;
wire UNCONNECTED244;
wire UNCONNECTED245;
wire UNCONNECTED246;
wire UNCONNECTED247;
wire UNCONNECTED248;
wire UNCONNECTED249;
wire UNCONNECTED250;
wire UNCONNECTED251;
wire UNCONNECTED252;
wire UNCONNECTED253;
wire UNCONNECTED254;
wire UNCONNECTED255;
wire UNCONNECTED256;
wire UNCONNECTED257;
wire UNCONNECTED258;
wire UNCONNECTED259;
wire UNCONNECTED260;
wire UNCONNECTED261;
wire UNCONNECTED262;
wire UNCONNECTED263;
wire UNCONNECTED264;
wire UNCONNECTED265;
wire UNCONNECTED266;
wire UNCONNECTED267;
wire UNCONNECTED268;
wire UNCONNECTED269;
wire UNCONNECTED270;
wire UNCONNECTED271;
wire UNCONNECTED272;
wire UNCONNECTED273;
wire UNCONNECTED274;
wire UNCONNECTED275;
wire UNCONNECTED276;
wire UNCONNECTED277;
wire UNCONNECTED278;
wire UNCONNECTED279;
wire UNCONNECTED280;
wire UNCONNECTED281;
wire UNCONNECTED282;
wire UNCONNECTED283;
wire UNCONNECTED284;
wire UNCONNECTED285;
wire UNCONNECTED286;
wire UNCONNECTED287;
wire UNCONNECTED288;
wire UNCONNECTED289;
wire UNCONNECTED290;
wire UNCONNECTED291;
wire UNCONNECTED292;
wire UNCONNECTED293;
wire \acc[0] ;
wire \acc[1] ;
wire \acc[2] ;
wire \acc[3] ;
wire \acc[4] ;
wire \acc[5] ;
wire \acc[6] ;
wire \acc[7] ;
wire \acc[8] ;
wire \acc[9] ;
wire \acc[10] ;
wire \acc[11] ;
wire \acc[12] ;
wire \acc[13] ;
wire \acc[14] ;
wire \acc[15] ;
wire \acc[16] ;
wire \acc[17] ;
wire \acc[18] ;
wire \acc[19] ;
wire \acc[20] ;
wire \acc[21] ;
wire \acc[23] ;
wire \acc[24] ;
wire \acc[25] ;
wire \acc[26] ;
wire \acc[27] ;
wire \acc[28] ;
wire \acc[29] ;
wire \acc[30] ;
wire \acc[31] ;
wire \alu_cmd[0] ;
wire \alu_cmd[1] ;
wire \alu_cmd[2] ;
wire \alu_result[0] ;
wire \alu_result[1] ;
wire \alu_result[2] ;
wire \alu_result[3] ;
wire \alu_result[4] ;
wire \alu_result[5] ;
wire \alu_result[6] ;
wire \alu_result[7] ;
wire \alu_result[8] ;
wire \alu_result[9] ;
wire \alu_result[10] ;
wire \alu_result[11] ;
wire \alu_result[12] ;
wire \alu_result[13] ;
wire \alu_result[14] ;
wire \alu_result[15] ;
wire \alu_result[16] ;
wire \alu_result[17] ;
wire \alu_result[18] ;
wire \alu_result[19] ;
wire \alu_result[20] ;
wire \alu_result[21] ;
wire \alu_result[22] ;
wire \alu_result[23] ;
wire \alu_result[24] ;
wire \alu_result[25] ;
wire \alu_result[26] ;
wire \alu_result[27] ;
wire \alu_result[28] ;
wire \alu_result[29] ;
wire \alu_result[30] ;
wire \alu_result[31] ;
wire \alu_result[32] ;
wire \ar0[0] ;
wire \ar0[1] ;
wire \ar0[2] ;
wire \ar0[3] ;
wire \ar0[4] ;
wire \ar0[5] ;
wire \ar0[6] ;
wire \ar0[7] ;
wire \ar0[8] ;
wire \ar0[9] ;
wire \ar0[10] ;
wire \ar0[11] ;
wire \ar0[12] ;
wire \ar0[13] ;
wire \ar0[14] ;
wire \ar0[15] ;
wire \ar1[0] ;
wire \ar1[1] ;
wire \ar1[2] ;
wire \ar1[3] ;
wire \ar1[4] ;
wire \ar1[5] ;
wire \ar1[6] ;
wire \ar1[7] ;
wire \ar1[8] ;
wire \ar1[9] ;
wire \ar1[10] ;
wire \ar1[11] ;
wire \ar1[12] ;
wire \ar1[13] ;
wire \ar1[14] ;
wire \ar1[15] ;
wire \ar[0] ;
wire \ar[1] ;
wire \ar[2] ;
wire \ar[3] ;
wire \ar[4] ;
wire \ar[5] ;
wire \ar[6] ;
wire \ar[7] ;
wire \ar[8] ;
wire \ar[9] ;
wire \ar[10] ;
wire \ar[11] ;
wire \ar[12] ;
wire \ar[13] ;
wire \ar[14] ;
wire \ar[15] ;
wire arnz;
wire arp;
wire dec_go_data;
wire dec_go_port;
wire dec_go_prog;
wire dec_read_data;
wire dec_read_port;
wire dec_read_prog;
wire \decode[0] ;
wire \decode[1] ;
wire \decode[2] ;
wire \decode[3] ;
wire \decode[4] ;
wire \decode[5] ;
wire \decode[6] ;
wire \decode[7] ;
wire \decode[8] ;
wire dmov_inc;
wire dp;
wire enc_go_data;
wire enc_go_port;
wire enc_go_prog;
wire enc_read_prog;
wire go_data;
wire go_port;
wire go_prog;
wire \ir[0] ;
wire \ir[1] ;
wire \ir[2] ;
wire \ir[3] ;
wire \ir[4] ;
wire \ir[5] ;
wire \ir[6] ;
wire \ir[7] ;
wire \ir[11] ;
wire \ir[12] ;
wire \ir[13] ;
wire \ir[14] ;
wire \ir[15] ;
wire lez;
wire \mpy_result[0] ;
wire \mpy_result[1] ;
wire \mpy_result[2] ;
wire \mpy_result[3] ;
wire \mpy_result[4] ;
wire \mpy_result[5] ;
wire \mpy_result[6] ;
wire \mpy_result[7] ;
wire \mpy_result[8] ;
wire \mpy_result[9] ;
wire \mpy_result[10] ;
wire \mpy_result[11] ;
wire \mpy_result[12] ;
wire \mpy_result[13] ;
wire \mpy_result[14] ;
wire \mpy_result[15] ;
wire \mpy_result[16] ;
wire \mpy_result[17] ;
wire \mpy_result[18] ;
wire \mpy_result[19] ;
wire \mpy_result[20] ;
wire \mpy_result[21] ;
wire \mpy_result[22] ;
wire \mpy_result[23] ;
wire \mpy_result[24] ;
wire \mpy_result[25] ;
wire \mpy_result[26] ;
wire \mpy_result[27] ;
wire \mpy_result[28] ;
wire \mpy_result[29] ;
wire \mpy_result[30] ;
wire \mpy_result[31] ;
wire n_0;
wire n_20;
wire n_21;
wire n_23;
wire n_26;
wire \opa[0][0] ;
wire \opa[0][1] ;
wire \opa[0][2] ;
wire \opa[0][3] ;
wire \opa[0][4] ;
wire \opa[0][5] ;
wire \opa[0][6] ;
wire \opa[0][7] ;
wire \opa[0][8] ;
wire \opa[0][9] ;
wire \opa[0][10] ;
wire \opa[0][11] ;
wire \opa[0][12] ;
wire \opa[0][13] ;
wire \opa[0][14] ;
wire \opa[0][15] ;
wire \opa[16] ;
wire \opa[17] ;
wire \opa[18] ;
wire \opa[19] ;
wire \opa[20] ;
wire \opa[21] ;
wire \opa[22] ;
wire \opa[23] ;
wire \opa[24] ;
wire \opa[25] ;
wire \opa[26] ;
wire \opa[27] ;
wire \opa[28] ;
wire \opa[29] ;
wire \opa[30] ;
wire \opa[31] ;
wire \opb[0][0] ;
wire \opb[0][1] ;
wire \opb[0][2] ;
wire \opb[0][3] ;
wire \opb[0][4] ;
wire \opb[0][5] ;
wire \opb[0][6] ;
wire \opb[0][7] ;
wire \opb[0][8] ;
wire \opb[0][9] ;
wire \opb[0][10] ;
wire \opb[0][11] ;
wire \opb[0][12] ;
wire \opb[0][13] ;
wire \opb[0][14] ;
wire \opb[0][15] ;
wire \opb[16] ;
wire \opb[17] ;
wire \opb[18] ;
wire \opb[19] ;
wire \opb[20] ;
wire \opb[21] ;
wire \opb[22] ;
wire \opb[23] ;
wire \opb[24] ;
wire \opb[25] ;
wire \opb[26] ;
wire \opb[27] ;
wire \opb[28] ;
wire \opb[29] ;
wire \opb[30] ;
wire \opb[31] ;
wire ovm;
wire \p[0] ;
wire \p[1] ;
wire \p[2] ;
wire \p[3] ;
wire \p[4] ;
wire \p[5] ;
wire \p[6] ;
wire \p[7] ;
wire \p[8] ;
wire \p[9] ;
wire \p[10] ;
wire \p[11] ;
wire \p[12] ;
wire \p[13] ;
wire \p[14] ;
wire \p[15] ;
wire \p[16] ;
wire \p[17] ;
wire \p[18] ;
wire \p[19] ;
wire \p[20] ;
wire \p[21] ;
wire \p[22] ;
wire \p[23] ;
wire \p[24] ;
wire \p[25] ;
wire \p[26] ;
wire \p[27] ;
wire \p[28] ;
wire \p[29] ;
wire \p[30] ;
wire \p_data_out[0] ;
wire \p_data_out[1] ;
wire \p_data_out[2] ;
wire \p_data_out[3] ;
wire \p_data_out[4] ;
wire \p_data_out[5] ;
wire \p_data_out[6] ;
wire \p_data_out[7] ;
wire \p_data_out[8] ;
wire \p_data_out[9] ;
wire \p_data_out[10] ;
wire \p_data_out[11] ;
wire \p_data_out[12] ;
wire \p_data_out[13] ;
wire \p_data_out[14] ;
wire \p_data_out[15] ;
wire \pc[0] ;
wire \pc[1] ;
wire \pc[2] ;
wire \pc[3] ;
wire \pc[4] ;
wire \pc[5] ;
wire \pc[6] ;
wire \pc[7] ;
wire \pc[8] ;
wire pc_acc;
wire phi_1;
wire phi_3;
wire phi_4;
wire phi_5;
wire phi_6;
wire \port_data_out[0] ;
wire \port_data_out[1] ;
wire \port_data_out[2] ;
wire \port_data_out[3] ;
wire \port_data_out[4] ;
wire \port_data_out[5] ;
wire \port_data_out[6] ;
wire \port_data_out[7] ;
wire \port_data_out[8] ;
wire \port_data_out[9] ;
wire \port_data_out[10] ;
wire \port_data_out[11] ;
wire \port_data_out[12] ;
wire \port_data_out[13] ;
wire \port_data_out[14] ;
wire \port_data_out[15] ;
wire read_prog;
wire samp_bio;
wire \sel_op_a[0] ;
wire \sel_op_a[1] ;
wire \sel_op_a[2] ;
wire \sel_op_b[0] ;
wire \sel_op_b[1] ;
wire \sel_op_b[2] ;
wire skip_one;
wire \top[0] ;
wire \top[1] ;
wire \top[2] ;
wire \top[3] ;
wire \top[4] ;
wire \top[5] ;
wire \top[6] ;
wire \top[7] ;
wire \top[8] ;
wire \top[9] ;
wire \top[10] ;
wire \top[11] ;
wire \top[12] ;
wire \top[13] ;
wire \top[14] ;
wire \top[15] ;
wire z;
accum_stat ACCUM_STAT_INST (.accum({ 1'b0,
\acc[31] ,
\acc[30] ,
\acc[29] ,
\acc[28] ,
\acc[27] ,
\acc[26] ,
\acc[25] ,
\acc[24] ,
\acc[23] ,
t_sdo[0],
\acc[21] ,
\acc[20] ,
\acc[19] ,
\acc[18] ,
\acc[17] ,
\acc[16] ,
\acc[15] ,
\acc[14] ,
\acc[13] ,
\acc[12] ,
\acc[11] ,
\acc[10] ,
\acc[9] ,
\acc[8] ,
\acc[7] ,
\acc[6] ,
\acc[5] ,
\acc[4] ,
\acc[3] ,
\acc[2] ,
\acc[1] ,
\acc[0] }),
.ar({ \ar[15] ,
\ar[14] ,
\ar[13] ,
\ar[12] ,
\ar[11] ,
\ar[10] ,
\ar[9] ,
\ar[8] ,
\ar[7] ,
\ar[6] ,
\ar[5] ,
\ar[4] ,
\ar[3] ,
\ar[2] ,
\ar[1] ,
\ar[0] }),
.bio(1'b0),
.gez(UNCONNECTED21),
.gz(UNCONNECTED22),
.nz(UNCONNECTED23),
.z(z),
.lz(UNCONNECTED24),
.lez(lez),
.ov(1'b0),
.arnz(arnz),
.bioz(UNCONNECTED25));
alu_32 ALU_32_INST (.ovm(ovm),
.op_a({ \opa[31] ,
\opa[30] ,
\opa[29] ,
\opa[28] ,
\opa[27] ,
\opa[26] ,
\opa[25] ,
\opa[24] ,
\opa[23] ,
\opa[22] ,
\opa[21] ,
\opa[20] ,
\opa[19] ,
\opa[18] ,
\opa[17] ,
\opa[16] ,
\opa[0][15] ,
\opa[0][14] ,
\opa[0][13] ,
\opa[0][12] ,
\opa[0][11] ,
\opa[0][10] ,
\opa[0][9] ,
\opa[0][8] ,
\opa[0][7] ,
\opa[0][6] ,
\opa[0][5] ,
\opa[0][4] ,
\opa[0][3] ,
\opa[0][2] ,
\opa[0][1] ,
\opa[0][0] }),
.op_b({ \opb[31] ,
\opb[30] ,
\opb[29] ,
\opb[28] ,
\opb[27] ,
\opb[26] ,
\opb[25] ,
\opb[24] ,
\opb[23] ,
\opb[22] ,
\opb[21] ,
\opb[20] ,
\opb[19] ,
\opb[18] ,
\opb[17] ,
\opb[16] ,
\opb[0][15] ,
\opb[0][14] ,
\opb[0][13] ,
\opb[0][12] ,
\opb[0][11] ,
\opb[0][10] ,
\opb[0][9] ,
\opb[0][8] ,
\opb[0][7] ,
\opb[0][6] ,
\opb[0][5] ,
\opb[0][4] ,
\opb[0][3] ,
\opb[0][2] ,
\opb[0][1] ,
\opb[0][0] }),
.result({ \alu_result[32] ,
\alu_result[31] ,
\alu_result[30] ,
\alu_result[29] ,
\alu_result[28] ,
\alu_result[27] ,
\alu_result[26] ,
\alu_result[25] ,
\alu_result[24] ,
\alu_result[23] ,
\alu_result[22] ,
\alu_result[21] ,
\alu_result[20] ,
\alu_result[19] ,
\alu_result[18] ,
\alu_result[17] ,
\alu_result[16] ,
\alu_result[15] ,
\alu_result[14] ,
\alu_result[13] ,
\alu_result[12] ,
\alu_result[11] ,
\alu_result[10] ,
\alu_result[9] ,
\alu_result[8] ,
\alu_result[7] ,
\alu_result[6] ,
\alu_result[5] ,
\alu_result[4] ,
\alu_result[3] ,
\alu_result[2] ,
\alu_result[1] ,
\alu_result[0] }),
.cmd({ 1'b0,
\alu_cmd[2] ,
\alu_cmd[1] ,
\alu_cmd[0] }));
data_bus_mach DATA_BUS_MACH_INST (.clk(clk),
.reset(reset),
.read(read),
.write(write),
.write_h(UNCONNECTED26),
.address({ UNCONNECTED27,
UNCONNECTED28,
UNCONNECTED29,
UNCONNECTED30,
UNCONNECTED31,
UNCONNECTED32,
UNCONNECTED33,
UNCONNECTED34 }),
.data_in({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0 }),
.data_out(port_pad_data_out),
.pad_data_in(t_data_in),
.pad_data_out({ UNCONNECTED35,
UNCONNECTED36,
UNCONNECTED37,
UNCONNECTED38,
UNCONNECTED39,
UNCONNECTED40,
UNCONNECTED41,
UNCONNECTED42,
UNCONNECTED43,
UNCONNECTED44,
UNCONNECTED45,
UNCONNECTED46,
UNCONNECTED47,
UNCONNECTED48,
UNCONNECTED49,
UNCONNECTED50 }),
.addrs_in({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0 }),
.read_cycle(dec_read_data),
.sync(1'b0),
.go(go_data),
.as(as),
.done(UNCONNECTED51),
.bus_request(bus_request),
.bus_grant(bus_grant),
.RC_CG_TEST_PORT(RC_CG_TEST_PORT),
.SRPG_PG_in(1'b0),
.SRPG_PG_in_1(1'b0),
.DFT_sdi(t_sdi[0]),
.DFT_sen(DFT_sen));
decode_i DECODE_INST (.clk(clk),
.reset(reset),
.phi_1(1'b0),
.phi_2(1'b0),
.phi_3(phi_3),
.phi_4(1'b0),
.phi_5(1'b0),
.phi_6(phi_6),
.decode({ UNCONNECTED52,
UNCONNECTED53,
UNCONNECTED54,
UNCONNECTED55,
UNCONNECTED56,
UNCONNECTED57,
UNCONNECTED58,
\decode[8] ,
\decode[7] ,
\decode[6] ,
\decode[5] ,
\decode[4] ,
\decode[3] ,
\decode[2] ,
\decode[1] ,
\decode[0] }),
.p_data_out({ \p_data_out[15] ,
\p_data_out[14] ,
\p_data_out[13] ,
\p_data_out[12] ,
\p_data_out[11] ,
\p_data_out[10] ,
\p_data_out[9] ,
\p_data_out[8] ,
\p_data_out[7] ,
\p_data_out[6] ,
\p_data_out[5] ,
\p_data_out[4] ,
\p_data_out[3] ,
\p_data_out[2] ,
\p_data_out[1] ,
\p_data_out[0] }),
.ir({ \ir[15] ,
\ir[14] ,
\ir[13] ,
\ir[12] ,
\ir[11] ,
port_address,
\ir[7] ,
\ir[6] ,
\ir[5] ,
\ir[4] ,
\ir[3] ,
\ir[2] ,
\ir[1] ,
\ir[0] }),
.skip_one(skip_one),
.read_prog(dec_read_prog),
.go_prog(dec_go_prog),
.read_data(dec_read_data),
.go_data(dec_go_data),
.read_port(dec_read_port),
.go_port(dec_go_port),
.decode_skip_one(UNCONNECTED59),
.RC_CG_TEST_PORT(RC_CG_TEST_PORT),
.SRPG_PG_in(1'b0),
.SRPG_PG_in_1(1'b0),
.DFT_sdi(write),
.DFT_sen(DFT_sen),
.DFT_sdo(n_26));
execute_i EXECUTE_INST (.clk(clk),
.reset(reset),
.phi_1(phi_1),
.phi_2(1'b0),
.phi_3(phi_3),
.phi_4(phi_4),
.phi_5(phi_5),
.phi_6(phi_6),
.decode_skip_one(1'b0),
.gez(1'b0),
.gz(1'b0),
.nz(1'b0),
.z(z),
.lz(1'b0),
.lez(lez),
.ov(UNCONNECTED60),
.arnz(arnz),
.bioz(n_0),
.alu_result({ \alu_result[32] ,
\alu_result[31] ,
\alu_result[30] ,
\alu_result[29] ,
\alu_result[28] ,
\alu_result[27] ,
\alu_result[26] ,
\alu_result[25] ,
\alu_result[24] ,
\alu_result[23] ,
\alu_result[22] ,
\alu_result[21] ,
\alu_result[20] ,
\alu_result[19] ,
\alu_result[18] ,
\alu_result[17] ,
\alu_result[16] ,
\alu_result[15] ,
\alu_result[14] ,
\alu_result[13] ,
\alu_result[12] ,
\alu_result[11] ,
\alu_result[10] ,
\alu_result[9] ,
\alu_result[8] ,
\alu_result[7] ,
\alu_result[6] ,
\alu_result[5] ,
\alu_result[4] ,
\alu_result[3] ,
\alu_result[2] ,
\alu_result[1] ,
\alu_result[0] }),
.mpy_result({ \mpy_result[31] ,
\mpy_result[30] ,
\mpy_result[29] ,
\mpy_result[28] ,
\mpy_result[27] ,
\mpy_result[26] ,
\mpy_result[25] ,
\mpy_result[24] ,
\mpy_result[23] ,
\mpy_result[22] ,
\mpy_result[21] ,
\mpy_result[20] ,
\mpy_result[19] ,
\mpy_result[18] ,
\mpy_result[17] ,
\mpy_result[16] ,
\mpy_result[15] ,
\mpy_result[14] ,
\mpy_result[13] ,
\mpy_result[12] ,
\mpy_result[11] ,
\mpy_result[10] ,
\mpy_result[9] ,
\mpy_result[8] ,
\mpy_result[7] ,
\mpy_result[6] ,
\mpy_result[5] ,
\mpy_result[4] ,
\mpy_result[3] ,
\mpy_result[2] ,
\mpy_result[1] ,
\mpy_result[0] }),
.mdr(port_pad_data_out),
.pdr({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0 }),
.ir({ \ir[15] ,
\ir[14] ,
\ir[13] ,
\ir[12] ,
\ir[11] ,
port_address,
\ir[7] ,
\ir[6] ,
\ir[5] ,
\ir[4] ,
\ir[3] ,
\ir[2] ,
\ir[1] ,
\ir[0] }),
.decode({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
\decode[8] ,
\decode[7] ,
\decode[6] ,
\decode[5] ,
\decode[4] ,
\decode[3] ,
\decode[2] ,
\decode[1] ,
\decode[0] }),
.ar({ \ar[15] ,
\ar[14] ,
\ar[13] ,
\ar[12] ,
\ar[11] ,
\ar[10] ,
\ar[9] ,
\ar[8] ,
\ar[7] ,
\ar[6] ,
\ar[5] ,
\ar[4] ,
\ar[3] ,
\ar[2] ,
\ar[1] ,
\ar[0] }),
.skip_one(skip_one),
.fetch_branch(UNCONNECTED61),
.branch_stall(UNCONNECTED62),
.pc_acc(pc_acc),
.dmov_inc(dmov_inc),
.dp(dp),
.arp(arp),
.ar0({ \ar0[15] ,
\ar0[14] ,
\ar0[13] ,
\ar0[12] ,
\ar0[11] ,
\ar0[10] ,
\ar0[9] ,
\ar0[8] ,
\ar0[7] ,
\ar0[6] ,
\ar0[5] ,
\ar0[4] ,
\ar0[3] ,
\ar0[2] ,
\ar0[1] ,
\ar0[0] }),
.ar1({ \ar1[15] ,
\ar1[14] ,
\ar1[13] ,
\ar1[12] ,
\ar1[11] ,
\ar1[10] ,
\ar1[9] ,
\ar1[8] ,
\ar1[7] ,
\ar1[6] ,
\ar1[5] ,
\ar1[4] ,
\ar1[3] ,
\ar1[2] ,
\ar1[1] ,
\ar1[0] }),
.pc({ UNCONNECTED63,
UNCONNECTED64,
UNCONNECTED65,
UNCONNECTED66,
UNCONNECTED67,
UNCONNECTED68,
UNCONNECTED69,
\pc[8] ,
\pc[7] ,
\pc[6] ,
\pc[5] ,
\pc[4] ,
\pc[3] ,
\pc[2] ,
\pc[1] ,
\pc[0] }),
.acc({ UNCONNECTED70,
\acc[31] ,
\acc[30] ,
\acc[29] ,
\acc[28] ,
\acc[27] ,
\acc[26] ,
\acc[25] ,
\acc[24] ,
\acc[23] ,
t_sdo[0],
\acc[21] ,
\acc[20] ,
\acc[19] ,
\acc[18] ,
\acc[17] ,
\acc[16] ,
\acc[15] ,
\acc[14] ,
\acc[13] ,
\acc[12] ,
\acc[11] ,
\acc[10] ,
\acc[9] ,
\acc[8] ,
\acc[7] ,
\acc[6] ,
\acc[5] ,
\acc[4] ,
\acc[3] ,
\acc[2] ,
\acc[1] ,
\acc[0] }),
.p({ t_sdo[1],
\p[30] ,
\p[29] ,
\p[28] ,
\p[27] ,
\p[26] ,
\p[25] ,
\p[24] ,
\p[23] ,
\p[22] ,
\p[21] ,
\p[20] ,
\p[19] ,
\p[18] ,
\p[17] ,
\p[16] ,
\p[15] ,
\p[14] ,
\p[13] ,
\p[12] ,
\p[11] ,
\p[10] ,
\p[9] ,
\p[8] ,
\p[7] ,
\p[6] ,
\p[5] ,
\p[4] ,
\p[3] ,
\p[2] ,
\p[1] ,
\p[0] }),
.top({ \top[15] ,
\top[14] ,
\top[13] ,
\top[12] ,
\top[11] ,
\top[10] ,
\top[9] ,
\top[8] ,
\top[7] ,
\top[6] ,
\top[5] ,
\top[4] ,
\top[3] ,
\top[2] ,
\top[1] ,
\top[0] }),
.alu_cmd({ UNCONNECTED71,
\alu_cmd[2] ,
\alu_cmd[1] ,
\alu_cmd[0] }),
.sel_op_a({ \sel_op_a[2] ,
\sel_op_a[1] ,
\sel_op_a[0] }),
.sel_op_b({ \sel_op_b[2] ,
\sel_op_b[1] ,
\sel_op_b[0] }),
.read_prog(enc_read_prog),
.go_prog(enc_go_prog),
.read_data(UNCONNECTED72),
.go_data(enc_go_data),
.read_port(UNCONNECTED73),
.go_port(enc_go_port),
.ovm(ovm),
.RC_CG_TEST_PORT(RC_CG_TEST_PORT),
.SRPG_PG_in(1'b0),
.SRPG_PG_in_1(1'b0),
.DFT_sdi(n_26),
.DFT_sen(DFT_sen),
.DFT_sdi_2(t_sdi[1]),
.DFT_sdi_3(t_sdi[2]),
.DFT_sdo(n_23));
mult_32 MPY_32_INST (.ovm(1'b0),
.op_a({ \opa[0][15] ,
\opa[0][14] ,
\opa[0][13] ,
\opa[0][12] ,
\opa[0][11] ,
\opa[0][10] ,
\opa[0][9] ,
\opa[0][8] ,
\opa[0][7] ,
\opa[0][6] ,
\opa[0][5] ,
\opa[0][4] ,
\opa[0][3] ,
\opa[0][2] ,
\opa[0][1] ,
\opa[0][0] }),
.op_b({ \opb[0][15] ,
\opb[0][14] ,
\opb[0][13] ,
\opb[0][12] ,
\opb[0][11] ,
\opb[0][10] ,
\opb[0][9] ,
\opb[0][8] ,
\opb[0][7] ,
\opb[0][6] ,
\opb[0][5] ,
\opb[0][4] ,
\opb[0][3] ,
\opb[0][2] ,
\opb[0][1] ,
\opb[0][0] }),
.result({ \mpy_result[31] ,
\mpy_result[30] ,
\mpy_result[29] ,
\mpy_result[28] ,
\mpy_result[27] ,
\mpy_result[26] ,
\mpy_result[25] ,
\mpy_result[24] ,
\mpy_result[23] ,
\mpy_result[22] ,
\mpy_result[21] ,
\mpy_result[20] ,
\mpy_result[19] ,
\mpy_result[18] ,
\mpy_result[17] ,
\mpy_result[16] ,
\mpy_result[15] ,
\mpy_result[14] ,
\mpy_result[13] ,
\mpy_result[12] ,
\mpy_result[11] ,
\mpy_result[10] ,
\mpy_result[9] ,
\mpy_result[8] ,
\mpy_result[7] ,
\mpy_result[6] ,
\mpy_result[5] ,
\mpy_result[4] ,
\mpy_result[3] ,
\mpy_result[2] ,
\mpy_result[1] ,
\mpy_result[0] }));
port_bus_mach PORT_BUS_MACH_INST (.clk(clk),
.reset(reset),
.read(UNCONNECTED74),
.write(UNCONNECTED75),
.write_h(UNCONNECTED76),
.address({ UNCONNECTED77,
UNCONNECTED78,
UNCONNECTED79 }),
.data_in({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0 }),
.data_out({ \port_data_out[15] ,
\port_data_out[14] ,
\port_data_out[13] ,
\port_data_out[12] ,
\port_data_out[11] ,
\port_data_out[10] ,
\port_data_out[9] ,
\port_data_out[8] ,
\port_data_out[7] ,
\port_data_out[6] ,
\port_data_out[5] ,
\port_data_out[4] ,
\port_data_out[3] ,
\port_data_out[2] ,
\port_data_out[1] ,
\port_data_out[0] }),
.pad_data_in(port_pad_data_in),
.pad_data_out({ UNCONNECTED80,
UNCONNECTED81,
UNCONNECTED82,
UNCONNECTED83,
UNCONNECTED84,
UNCONNECTED85,
UNCONNECTED86,
UNCONNECTED87,
UNCONNECTED88,
UNCONNECTED89,
UNCONNECTED90,
UNCONNECTED91,
UNCONNECTED92,
UNCONNECTED93,
UNCONNECTED94,
UNCONNECTED95 }),
.addrs_in({ 1'b0,
1'b0,
1'b0 }),
.read_cycle(dec_read_port),
.sync(1'b0),
.go(go_port),
.as(port_as),
.done(UNCONNECTED96),
.RC_CG_TEST_PORT(RC_CG_TEST_PORT),
.SRPG_PG_in(1'b0),
.SRPG_PG_in_1(1'b0),
.DFT_sdi(n_23),
.DFT_sen(DFT_sen),
.DFT_sdo(n_21));
prog_bus_mach PROG_BUS_MACH_INST (.clk(clk),
.reset(reset),
.read(UNCONNECTED97),
.write(UNCONNECTED98),
.write_h(UNCONNECTED99),
.address({ UNCONNECTED100,
UNCONNECTED101,
UNCONNECTED102,
UNCONNECTED103,
UNCONNECTED104,
UNCONNECTED105,
UNCONNECTED106,
UNCONNECTED107,
UNCONNECTED108 }),
.data_in({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0 }),
.data_out({ \p_data_out[15] ,
\p_data_out[14] ,
\p_data_out[13] ,
\p_data_out[12] ,
\p_data_out[11] ,
\p_data_out[10] ,
\p_data_out[9] ,
\p_data_out[8] ,
\p_data_out[7] ,
\p_data_out[6] ,
\p_data_out[5] ,
\p_data_out[4] ,
\p_data_out[3] ,
\p_data_out[2] ,
\p_data_out[1] ,
\p_data_out[0] }),
.pad_data_in(rom_data_in),
.pad_data_out({ UNCONNECTED109,
UNCONNECTED110,
UNCONNECTED111,
UNCONNECTED112,
UNCONNECTED113,
UNCONNECTED114,
UNCONNECTED115,
UNCONNECTED116,
UNCONNECTED117,
UNCONNECTED118,
UNCONNECTED119,
UNCONNECTED120,
UNCONNECTED121,
UNCONNECTED122,
UNCONNECTED123,
UNCONNECTED124 }),
.addrs_in({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0 }),
.read_cycle(read_prog),
.sync(1'b0),
.go(go_prog),
.as(UNCONNECTED125),
.done(UNCONNECTED126),
.RC_CG_TEST_PORT(RC_CG_TEST_PORT),
.SRPG_PG_in(1'b0),
.SRPG_PG_in_1(1'b0),
.DFT_sdi(n_21),
.DFT_sen(DFT_sen),
.DFT_sdo(n_20));
tdsp_core_glue TDSP_CORE_GLUE_INST (.addrs_in(address),
.data_in(t_data_out),
.p_addrs_in(p_address),
.p_data_in({ UNCONNECTED127,
UNCONNECTED128,
UNCONNECTED129,
UNCONNECTED130,
UNCONNECTED131,
UNCONNECTED132,
UNCONNECTED133,
UNCONNECTED134,
UNCONNECTED135,
UNCONNECTED136,
UNCONNECTED137,
UNCONNECTED138,
UNCONNECTED139,
UNCONNECTED140,
UNCONNECTED141,
UNCONNECTED142 }),
.port_addrs_in({ UNCONNECTED143,
UNCONNECTED144,
UNCONNECTED145 }),
.port_data_in({ UNCONNECTED146,
UNCONNECTED147,
UNCONNECTED148,
UNCONNECTED149,
UNCONNECTED150,
UNCONNECTED151,
UNCONNECTED152,
UNCONNECTED153,
UNCONNECTED154,
UNCONNECTED155,
UNCONNECTED156,
UNCONNECTED157,
UNCONNECTED158,
UNCONNECTED159,
UNCONNECTED160,
UNCONNECTED161 }),
.ar({ \ar[15] ,
\ar[14] ,
\ar[13] ,
\ar[12] ,
\ar[11] ,
\ar[10] ,
\ar[9] ,
\ar[8] ,
\ar[7] ,
\ar[6] ,
\ar[5] ,
\ar[4] ,
\ar[3] ,
\ar[2] ,
\ar[1] ,
\ar[0] }),
.res_adr({ UNCONNECTED162,
UNCONNECTED163,
UNCONNECTED164,
UNCONNECTED165,
UNCONNECTED166,
UNCONNECTED167,
UNCONNECTED168,
UNCONNECTED169 }),
.res_port_adr({ UNCONNECTED170,
UNCONNECTED171,
UNCONNECTED172,
UNCONNECTED173,
UNCONNECTED174,
UNCONNECTED175,
UNCONNECTED176,
UNCONNECTED177 }),
.se_shift_mdr({ UNCONNECTED178,
UNCONNECTED179,
UNCONNECTED180,
UNCONNECTED181,
UNCONNECTED182,
UNCONNECTED183,
UNCONNECTED184,
UNCONNECTED185,
UNCONNECTED186,
UNCONNECTED187,
UNCONNECTED188,
UNCONNECTED189,
UNCONNECTED190,
UNCONNECTED191,
UNCONNECTED192,
UNCONNECTED193,
UNCONNECTED194,
UNCONNECTED195,
UNCONNECTED196,
UNCONNECTED197,
UNCONNECTED198,
UNCONNECTED199,
UNCONNECTED200,
UNCONNECTED201,
UNCONNECTED202,
UNCONNECTED203,
UNCONNECTED204,
UNCONNECTED205,
UNCONNECTED206,
UNCONNECTED207,
UNCONNECTED208,
UNCONNECTED209 }),
.ze_mdr({ UNCONNECTED210,
UNCONNECTED211,
UNCONNECTED212,
UNCONNECTED213,
UNCONNECTED214,
UNCONNECTED215,
UNCONNECTED216,
UNCONNECTED217,
UNCONNECTED218,
UNCONNECTED219,
UNCONNECTED220,
UNCONNECTED221,
UNCONNECTED222,
UNCONNECTED223,
UNCONNECTED224,
UNCONNECTED225,
UNCONNECTED226,
UNCONNECTED227,
UNCONNECTED228,
UNCONNECTED229,
UNCONNECTED230,
UNCONNECTED231,
UNCONNECTED232,
UNCONNECTED233,
UNCONNECTED234,
UNCONNECTED235,
UNCONNECTED236,
UNCONNECTED237,
UNCONNECTED238,
UNCONNECTED239,
UNCONNECTED240,
UNCONNECTED241 }),
.alu_out({ UNCONNECTED242,
UNCONNECTED243,
UNCONNECTED244,
UNCONNECTED245,
UNCONNECTED246,
UNCONNECTED247,
UNCONNECTED248,
UNCONNECTED249,
UNCONNECTED250,
UNCONNECTED251,
UNCONNECTED252,
UNCONNECTED253,
UNCONNECTED254,
UNCONNECTED255,
UNCONNECTED256,
UNCONNECTED257 }),
.go_prog(go_prog),
.read_prog(read_prog),
.go_data(go_data),
.read_data(UNCONNECTED258),
.go_port(go_port),
.read_port(UNCONNECTED259),
.pc_acc(pc_acc),
.arp(arp),
.ar1({ \ar1[15] ,
\ar1[14] ,
\ar1[13] ,
\ar1[12] ,
\ar1[11] ,
\ar1[10] ,
\ar1[9] ,
\ar1[8] ,
\ar1[7] ,
\ar1[6] ,
\ar1[5] ,
\ar1[4] ,
\ar1[3] ,
\ar1[2] ,
\ar1[1] ,
\ar1[0] }),
.ar0({ \ar0[15] ,
\ar0[14] ,
\ar0[13] ,
\ar0[12] ,
\ar0[11] ,
\ar0[10] ,
\ar0[9] ,
\ar0[8] ,
\ar0[7] ,
\ar0[6] ,
\ar0[5] ,
\ar0[4] ,
\ar0[3] ,
\ar0[2] ,
\ar0[1] ,
\ar0[0] }),
.dp(dp),
.ir({ \ir[15] ,
\ir[14] ,
\ir[13] ,
\ir[12] ,
\ir[11] ,
port_address,
\ir[7] ,
\ir[6] ,
\ir[5] ,
\ir[4] ,
\ir[3] ,
\ir[2] ,
\ir[1] ,
\ir[0] }),
.pdr({ UNCONNECTED260,
UNCONNECTED261,
UNCONNECTED262,
UNCONNECTED263,
UNCONNECTED264,
UNCONNECTED265,
UNCONNECTED266,
UNCONNECTED267,
UNCONNECTED268,
UNCONNECTED269,
UNCONNECTED270,
UNCONNECTED271,
UNCONNECTED272,
UNCONNECTED273,
UNCONNECTED274,
UNCONNECTED275 }),
.opa({ \opa[31] ,
\opa[30] ,
\opa[29] ,
\opa[28] ,
\opa[27] ,
\opa[26] ,
\opa[25] ,
\opa[24] ,
\opa[23] ,
\opa[22] ,
\opa[21] ,
\opa[20] ,
\opa[19] ,
\opa[18] ,
\opa[17] ,
\opa[16] ,
\opa[0][15] ,
\opa[0][14] ,
\opa[0][13] ,
\opa[0][12] ,
\opa[0][11] ,
\opa[0][10] ,
\opa[0][9] ,
\opa[0][8] ,
\opa[0][7] ,
\opa[0][6] ,
\opa[0][5] ,
\opa[0][4] ,
\opa[0][3] ,
\opa[0][2] ,
\opa[0][1] ,
\opa[0][0] }),
.opb({ \opb[31] ,
\opb[30] ,
\opb[29] ,
\opb[28] ,
\opb[27] ,
\opb[26] ,
\opb[25] ,
\opb[24] ,
\opb[23] ,
\opb[22] ,
\opb[21] ,
\opb[20] ,
\opb[19] ,
\opb[18] ,
\opb[17] ,
\opb[16] ,
\opb[0][15] ,
\opb[0][14] ,
\opb[0][13] ,
\opb[0][12] ,
\opb[0][11] ,
\opb[0][10] ,
\opb[0][9] ,
\opb[0][8] ,
\opb[0][7] ,
\opb[0][6] ,
\opb[0][5] ,
\opb[0][4] ,
\opb[0][3] ,
\opb[0][2] ,
\opb[0][1] ,
\opb[0][0] }),
.mdr({ UNCONNECTED276,
UNCONNECTED277,
UNCONNECTED278,
UNCONNECTED279,
UNCONNECTED280,
UNCONNECTED281,
UNCONNECTED282,
UNCONNECTED283,
UNCONNECTED284,
UNCONNECTED285,
UNCONNECTED286,
UNCONNECTED287,
UNCONNECTED288,
UNCONNECTED289,
UNCONNECTED290,
UNCONNECTED291 }),
.acc({ 1'b0,
\acc[31] ,
\acc[30] ,
\acc[29] ,
\acc[28] ,
\acc[27] ,
\acc[26] ,
\acc[25] ,
\acc[24] ,
\acc[23] ,
t_sdo[0],
\acc[21] ,
\acc[20] ,
\acc[19] ,
\acc[18] ,
\acc[17] ,
\acc[16] ,
\acc[15] ,
\acc[14] ,
\acc[13] ,
\acc[12] ,
\acc[11] ,
\acc[10] ,
\acc[9] ,
\acc[8] ,
\acc[7] ,
\acc[6] ,
\acc[5] ,
\acc[4] ,
\acc[3] ,
\acc[2] ,
\acc[1] ,
\acc[0] }),
.pc({ 1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
\pc[8] ,
\pc[7] ,
\pc[6] ,
\pc[5] ,
\pc[4] ,
\pc[3] ,
\pc[2] ,
\pc[1] ,
\pc[0] }),
.data_out(port_pad_data_out),
.p_data_out({ \p_data_out[15] ,
\p_data_out[14] ,
\p_data_out[13] ,
\p_data_out[12] ,
\p_data_out[11] ,
\p_data_out[10] ,
\p_data_out[9] ,
\p_data_out[8] ,
\p_data_out[7] ,
\p_data_out[6] ,
\p_data_out[5] ,
\p_data_out[4] ,
\p_data_out[3] ,
\p_data_out[2] ,
\p_data_out[1] ,
\p_data_out[0] }),
.port_data_out({ \port_data_out[15] ,
\port_data_out[14] ,
\port_data_out[13] ,
\port_data_out[12] ,
\port_data_out[11] ,
\port_data_out[10] ,
\port_data_out[9] ,
\port_data_out[8] ,
\port_data_out[7] ,
\port_data_out[6] ,
\port_data_out[5] ,
\port_data_out[4] ,
\port_data_out[3] ,
\port_data_out[2] ,
\port_data_out[1] ,
\port_data_out[0] }),
.top({ \top[15] ,
\top[14] ,
\top[13] ,
\top[12] ,
\top[11] ,
\top[10] ,
\top[9] ,
\top[8] ,
\top[7] ,
\top[6] ,
\top[5] ,
\top[4] ,
\top[3] ,
\top[2] ,
\top[1] ,
\top[0] }),
.p({ t_sdo[1],
\p[30] ,
\p[29] ,
\p[28] ,
\p[27] ,
\p[26] ,
\p[25] ,
\p[24] ,
\p[23] ,
\p[22] ,
\p[21] ,
\p[20] ,
\p[19] ,
\p[18] ,
\p[17] ,
\p[16] ,
\p[15] ,
\p[14] ,
\p[13] ,
\p[12] ,
\p[11] ,
\p[10] ,
\p[9] ,
\p[8] ,
\p[7] ,
\p[6] ,
\p[5] ,
\p[4] ,
\p[3] ,
\p[2] ,
\p[1] ,
\p[0] }),
.alu_cmd({ 1'b0,
1'b0,
1'b0,
1'b0 }),
.sel_op_a({ \sel_op_a[2] ,
\sel_op_a[1] ,
\sel_op_a[0] }),
.sel_op_b({ \sel_op_b[2] ,
\sel_op_b[1] ,
\sel_op_b[0] }),
.dec_go_prog(dec_go_prog),
.enc_go_prog(enc_go_prog),
.dec_read_prog(dec_read_prog),
.enc_read_prog(enc_read_prog),
.dec_go_data(dec_go_data),
.enc_go_data(enc_go_data),
.dec_read_data(1'b0),
.enc_read_data(1'b0),
.dec_go_port(dec_go_port),
.enc_go_port(enc_go_port),
.dec_read_port(1'b0),
.enc_read_port(1'b0),
.dmov_inc(dmov_inc));
tdsp_core_mach TDSP_CORE_MACH_INST (.samp_bio(samp_bio),
.samp_int(UNCONNECTED292),
.phi_1(phi_1),
.phi_2(UNCONNECTED293),
.phi_3(phi_3),
.phi_4(phi_4),
.phi_5(phi_5),
.phi_6(phi_6),
.reset(reset),
.clk(clk),
.bus_request(bus_request),
.bus_grant(bus_grant),
.bio(bio),
.int(1'b0),
.RC_CG_TEST_PORT(RC_CG_TEST_PORT),
.SRPG_PG_in(1'b0),
.SRPG_PG_in_1(1'b0),
.DFT_sdi(n_20),
.DFT_sen(DFT_sen),
.DFT_sdo(t_sdo[2]));
INVXL g28 (.Y(n_0),
.A(samp_bio));
endmodule