blob: 43c1d879b8d5ab612aac31611d74bcfed2409f8d [file] [log] [blame]
// type: OR2
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_OR2X1 (Y, A, B);
output Y;
input A, B;
// Function
or (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine