blob: 713c0c422b23ed0a8c0ac5af6593de7631f53557 [file] [log] [blame]
// type: NAND3
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_NAND3X1 (Y, A, B, C);
output Y;
input A, B, C;
// Function
wire A__bar, B__bar, C__bar;
not (C__bar, C);
not (B__bar, B);
not (A__bar, A);
or (Y, A__bar, B__bar, C__bar);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
(C => Y) = 0;
endspecify
endmodule
`endcelldefine