blob: e8515a17757a5581aa916df2b6e16ab622b69f45 [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_INVX8 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine