blob: 78bf4c8f62e0400c1cf7370969f5a3bb4ecdb61f [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_BUFXL (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine