blob: 870d6b2a255349e5978367e1905bd0f0bfbbbe10 [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_BUFX8 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine