blob: c0c3b7061fefa2d9052f879d0c66d7edb0846d50 [file] [log] [blame]
// type: TBUF
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_TBUFXL (Y, A);
output Y;
input A;
// Function
bufif1 (Y, 1'b1, A);
// Timing
specify
(A => Y) = 0;
(negedge A => (Y:1)) = 0;
endspecify
endmodule
`endcelldefine