blob: 9df6f64ed8c05a061b609b8dbb6b97ac83e7efb3 [file] [log] [blame]
// type: OR2
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_OR2X4 (Y, A, B);
output Y;
input A, B;
// Function
or (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine