blob: 5bb5488f108a9b6fb40e68b612690f5d02920aa0 [file] [log] [blame]
// type: OR2
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_OR2X2 (Y, A, B);
output Y;
input A, B;
// Function
buf (Y, 1'b1);
// Timing
specify
ifnone (posedge A => (Y+:1'b1)) = 0;
ifnone (posedge B => (Y+:1'b1)) = 0;
endspecify
endmodule
`endcelldefine