blob: c8065e67ce77298114bd06ce172f88ffe79a577a [file] [log] [blame]
// type: NOR2
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_NOR2X1 (Y, A, B);
output Y;
input A, B;
// Function
wire A__bar, B__bar;
not (B__bar, B);
not (A__bar, A);
and (Y, A__bar, B__bar);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine