blob: f4a70b076c856b61ae6dd7ab5610858acd835d0c [file] [log] [blame]
// type: NAND2
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_NAND2XL (Y, A, B);
output Y;
input A, B;
// Function
wire A__bar, B__bar;
not (B__bar, B);
not (A__bar, A);
or (Y, A__bar, B__bar);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine