blob: 95e169a6fd1858b02e5c7f5d51e9a05804cc3927 [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_INVX10 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine