blob: 328aa9a7e50c9536c98335754337ac7a85e35a52 [file] [log] [blame]
// type: CLKINV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_CLKINVX2 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine