blob: d8e8e18b306c5ee15a9377fe3f282d95e1bd88c3 [file] [log] [blame]
// type: AND2
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_AND2X8 (Y, A, B);
output Y;
input A, B;
// Function
and (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine