blob: 9d6a9b5aa0ff2cfad7125aecf4df442567684b13 [file] [log] [blame]
// type: AND2
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_AND2X4 (Y, A, B);
output Y;
input A, B;
// Function
and (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine