blob: 013e0b0ccf09682bf31a607c067891ac5402abb1 [file] [log] [blame]
{
"area": 4.7952,
"cell_footprint": "sky130_fd_sc_ms__inv",
"cell_leakage_power": 8.93973,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 14.6480597,
"when": "A"
},
{
"value": 3.2313998,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.005091,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004864,
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.005317
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,pwr_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00911,
0.01093,
0.01311,
0.01573,
0.01887,
0.02264,
0.02717,
0.0326,
0.03912,
0.04694,
0.05633,
0.06759,
0.08111,
0.09733,
0.11679,
0.14015,
0.16818,
0.20182,
0.24218,
0.29061,
0.34873,
0.41847,
0.50216
],
"values": [
[
-0.0042582,
-0.0156479,
-0.0180663,
-0.0209865,
-0.0245006,
-0.0287291,
-0.0338273,
-0.0399627,
-0.0473153,
-0.0561661,
-0.0667992,
-0.0795603,
-0.0948728,
-0.1132672,
-0.1353364,
-0.1618038,
-0.1935941,
-0.2317383,
-0.2775229,
-0.3324463,
-0.3983631,
-0.4774673,
-0.5723833,
-0.686297
],
[
-0.0043983,
-0.0158208,
-0.0182296,
-0.0211287,
-0.0246286,
-0.0288483,
-0.0339364,
-0.0400511,
-0.0474034,
-0.0562408,
-0.0668591,
-0.0796074,
-0.0949245,
-0.1133012,
-0.1353583,
-0.1618314,
-0.1936159,
-0.2317584,
-0.2775367,
-0.3324612,
-0.3983728,
-0.4774789,
-0.5723933,
-0.686302
],
[
-0.0044246,
-0.0159533,
-0.0183509,
-0.0212435,
-0.0247368,
-0.0289429,
-0.0340134,
-0.0401247,
-0.0474622,
-0.0562886,
-0.0668969,
-0.0796583,
-0.0949537,
-0.1133406,
-0.1353967,
-0.1618675,
-0.1936469,
-0.2317809,
-0.2775543,
-0.3324759,
-0.3983912,
-0.4774855,
-0.5723986,
-0.686301
],
[
-0.004223,
-0.0160422,
-0.018444,
-0.0213315,
-0.0248192,
-0.0290168,
-0.0340801,
-0.0401818,
-0.0475212,
-0.0563288,
-0.0669315,
-0.0796724,
-0.0949735,
-0.1133429,
-0.1353996,
-0.1618671,
-0.1936437,
-0.2317806,
-0.2775604,
-0.3324822,
-0.3983992,
-0.477491,
-0.5724094,
-0.6863128
],
[
-0.0037299,
-0.0160122,
-0.0184291,
-0.0213042,
-0.0248276,
-0.0290557,
-0.0341265,
-0.040207,
-0.0475367,
-0.0563596,
-0.0669404,
-0.0796834,
-0.0949729,
-0.1133494,
-0.1354151,
-0.1618885,
-0.1936451,
-0.2317918,
-0.2775676,
-0.3324905,
-0.3984072,
-0.4775019,
-0.5724098,
-0.686313
],
[
-0.0028278,
-0.0156554,
-0.0181109,
-0.0210766,
-0.0246107,
-0.028861,
-0.0339564,
-0.0400915,
-0.0474644,
-0.0563244,
-0.0669311,
-0.0796922,
-0.0949659,
-0.1133259,
-0.1353689,
-0.161844,
-0.193624,
-0.231762,
-0.2775312,
-0.3324541,
-0.398382,
-0.4774812,
-0.5724124,
-0.6863196
],
[
-0.0010615,
-0.014772,
-0.0175363,
-0.0205637,
-0.0241588,
-0.0284732,
-0.0336204,
-0.0398026,
-0.0471946,
-0.0560164,
-0.0666527,
-0.0794418,
-0.0947682,
-0.1131756,
-0.1352497,
-0.1617269,
-0.1935071,
-0.2316498,
-0.2774304,
-0.3323676,
-0.3982959,
-0.4774083,
-0.5722915,
-0.6862192
],
[
0.0014913,
-0.0131704,
-0.015822,
-0.0189403,
-0.022667,
-0.0272113,
-0.032392,
-0.0386979,
-0.0461747,
-0.0551645,
-0.0659062,
-0.0787877,
-0.0942092,
-0.1125575,
-0.1347926,
-0.1613284,
-0.1931658,
-0.2313562,
-0.2771849,
-0.3321538,
-0.3981063,
-0.4772505,
-0.5722002,
-0.6861365
],
[
0.0054851,
-0.0101463,
-0.0130386,
-0.0163781,
-0.0202877,
-0.0248958,
-0.0303428,
-0.0369347,
-0.0447012,
-0.0538436,
-0.064709,
-0.0776965,
-0.0932227,
-0.1117869,
-0.134018,
-0.1605758,
-0.1925066,
-0.2307743,
-0.2765708,
-0.3316135,
-0.3976285,
-0.4767105,
-0.5717299,
-0.6857208
],
[
0.011394,
-0.0052276,
-0.0081996,
-0.0117151,
-0.0158907,
-0.0207492,
-0.0263575,
-0.0330937,
-0.0408635,
-0.050127,
-0.0615007,
-0.0746883,
-0.0904633,
-0.1093116,
-0.1317806,
-0.1586444,
-0.1907707,
-0.2292074,
-0.2752961,
-0.3304988,
-0.3966514,
-0.475987,
-0.5710595,
-0.6851215
],
[
0.0205528,
0.0030197,
-0.0002496,
-0.0039755,
-0.0083467,
-0.0133437,
-0.0192626,
-0.0263684,
-0.0347295,
-0.0445417,
-0.0561335,
-0.0698003,
-0.0861122,
-0.1054086,
-0.1282375,
-0.1554205,
-0.1878667,
-0.2265985,
-0.2729972,
-0.3284228,
-0.3947868,
-0.4743049,
-0.5695929,
-0.683854
],
[
0.0344722,
0.0156607,
0.0122583,
0.0083155,
0.0036138,
-0.001795,
-0.0082088,
-0.0157267,
-0.0245697,
-0.0349208,
-0.0470343,
-0.0611486,
-0.077935,
-0.0974971,
-0.120627,
-0.1486014,
-0.1816432,
-0.22094,
-0.2679415,
-0.3237807,
-0.3906085,
-0.4705879,
-0.5662861,
-0.6808949
],
[
0.0552026,
0.0356388,
0.0322651,
0.0280477,
0.0231348,
0.0173016,
0.0104807,
0.0024873,
-0.0068788,
-0.0179609,
-0.0307814,
-0.0458275,
-0.063447,
-0.0841562,
-0.1085077,
-0.1372293,
-0.1711435,
-0.2115349,
-0.259219,
-0.3158851,
-0.3835403,
-0.4641864,
-0.5605246,
-0.6757536
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00911,
0.01093,
0.01311,
0.01573,
0.01887,
0.02264,
0.02717,
0.0326,
0.03912,
0.04694,
0.05633,
0.06759,
0.08111,
0.09733,
0.11679,
0.14015,
0.16818,
0.20182,
0.24218,
0.29061,
0.34873,
0.41847,
0.50216
],
"values": [
[
0.011479,
0.0249213,
0.0274697,
0.0304813,
0.0340837,
0.0383982,
0.0435364,
0.0496427,
0.056966,
0.0658389,
0.0764698,
0.0891602,
0.1038903,
0.1224834,
0.1440637,
0.1702686,
0.2019278,
0.2399439,
0.2855198,
0.3398172,
0.4059141,
0.4839494,
0.5779847,
0.6912901
],
[
0.0114958,
0.0246181,
0.0271797,
0.0302175,
0.0338218,
0.0381515,
0.0433109,
0.0494937,
0.0567502,
0.0657268,
0.0765367,
0.0887274,
0.1041048,
0.1228161,
0.1444248,
0.1709804,
0.2022405,
0.2400077,
0.2854406,
0.3397402,
0.4057829,
0.4837073,
0.5770541,
0.6902548
],
[
0.0116641,
0.0243989,
0.0270052,
0.0300336,
0.0336336,
0.0379551,
0.0431225,
0.0493032,
0.0567293,
0.0654868,
0.0761039,
0.089181,
0.1042278,
0.1225124,
0.1445843,
0.1704501,
0.202244,
0.2398138,
0.2856012,
0.3399369,
0.4057337,
0.4840773,
0.5779123,
0.691102
],
[
0.0120088,
0.0243424,
0.0268646,
0.0298859,
0.0335048,
0.0377733,
0.0429446,
0.0491093,
0.0564104,
0.0653902,
0.0762809,
0.0885361,
0.1042366,
0.1220466,
0.1444213,
0.1702779,
0.2015461,
0.239485,
0.2853863,
0.3398575,
0.4057071,
0.4840599,
0.5779039,
0.6911392
],
[
0.0125679,
0.0244773,
0.0269497,
0.0298691,
0.033438,
0.0376738,
0.0428248,
0.0489459,
0.0562668,
0.0651735,
0.075947,
0.0885575,
0.1035738,
0.1221971,
0.1443423,
0.1702874,
0.2019263,
0.2403684,
0.2851775,
0.3405132,
0.4051483,
0.4841091,
0.5770275,
0.6903067
],
[
0.013612,
0.0250218,
0.0274767,
0.0303415,
0.0338482,
0.0378854,
0.043008,
0.0491837,
0.0563532,
0.0652858,
0.0755444,
0.0882698,
0.1036243,
0.1220091,
0.1441853,
0.1700863,
0.2018327,
0.2400491,
0.2852047,
0.3392128,
0.4051701,
0.4835279,
0.5780375,
0.6911705
],
[
0.0152243,
0.026069,
0.0282828,
0.0310926,
0.0345161,
0.038726,
0.0435063,
0.049694,
0.0568969,
0.0655979,
0.0757681,
0.0883709,
0.1035795,
0.1217055,
0.1435086,
0.1699117,
0.201708,
0.2396075,
0.2854256,
0.3400895,
0.40463,
0.4836247,
0.5777163,
0.6908855
],
[
0.017808,
0.0278188,
0.0300792,
0.0328133,
0.0360809,
0.0400327,
0.0451084,
0.050995,
0.0579803,
0.0665638,
0.076945,
0.0896637,
0.1046822,
0.1225485,
0.1437191,
0.1704161,
0.2015984,
0.2396538,
0.2846372,
0.3399687,
0.404749,
0.4840789,
0.5778574,
0.6910622
],
[
0.0216992,
0.0311713,
0.0333195,
0.0359789,
0.0392117,
0.0433232,
0.0475697,
0.0532126,
0.0600529,
0.0684209,
0.0786375,
0.0907552,
0.1055016,
0.1237104,
0.1451318,
0.171417,
0.2024352,
0.2401971,
0.2856732,
0.3394411,
0.404972,
0.4831912,
0.5775986,
0.6907777
],
[
0.0274387,
0.0359712,
0.0379925,
0.0402752,
0.0433029,
0.0470478,
0.0517259,
0.0573386,
0.0638467,
0.0719712,
0.081773,
0.0936774,
0.1081856,
0.1261321,
0.1473933,
0.1733271,
0.204033,
0.2417387,
0.2872752,
0.3408591,
0.406084,
0.4839503,
0.5786836,
0.691115
],
[
0.0362656,
0.0435354,
0.0453397,
0.0476176,
0.0504685,
0.0538268,
0.0584923,
0.063977,
0.0708668,
0.0781203,
0.0879258,
0.0997724,
0.1137627,
0.1310327,
0.1519328,
0.1770017,
0.2077064,
0.2449483,
0.2900707,
0.3433166,
0.4082263,
0.4865956,
0.5799645,
0.6918998
],
[
0.0503048,
0.0559246,
0.0575314,
0.0595746,
0.0623868,
0.0657059,
0.0697426,
0.0743163,
0.080535,
0.0884568,
0.097229,
0.1087585,
0.1230935,
0.1399476,
0.1599827,
0.1846206,
0.2148174,
0.2516187,
0.2948832,
0.3489564,
0.41308,
0.4899415,
0.5835007,
0.6952511
],
[
0.0713782,
0.0756525,
0.0770058,
0.0787375,
0.0809397,
0.0838568,
0.0873904,
0.0919639,
0.0975799,
0.1046976,
0.1135555,
0.1246802,
0.1382498,
0.153962,
0.1740744,
0.1984277,
0.2270773,
0.2626335,
0.3056856,
0.3579153,
0.4212392,
0.4978534,
0.5897695,
0.7010472
]
]
}
},
"max_capacitance": 0.244761,
"max_transition": 1.767274,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00911,
0.01093,
0.01311,
0.01573,
0.01887,
0.02264,
0.02717,
0.0326,
0.03912,
0.04694,
0.05633,
0.06759,
0.08111,
0.09733,
0.11679,
0.14015,
0.16818,
0.20182,
0.24218,
0.29061,
0.34873,
0.41847,
0.50216
],
"values": [
[
0.010114,
0.0226576,
0.0250497,
0.027787,
0.0311573,
0.0352619,
0.0399484,
0.0456948,
0.0525414,
0.0608021,
0.0705738,
0.0825382,
0.0967994,
0.1136405,
0.1341725,
0.1581878,
0.1873477,
0.2240755,
0.2655552,
0.3163716,
0.3767992,
0.4500738,
0.5391606,
0.6444129
],
[
0.0112093,
0.0246193,
0.0269548,
0.0297924,
0.0330897,
0.0372035,
0.0418442,
0.0477656,
0.0545517,
0.0627406,
0.0731046,
0.0847595,
0.0988248,
0.1158516,
0.1358608,
0.1608599,
0.189811,
0.2254773,
0.2669446,
0.3189202,
0.3805023,
0.4523628,
0.5399809,
0.6461362
],
[
0.01168,
0.0270194,
0.0293714,
0.0321621,
0.0355169,
0.0395554,
0.0443305,
0.0500255,
0.0573393,
0.065335,
0.0751412,
0.087262,
0.1009029,
0.1178151,
0.138747,
0.1627503,
0.1929835,
0.2281732,
0.2700872,
0.3218176,
0.3807976,
0.4561631,
0.5435841,
0.6465679
],
[
0.0118967,
0.0305262,
0.033115,
0.035932,
0.0392572,
0.0432476,
0.0480236,
0.0537959,
0.0606148,
0.0686598,
0.0784763,
0.0914033,
0.1047325,
0.1217967,
0.1429508,
0.1661886,
0.1964059,
0.2317058,
0.2743355,
0.3255694,
0.3849144,
0.4570586,
0.5472097,
0.651022
],
[
0.0116517,
0.0340825,
0.037259,
0.0406228,
0.0445413,
0.0488496,
0.0536616,
0.0593456,
0.0661531,
0.0743732,
0.0844363,
0.096033,
0.1103907,
0.1271044,
0.1473889,
0.1722944,
0.2026071,
0.2372958,
0.2790771,
0.3300167,
0.3905301,
0.4642637,
0.5513636,
0.6577037
],
[
0.0106395,
0.0372916,
0.0411198,
0.0454089,
0.0501867,
0.0554261,
0.0612628,
0.0677609,
0.0749404,
0.0830727,
0.0929043,
0.1047165,
0.1188718,
0.135943,
0.155959,
0.1803346,
0.2095762,
0.245788,
0.287629,
0.3379944,
0.3994487,
0.4711474,
0.5605013,
0.6650403
],
[
0.0083558,
0.0401273,
0.0448749,
0.0499957,
0.0557401,
0.062113,
0.0692214,
0.0770194,
0.0857838,
0.095443,
0.1061206,
0.1179938,
0.1319824,
0.1489444,
0.1695486,
0.1945742,
0.2231463,
0.2583624,
0.3008258,
0.350579,
0.4124911,
0.4863207,
0.5705176,
0.6764815
],
[
0.004133,
0.0423074,
0.0477365,
0.0537468,
0.0605005,
0.0682188,
0.0766925,
0.0862064,
0.0967765,
0.1085163,
0.1215229,
0.1358949,
0.1514387,
0.1689251,
0.1890804,
0.2133869,
0.2423166,
0.2779263,
0.3198009,
0.3702839,
0.4305497,
0.5040694,
0.5924366,
0.695678
],
[
-0.0031113,
0.0418656,
0.0483841,
0.0556647,
0.0639049,
0.0731684,
0.0834793,
0.0947895,
0.107364,
0.1213635,
0.1369102,
0.1541613,
0.1732792,
0.1944358,
0.2179019,
0.2436182,
0.2725737,
0.3074097,
0.3485543,
0.3994197,
0.4598455,
0.5312702,
0.6211838,
0.7234358
],
[
-0.0152363,
0.0382231,
0.0459548,
0.0546601,
0.0643247,
0.0750818,
0.0870721,
0.1005949,
0.1154509,
0.1319708,
0.1505506,
0.1712254,
0.1947923,
0.2202504,
0.2480108,
0.2789741,
0.3135163,
0.352026,
0.394167,
0.4442714,
0.5046623,
0.5778693,
0.6651919,
0.7689733
],
[
-0.034007,
0.0287703,
0.0378776,
0.0481334,
0.0597227,
0.0725627,
0.0870168,
0.1030143,
0.1208568,
0.1407864,
0.1630054,
0.1876335,
0.2147521,
0.2448837,
0.2783742,
0.315623,
0.3570605,
0.4038123,
0.4555361,
0.5114723,
0.5727074,
0.6450498,
0.7317514,
0.8364298
],
[
-0.0633281,
0.0099267,
0.0208822,
0.0329428,
0.0465384,
0.06189,
0.0792897,
0.0983867,
0.1193898,
0.1430236,
0.1695627,
0.1991041,
0.2316077,
0.2677935,
0.3080696,
0.3527493,
0.4024721,
0.4577736,
0.5195542,
0.5864083,
0.6610958,
0.7425816,
0.8326662,
0.9367163
],
[
-0.1088886,
-0.0236935,
-0.0109148,
0.0032992,
0.0194492,
0.0374889,
0.0577227,
0.0804656,
0.1059191,
0.1342988,
0.166016,
0.2014222,
0.2404618,
0.2836013,
0.3286301,
0.3829419,
0.4419746,
0.5090811,
0.5801498,
0.6614774,
0.7534903,
0.8522757,
0.9618197,
1.0811052
]
]
},
"cell_rise,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00911,
0.01093,
0.01311,
0.01573,
0.01887,
0.02264,
0.02717,
0.0326,
0.03912,
0.04694,
0.05633,
0.06759,
0.08111,
0.09733,
0.11679,
0.14015,
0.16818,
0.20182,
0.24218,
0.29061,
0.34873,
0.41847,
0.50216
],
"values": [
[
0.0134856,
0.0363985,
0.0407055,
0.0458575,
0.0519486,
0.0593849,
0.0680453,
0.07851,
0.0909664,
0.1058316,
0.1238291,
0.1458001,
0.1713195,
0.2031975,
0.2412198,
0.2849067,
0.3391781,
0.402514,
0.478193,
0.5709083,
0.6819754,
0.8132901,
0.9736265,
1.1643358
],
[
0.0160662,
0.0384823,
0.0428315,
0.0479756,
0.0540952,
0.0615018,
0.0702693,
0.0809993,
0.0940443,
0.1085094,
0.1264854,
0.1490992,
0.1745841,
0.2051572,
0.2425735,
0.2860179,
0.3401586,
0.4048502,
0.4817233,
0.5733984,
0.6844423,
0.8178828,
0.9742798,
1.1685581
],
[
0.0182587,
0.0412493,
0.0455259,
0.0507263,
0.0568488,
0.0641283,
0.0730693,
0.0835176,
0.0964481,
0.1115648,
0.1293612,
0.1508844,
0.1771921,
0.2080175,
0.2447604,
0.2897578,
0.3435828,
0.4083265,
0.48384,
0.5764249,
0.6872926,
0.8190844,
0.9786908,
1.1696074
],
[
0.0205655,
0.0458058,
0.0500319,
0.0551116,
0.0612568,
0.0684868,
0.0771918,
0.0877767,
0.1008073,
0.115487,
0.1336119,
0.1562974,
0.1811863,
0.2130517,
0.2493309,
0.2940455,
0.3486795,
0.4133061,
0.4890582,
0.5806386,
0.6915246,
0.8231922,
0.9832043,
1.1734994
],
[
0.0234704,
0.0530581,
0.0571932,
0.0621731,
0.0682415,
0.0754239,
0.0841287,
0.0944068,
0.1070672,
0.121895,
0.140388,
0.1625961,
0.1888849,
0.2187993,
0.2560082,
0.3004741,
0.354259,
0.4180258,
0.4949876,
0.5877223,
0.6981042,
0.8311355,
0.9889063,
1.1834003
],
[
0.0276696,
0.0627548,
0.0679882,
0.0733251,
0.0793523,
0.0861353,
0.0946806,
0.1048577,
0.1180779,
0.1322607,
0.1503076,
0.1715827,
0.1973409,
0.2286146,
0.265662,
0.3103665,
0.364156,
0.4278329,
0.5049459,
0.5985267,
0.710212,
0.8406162,
0.9992674,
1.1906708
],
[
0.0334207,
0.0740111,
0.0800203,
0.0867178,
0.0941264,
0.1027836,
0.111496,
0.1218507,
0.1340106,
0.1485154,
0.1662757,
0.1874364,
0.2132008,
0.2435828,
0.2811072,
0.3257181,
0.3786064,
0.4428649,
0.5203087,
0.6112662,
0.7227065,
0.8560607,
1.0132203,
1.2047282
],
[
0.0414456,
0.0879912,
0.0950683,
0.1030261,
0.1119181,
0.1218647,
0.1337023,
0.1461535,
0.1590933,
0.1738809,
0.1916576,
0.2123296,
0.2379014,
0.2682584,
0.306098,
0.3492063,
0.4027053,
0.465758,
0.5450265,
0.6358406,
0.7456407,
0.8788036,
1.0364308,
1.2283137
],
[
0.0527799,
0.1061759,
0.1143357,
0.123565,
0.13384,
0.1460943,
0.1585585,
0.1732964,
0.1896389,
0.2077428,
0.2285914,
0.2501176,
0.2750776,
0.3054248,
0.3422967,
0.3860763,
0.4392401,
0.5028797,
0.5794659,
0.672461,
0.7813454,
0.9135973,
1.073243,
1.2636961
],
[
0.0688157,
0.1300218,
0.139462,
0.1492156,
0.1613527,
0.1755677,
0.1910312,
0.2084552,
0.2271317,
0.2489103,
0.2730121,
0.2997909,
0.3293637,
0.3623625,
0.3990205,
0.4423768,
0.4952496,
0.5582853,
0.6344706,
0.7254002,
0.8351506,
0.9683772,
1.1270335,
1.3167721
],
[
0.0912868,
0.1618827,
0.1725799,
0.1847625,
0.1986574,
0.2133878,
0.230993,
0.251114,
0.2751482,
0.2992866,
0.3278378,
0.3611441,
0.3966139,
0.4360785,
0.4798759,
0.5272928,
0.5812317,
0.6428061,
0.7182966,
0.8097061,
0.9184996,
1.0511222,
1.2104795,
1.3984877
],
[
0.124896,
0.204754,
0.2169202,
0.2307981,
0.2473619,
0.2653698,
0.2858583,
0.3081609,
0.3345887,
0.3638511,
0.3961631,
0.4335061,
0.4751154,
0.5224472,
0.5753713,
0.6339602,
0.6985426,
0.7690401,
0.846488,
0.9364259,
1.0448873,
1.1758219,
1.3334719,
1.5212767
],
[
0.1731774,
0.2651758,
0.2792623,
0.2952337,
0.3133944,
0.3338079,
0.3570744,
0.3833478,
0.4132054,
0.4469366,
0.4844362,
0.5278202,
0.577851,
0.6329695,
0.6948959,
0.7637547,
0.8397241,
0.9248692,
1.019214,
1.1233537,
1.2376808,
1.3681981,
1.5232546,
1.711424
]
]
},
"fall_transition,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00911,
0.01093,
0.01311,
0.01573,
0.01887,
0.02264,
0.02717,
0.0326,
0.03912,
0.04694,
0.05633,
0.06759,
0.08111,
0.09733,
0.11679,
0.14015,
0.16818,
0.20182,
0.24218,
0.29061,
0.34873,
0.41847,
0.50216
],
"values": [
[
0.0037375,
0.0190487,
0.0221669,
0.0259104,
0.0303494,
0.0358183,
0.0423637,
0.0498707,
0.0595791,
0.0710265,
0.0841157,
0.0993648,
0.1203831,
0.1427062,
0.1701885,
0.2022558,
0.2419402,
0.2911735,
0.3480363,
0.4181137,
0.5013193,
0.5976973,
0.7165292,
0.8585573
],
[
0.0053292,
0.0190334,
0.0221235,
0.0259057,
0.03043,
0.0357699,
0.0421686,
0.0503817,
0.0592792,
0.0706114,
0.0840609,
0.1011132,
0.1196798,
0.1415091,
0.169898,
0.2039155,
0.2429784,
0.2925346,
0.3485689,
0.415971,
0.4995733,
0.6000918,
0.7164796,
0.8580789
],
[
0.0066476,
0.0197994,
0.0226311,
0.0261924,
0.0304607,
0.0358012,
0.042322,
0.04996,
0.0596601,
0.070944,
0.0847169,
0.100031,
0.1190671,
0.1423145,
0.1704124,
0.2046938,
0.2435647,
0.2923811,
0.3505357,
0.4160726,
0.4991141,
0.5979269,
0.7171501,
0.8589309
],
[
0.0084079,
0.0223239,
0.0248038,
0.0279651,
0.0318923,
0.0367536,
0.0427993,
0.0502021,
0.0594189,
0.0702037,
0.0839522,
0.1009626,
0.1185928,
0.1428556,
0.1708754,
0.2029185,
0.2433398,
0.2927479,
0.3480881,
0.417318,
0.5009972,
0.5966735,
0.7163272,
0.8605603
],
[
0.010859,
0.0274756,
0.0298796,
0.0325165,
0.0358918,
0.0400771,
0.0455178,
0.0523508,
0.0607864,
0.0712142,
0.0842968,
0.1002125,
0.1201051,
0.1426759,
0.169759,
0.2041852,
0.2442813,
0.2922,
0.3477523,
0.419151,
0.5008259,
0.5989483,
0.7169741,
0.8578088
],
[
0.0143007,
0.0342523,
0.0370388,
0.0401225,
0.0436789,
0.0475804,
0.0518939,
0.0578338,
0.0654905,
0.0750886,
0.0868962,
0.1018174,
0.1200993,
0.1428841,
0.1704059,
0.2034699,
0.2435265,
0.2922294,
0.3493852,
0.4178928,
0.4988918,
0.5965449,
0.7165278,
0.8607722
],
[
0.0190928,
0.0428222,
0.0457995,
0.0496855,
0.0541994,
0.0584748,
0.0642439,
0.0697163,
0.0759957,
0.0840926,
0.0944945,
0.1076234,
0.1245625,
0.1457487,
0.1720842,
0.2039482,
0.2427947,
0.2913614,
0.3468307,
0.4159158,
0.4985513,
0.5982259,
0.7155294,
0.8599378
],
[
0.0261032,
0.0536528,
0.0574389,
0.0615408,
0.0669973,
0.0720933,
0.0783259,
0.0854466,
0.0933363,
0.101646,
0.1112868,
0.1229537,
0.137114,
0.1560135,
0.1803912,
0.2100694,
0.2469426,
0.2918018,
0.3479646,
0.4173114,
0.497881,
0.598717,
0.7142918,
0.8551898
],
[
0.0362901,
0.0688603,
0.0731415,
0.0781688,
0.0836858,
0.0900174,
0.0971638,
0.1054358,
0.114637,
0.124855,
0.1361681,
0.1507238,
0.1626653,
0.1789982,
0.1993183,
0.2268042,
0.2607922,
0.3024715,
0.3536793,
0.4181215,
0.4987567,
0.5956877,
0.7173362,
0.8564622
],
[
0.0506722,
0.088849,
0.0943005,
0.1002269,
0.1071885,
0.114551,
0.1230327,
0.1326203,
0.1433244,
0.155506,
0.1689934,
0.1845656,
0.2004648,
0.21842,
0.2413961,
0.2638161,
0.2932619,
0.3297225,
0.377182,
0.4359295,
0.5101145,
0.6022294,
0.7176873,
0.8561464
],
[
0.0714475,
0.1180264,
0.1239435,
0.1306714,
0.1386484,
0.1475351,
0.1575835,
0.168968,
0.1816493,
0.1957534,
0.2115562,
0.2286703,
0.2473838,
0.2685877,
0.293812,
0.3218708,
0.352333,
0.383669,
0.4262301,
0.4775373,
0.546255,
0.6298732,
0.7359977,
0.8682625
],
[
0.1022254,
0.1556675,
0.1635326,
0.1724624,
0.1814637,
0.1911405,
0.2032244,
0.2166993,
0.2312762,
0.2470305,
0.2641057,
0.2850137,
0.3075796,
0.3351503,
0.3630327,
0.3957031,
0.4307176,
0.4699666,
0.5137694,
0.5627044,
0.6219265,
0.6963509,
0.793047,
0.9137055
],
[
0.1474525,
0.209723,
0.2186902,
0.2288649,
0.241198,
0.2522089,
0.2661569,
0.2816962,
0.2991772,
0.3181044,
0.3392613,
0.3625369,
0.3909057,
0.4202345,
0.4515622,
0.4903922,
0.532025,
0.5772385,
0.6305632,
0.6894487,
0.7543304,
0.8268242,
0.9110055,
1.0171305
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00911,
0.01093,
0.01311,
0.01573,
0.01887,
0.02264,
0.02717,
0.0326,
0.03912,
0.04694,
0.05633,
0.06759,
0.08111,
0.09733,
0.11679,
0.14015,
0.16818,
0.20182,
0.24218,
0.29061,
0.34873,
0.41847,
0.50216
],
"values": [
[
0.007301,
0.0385257,
0.0448103,
0.0524639,
0.0615457,
0.0724311,
0.085413,
0.1009678,
0.1196439,
0.1422909,
0.1688834,
0.2017574,
0.2404731,
0.2864403,
0.3426435,
0.4093327,
0.4897621,
0.5860902,
0.7023651,
0.8414567,
1.0055915,
1.2055228,
1.4469383,
1.734085
],
[
0.0085577,
0.0386382,
0.0448983,
0.0522712,
0.0614299,
0.0724316,
0.0853635,
0.1009179,
0.1199861,
0.1421249,
0.1694763,
0.2012451,
0.2404671,
0.2868687,
0.3428052,
0.4098694,
0.4907917,
0.5862777,
0.7002052,
0.8404548,
1.0075011,
1.2064308,
1.4466108,
1.7302934
],
[
0.011337,
0.0386761,
0.0448864,
0.052425,
0.0614979,
0.0723711,
0.0854603,
0.1010597,
0.1195662,
0.1425592,
0.1693728,
0.2016382,
0.2405549,
0.2867922,
0.3432066,
0.4094231,
0.489265,
0.5859388,
0.700965,
0.8405819,
1.005861,
1.2060785,
1.4466927,
1.7322689
],
[
0.0139398,
0.0394936,
0.0453656,
0.0525772,
0.0614648,
0.0723293,
0.0853806,
0.100785,
0.1198926,
0.1422369,
0.1692952,
0.201667,
0.2406378,
0.2867902,
0.343109,
0.4096941,
0.4899322,
0.5856777,
0.7004459,
0.8397494,
1.0067372,
1.206246,
1.4470624,
1.7340954
],
[
0.0162117,
0.043017,
0.0482658,
0.0546622,
0.0627861,
0.0729362,
0.085497,
0.1009575,
0.1196051,
0.1420002,
0.1695338,
0.2016658,
0.2403262,
0.2864252,
0.3426627,
0.4102291,
0.4898979,
0.5858309,
0.7016311,
0.8387287,
1.0059238,
1.2074923,
1.4465982,
1.7338344
],
[
0.0193888,
0.0497656,
0.0545132,
0.060285,
0.0676529,
0.0769189,
0.0886277,
0.1029231,
0.1205055,
0.1422284,
0.1691423,
0.2014157,
0.2404406,
0.2863459,
0.3427809,
0.4099378,
0.4895276,
0.5864807,
0.7022894,
0.8401208,
1.006315,
1.2057808,
1.4449067,
1.7321652
],
[
0.0241992,
0.0607117,
0.0658029,
0.0709229,
0.0773282,
0.0860007,
0.0964006,
0.1089993,
0.1253146,
0.145305,
0.1708044,
0.2022068,
0.2399493,
0.2871183,
0.3419848,
0.409219,
0.4888714,
0.5863084,
0.702559,
0.8411045,
1.0073933,
1.2058218,
1.4478631,
1.7341086
],
[
0.0310447,
0.0734585,
0.0797158,
0.0866345,
0.0937296,
0.1018676,
0.1123067,
0.1238906,
0.1375343,
0.1565488,
0.1793185,
0.2079662,
0.2438556,
0.2882634,
0.3429478,
0.4092691,
0.4898562,
0.5854713,
0.7017642,
0.8409945,
1.0062928,
1.206018,
1.4478803,
1.7343287
],
[
0.0406342,
0.0880248,
0.0955493,
0.1039802,
0.1132811,
0.124012,
0.1348252,
0.146894,
0.160799,
0.1787821,
0.1994705,
0.2248569,
0.2579045,
0.2982486,
0.3503802,
0.4117008,
0.490931,
0.5864296,
0.7022437,
0.8390867,
1.0078526,
1.2066187,
1.4478136,
1.7317504
],
[
0.0539803,
0.1078856,
0.1166045,
0.1256473,
0.1367788,
0.1490948,
0.1627081,
0.17826,
0.1948139,
0.2134068,
0.233444,
0.256979,
0.2878582,
0.3254233,
0.3722158,
0.4289593,
0.5025328,
0.5927399,
0.7030988,
0.8405249,
1.006901,
1.2074822,
1.4465573,
1.7355574
],
[
0.074132,
0.133721,
0.1434945,
0.1545453,
0.1672097,
0.1809171,
0.1966007,
0.2146952,
0.2352702,
0.2582628,
0.2831569,
0.3118478,
0.3410787,
0.3760426,
0.4177853,
0.4709823,
0.5376873,
0.6200011,
0.7222229,
0.8519312,
1.0107443,
1.2071906,
1.4444542,
1.7344687
],
[
0.1033299,
0.1685758,
0.1791896,
0.1920125,
0.2067424,
0.223072,
0.2415487,
0.2610349,
0.2839027,
0.3100463,
0.3417039,
0.375105,
0.411978,
0.4517753,
0.4974013,
0.5470182,
0.6080863,
0.6832658,
0.7781313,
0.8958673,
1.0438043,
1.2277652,
1.4524244,
1.7358927
],
[
0.148706,
0.2176365,
0.2289991,
0.2427589,
0.2584802,
0.2775712,
0.2983724,
0.3211174,
0.3468074,
0.3776772,
0.4126929,
0.4519556,
0.4960956,
0.5455351,
0.5995132,
0.6592659,
0.7256697,
0.7972858,
0.8858733,
0.9956846,
1.1300548,
1.2993838,
1.5062197,
1.7672741
]
]
},
"timing_sense": "negative_unate"
}
}
}