blob: 25de6f062ed9a556f95e467c0ce19ac8db8bce37 [file] [log] [blame]
{
"area": 41.5584,
"cell_footprint": "sky130_fd_sc_ms__sdfxtp",
"cell_leakage_power": 0.0,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "(D&!SCE) | (SCD&SCE)"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,CLK": {
"capacitance": 0.002929,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.00279,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0339712,
0.0333672,
0.0327508,
0.0324669,
0.032309,
0.0331287,
0.0346259,
0.0379326,
0.0431599,
0.0526796,
0.0672256,
0.0893114,
0.1227112
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0242239,
0.0237549,
0.0232856,
0.0229665,
0.0227215,
0.0234425,
0.0247575,
0.0281001,
0.0333474,
0.0430849,
0.0579237,
0.0804144,
0.1143876
]
}
},
"max_transition": 1.0,
"min_pulse_width_high": 0.065448,
"min_pulse_width_low": 0.132458,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.003068
},
"pin,D": {
"capacitance": 0.002027,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001943,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0112641,
0.0106339,
0.0099875,
0.0095092,
0.0090623,
0.0090197,
0.0092264,
0.010181,
0.0118833,
0.0152104,
0.0204712,
0.0286327,
0.0411467
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.002198,
0.0017044,
0.0012051,
0.0008176,
0.0004672,
0.0003527,
0.0004117,
0.0015052,
0.0033763,
0.0070619,
0.0128207,
0.0216892,
0.0352239
]
}
},
"max_transition": 1.0,
"nextstate_type": "data",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002111,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1179668,
0.1234518,
0.1315898,
0.1503073,
0.1889629,
0.2540671,
0.311847,
0.3659648,
0.4176413,
0.4668763
],
[
0.1149232,
0.1191875,
0.1273255,
0.146043,
0.1846986,
0.2498027,
0.3075827,
0.3617005,
0.413377,
0.462612
],
[
0.1104473,
0.1147116,
0.1228496,
0.1415671,
0.1802227,
0.2453268,
0.3043275,
0.3584453,
0.408901,
0.4581361
],
[
0.1063783,
0.1106426,
0.1200013,
0.1387187,
0.1761536,
0.2424785,
0.3002585,
0.3543763,
0.4060527,
0.4540671
],
[
0.1055645,
0.1098288,
0.1191875,
0.137905,
0.1765605,
0.2416647,
0.3006654,
0.3547832,
0.4052389,
0.4544739
],
[
0.1112611,
0.1155254,
0.1248841,
0.1436016,
0.1834779,
0.248582,
0.3075827,
0.3629212,
0.4145977,
0.4650534
],
[
0.1218405,
0.1261048,
0.1354635,
0.154181,
0.1940573,
0.2591615,
0.3193828,
0.3747214,
0.4276185,
0.4780742
],
[
0.136082,
0.1403463,
0.1497051,
0.1684225,
0.2070781,
0.273403,
0.3336243,
0.3889629,
0.4430807,
0.4935365
],
[
0.1539857,
0.15825,
0.166388,
0.1851055,
0.2237611,
0.2888652,
0.3490866,
0.4056458,
0.458543,
0.5102194
],
[
0.17311,
0.1773744,
0.1855124,
0.2042298,
0.2428854,
0.3079896,
0.368211,
0.4235495,
0.4776673,
0.528123
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.0874492,
0.0917135,
0.0986309,
0.1112448,
0.1291484,
0.1454245,
0.1494935,
0.1462383,
0.139321,
0.1299622
],
[
0.0831849,
0.0874492,
0.0943665,
0.1069805,
0.1248841,
0.1399395,
0.1440085,
0.1407533,
0.1350566,
0.1244772
],
[
0.0774883,
0.0817526,
0.0886699,
0.1012839,
0.1191875,
0.1342428,
0.1383119,
0.1350566,
0.1281393,
0.1187806
],
[
0.0758607,
0.080125,
0.0870423,
0.0996563,
0.1151185,
0.1301738,
0.1330221,
0.1297669,
0.1240703,
0.1134909
],
[
0.0799297,
0.084194,
0.0911113,
0.1025046,
0.1179668,
0.1318014,
0.1346497,
0.1313945,
0.1244772,
0.1138978
],
[
0.0978333,
0.1020977,
0.109015,
0.1191875,
0.1346497,
0.1472637,
0.1488913,
0.1456361,
0.1387187,
0.12936
],
[
0.1193991,
0.1236634,
0.12936,
0.1407533,
0.1549948,
0.1676087,
0.170457,
0.1672018,
0.1602845,
0.1497051
],
[
0.1409648,
0.1452292,
0.1521465,
0.162319,
0.1765605,
0.1891745,
0.1932435,
0.1899883,
0.183071,
0.1737122
],
[
0.164972,
0.1692363,
0.1749329,
0.1863262,
0.199347,
0.2131816,
0.2172507,
0.2152162,
0.2082988,
0.2001608
],
[
0.1877585,
0.1920228,
0.1989401,
0.2091126,
0.2233542,
0.2371888,
0.2412578,
0.240444,
0.2347474,
0.2253887
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0700215,
-0.0742858,
-0.0824238,
-0.1011413,
-0.1385762,
-0.1975768,
-0.250474,
-0.2984883,
-0.3440612,
-0.3871927
],
[
-0.0657572,
-0.0712422,
-0.0793802,
-0.0980977,
-0.1343119,
-0.1945332,
-0.2474303,
-0.2954447,
-0.3410176,
-0.3853698
],
[
-0.062502,
-0.0667663,
-0.0749043,
-0.0936217,
-0.1310566,
-0.1900573,
-0.2441751,
-0.2934102,
-0.3402038,
-0.3833353
],
[
-0.0584329,
-0.0626973,
-0.0708353,
-0.0907734,
-0.1282083,
-0.1884297,
-0.2425475,
-0.2930032,
-0.3397969,
-0.3841491
],
[
-0.0563984,
-0.0606628,
-0.0688008,
-0.0887389,
-0.1261738,
-0.1888366,
-0.2441751,
-0.2946309,
-0.3426452,
-0.3882181
],
[
-0.0584329,
-0.0626973,
-0.072056,
-0.0907734,
-0.129429,
-0.1933125,
-0.2498717,
-0.3027689,
-0.3520039,
-0.3987975
],
[
-0.0653503,
-0.0708353,
-0.0789733,
-0.0989115,
-0.1375671,
-0.2014505,
-0.2592305,
-0.3121276,
-0.3625833,
-0.4105977
],
[
-0.0759297,
-0.0814147,
-0.0895527,
-0.1094909,
-0.1481465,
-0.2120299,
-0.2698099,
-0.3251484,
-0.3743835,
-0.4236185
],
[
-0.0877298,
-0.0919941,
-0.1013529,
-0.121291,
-0.1587259,
-0.2238301,
-0.2828307,
-0.3357279,
-0.3874043,
-0.4366393
],
[
-0.09953,
-0.105015,
-0.113153,
-0.1330911,
-0.170526,
-0.2356302,
-0.2946309,
-0.347528,
-0.3992044,
-0.4484395
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0529316,
-0.057196,
-0.0641133,
-0.0755065,
-0.0885273,
-0.0950378,
-0.0905618,
-0.0787617,
-0.0645202,
-0.0478372
],
[
-0.049888,
-0.0541523,
-0.0610697,
-0.0724629,
-0.0854837,
-0.0919941,
-0.0862975,
-0.0757181,
-0.0614766,
-0.0447936
],
[
-0.0478535,
-0.0521178,
-0.0590352,
-0.0704284,
-0.0834492,
-0.0899596,
-0.0854837,
-0.0749043,
-0.0606628,
-0.0439798
],
[
-0.0486673,
-0.0529316,
-0.059849,
-0.0724629,
-0.084263,
-0.0919941,
-0.0887389,
-0.0781595,
-0.0651387,
-0.0484557
],
[
-0.0563984,
-0.0606628,
-0.0663594,
-0.0777526,
-0.0907734,
-0.100946,
-0.0976908,
-0.0895527,
-0.0777526,
-0.0622904
],
[
-0.0755228,
-0.0797871,
-0.0854837,
-0.096877,
-0.1086771,
-0.1200703,
-0.1192565,
-0.1123392,
-0.1017598,
-0.0887389
],
[
-0.0970885,
-0.1013529,
-0.1070495,
-0.1184427,
-0.1314635,
-0.1416361,
-0.142043,
-0.1363463,
-0.1269876,
-0.1139668
],
[
-0.1186543,
-0.1229186,
-0.1298359,
-0.1400085,
-0.1530293,
-0.1644225,
-0.1648294,
-0.1603535,
-0.1522155,
-0.1404154
],
[
-0.1426615,
-0.1469258,
-0.1526224,
-0.1627949,
-0.1758158,
-0.187209,
-0.1900573,
-0.1868021,
-0.1774434,
-0.1668639
],
[
-0.1654479,
-0.1697122,
-0.1754089,
-0.1868021,
-0.1998229,
-0.2112161,
-0.2140645,
-0.2108092,
-0.2051126,
-0.1945332
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": {
"fall_power,pwr_template13x9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01312,
0.02624,
0.05248,
0.10496,
0.20992,
0.41984,
0.83967
],
"values": [
[
0.0641628,
0.0488585,
0.0354661,
0.0106442,
-0.0388792,
-0.1388243,
-0.3386378,
-0.7381242,
-1.5369263
],
[
0.0646206,
0.0493936,
0.0359096,
0.0111003,
-0.038466,
-0.1383614,
-0.3381601,
-0.7376607,
-1.5364833
],
[
0.0651585,
0.0498914,
0.036405,
0.0115897,
-0.0379059,
-0.1377913,
-0.3376635,
-0.7372014,
-1.536003
],
[
0.0656258,
0.0503368,
0.0368998,
0.0120962,
-0.0374518,
-0.1373415,
-0.3371649,
-0.7366864,
-1.5354738
],
[
0.066226,
0.0509999,
0.0375713,
0.0128257,
-0.0367157,
-0.1366295,
-0.3365107,
-0.7359891,
-1.5347712
],
[
0.0663284,
0.0510157,
0.0375453,
0.0127475,
-0.0367442,
-0.1366235,
-0.3364734,
-0.7358938,
-1.5347962
],
[
0.0669589,
0.0516861,
0.0382014,
0.0133968,
-0.0360921,
-0.1359608,
-0.3358384,
-0.735432,
-1.5341668
],
[
0.067034,
0.0517469,
0.038291,
0.0134913,
-0.0360027,
-0.1360024,
-0.3357571,
-0.7352941,
-1.5341099
],
[
0.0674452,
0.0522406,
0.0388152,
0.0140061,
-0.0355273,
-0.1353647,
-0.3352094,
-0.734712,
-1.5335589
],
[
0.0708035,
0.0552102,
0.0411991,
0.0148384,
-0.035867,
-0.1357766,
-0.3356455,
-0.7351403,
-1.5339584
],
[
0.0710232,
0.0554204,
0.0414531,
0.0151922,
-0.0355806,
-0.1358682,
-0.3358838,
-0.735401,
-1.534198
],
[
0.0709366,
0.055338,
0.0413398,
0.0151214,
-0.0356498,
-0.1359636,
-0.33588,
-0.7356336,
-1.5342522
],
[
0.070562,
0.055083,
0.0410453,
0.0148038,
-0.0359042,
-0.1362124,
-0.3361419,
-0.7357589,
-1.5344692
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01312,
0.02624,
0.05248,
0.10496,
0.20992,
0.41984,
0.83967
],
"values": [
[
0.0626514,
0.0726088,
0.0843703,
0.1083429,
0.1570095,
0.2553724,
0.4517686,
0.8459661,
1.6350284
],
[
0.0631024,
0.0730739,
0.0847968,
0.1088283,
0.1572995,
0.2555027,
0.4527439,
0.8466461,
1.6355562
],
[
0.0636324,
0.073664,
0.0854202,
0.1093503,
0.1578364,
0.2560506,
0.4532295,
0.8470881,
1.6365422
],
[
0.0640734,
0.0740552,
0.0857935,
0.1098098,
0.1582976,
0.256299,
0.4537192,
0.8476324,
1.6365421
],
[
0.0647668,
0.0748052,
0.0865186,
0.110645,
0.1591062,
0.2569319,
0.4538451,
0.8482893,
1.6371988
],
[
0.064744,
0.0748489,
0.0865207,
0.1105483,
0.1589564,
0.2569677,
0.4541108,
0.8480315,
1.6372076
],
[
0.0654705,
0.0754577,
0.0871779,
0.111181,
0.1596413,
0.2578471,
0.4549326,
0.8494484,
1.638088
],
[
0.0655483,
0.0755256,
0.0872516,
0.1112758,
0.1597135,
0.2580727,
0.4544672,
0.8484473,
1.6388061
],
[
0.0661639,
0.0761679,
0.0878644,
0.1117891,
0.1602953,
0.2582143,
0.4548182,
0.8493438,
1.6382099
],
[
0.0674685,
0.0769467,
0.087857,
0.1116818,
0.1604877,
0.2580905,
0.4547143,
0.8490209,
1.6377873
],
[
0.0682388,
0.0777643,
0.0890776,
0.1126731,
0.1605145,
0.2590035,
0.4551734,
0.8488877,
1.6379433
],
[
0.0687206,
0.0782428,
0.0895123,
0.1132493,
0.1619759,
0.2586519,
0.4562364,
0.8492808,
1.6379709
],
[
0.0700658,
0.0795401,
0.0907661,
0.1143692,
0.1629645,
0.2617934,
0.4571456,
0.8515114,
1.6392744
]
]
}
},
"max_capacitance": 0.55759,
"max_transition": 1.0,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01312,
0.02624,
0.05248,
0.10496,
0.20992,
0.41984,
0.83967
],
"values": [
[
0.1673263,
0.1769827,
0.184521,
0.1970718,
0.2180803,
0.2545054,
0.3218902,
0.4546278,
0.7194131
],
[
0.1689249,
0.1785685,
0.1861006,
0.1986772,
0.2197089,
0.2560975,
0.3235041,
0.4561648,
0.7210004
],
[
0.170879,
0.1805488,
0.1880502,
0.2005685,
0.221656,
0.2580136,
0.3254217,
0.4579803,
0.723312
],
[
0.1738465,
0.1835057,
0.1910271,
0.2036096,
0.2246257,
0.2610387,
0.3284113,
0.4609819,
0.7262749
],
[
0.1779618,
0.1876357,
0.195079,
0.2076382,
0.2287077,
0.2651295,
0.3324948,
0.4652092,
0.7307429
],
[
0.182808,
0.1924699,
0.1999772,
0.2125852,
0.233592,
0.2699273,
0.3373444,
0.4699853,
0.7353601
],
[
0.1876264,
0.1973021,
0.2047944,
0.2174075,
0.2384138,
0.2747469,
0.3421669,
0.4749103,
0.7400653
],
[
0.1921157,
0.2017672,
0.2092941,
0.2218627,
0.2428699,
0.2792927,
0.3466532,
0.4792792,
0.7443414
],
[
0.1953892,
0.2050415,
0.2125632,
0.2251453,
0.2461546,
0.2825152,
0.3498773,
0.4823146,
0.7479839
],
[
0.195785,
0.2054581,
0.2129538,
0.2255647,
0.2465355,
0.2829536,
0.3503325,
0.4829552,
0.7475401
],
[
0.1911458,
0.2008038,
0.208338,
0.2208924,
0.2419346,
0.2782437,
0.3456848,
0.4784067,
0.7425984
],
[
0.1778503,
0.1874977,
0.1950158,
0.2076128,
0.2285802,
0.2650163,
0.3323148,
0.4649943,
0.7299307
],
[
0.150706,
0.1603641,
0.167889,
0.1804488,
0.2014403,
0.2378478,
0.3051921,
0.4377224,
0.703229
]
]
},
"cell_rise,delay_template13x9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01312,
0.02624,
0.05248,
0.10496,
0.20992,
0.41984,
0.83967
],
"values": [
[
0.1806125,
0.1922695,
0.2019779,
0.2191371,
0.2502207,
0.3107327,
0.4314781,
0.6744457,
1.156313
],
[
0.1821998,
0.1938566,
0.2035699,
0.2207324,
0.2517968,
0.3123319,
0.4335344,
0.6759817,
1.1606711
],
[
0.1841276,
0.195814,
0.2055486,
0.2225599,
0.2537954,
0.3143945,
0.4354031,
0.6764354,
1.1599602
],
[
0.1870129,
0.1986693,
0.2083793,
0.2255481,
0.2565969,
0.3174221,
0.4383709,
0.680805,
1.1654638
],
[
0.1910858,
0.2027335,
0.2124499,
0.2296195,
0.2606676,
0.3212885,
0.4423902,
0.683573,
1.1697201
],
[
0.196052,
0.2076963,
0.2174261,
0.2344743,
0.2656647,
0.3261597,
0.4470861,
0.6893697,
1.174653
],
[
0.2010762,
0.2127154,
0.22243,
0.2395853,
0.2706394,
0.3312184,
0.4521836,
0.6948198,
1.1787613
],
[
0.2058554,
0.217494,
0.2272112,
0.2443769,
0.2754516,
0.3359341,
0.45686,
0.6993316,
1.1813632
],
[
0.2097381,
0.2213832,
0.2311007,
0.2481171,
0.2793008,
0.340132,
0.4611656,
0.703247,
1.1882515
],
[
0.2112596,
0.2229242,
0.232618,
0.249778,
0.2808633,
0.3413062,
0.4625431,
0.7046966,
1.1899398
],
[
0.2082421,
0.2199097,
0.2296548,
0.2466699,
0.2778972,
0.3383964,
0.4598234,
0.7005651,
1.1866707
],
[
0.1977684,
0.2094461,
0.2191952,
0.2362673,
0.2673138,
0.3280966,
0.4492758,
0.6912122,
1.1727711
],
[
0.1746212,
0.1863309,
0.1960582,
0.2132208,
0.2443333,
0.3048667,
0.4256347,
0.667303,
1.1516806
]
]
},
"fall_transition,delay_template13x9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01312,
0.02624,
0.05248,
0.10496,
0.20992,
0.41984,
0.83967
],
"values": [
[
0.0158604,
0.0234407,
0.0295768,
0.0407396,
0.0619882,
0.1034375,
0.1907165,
0.3705096,
0.7343076
],
[
0.0158884,
0.023429,
0.0295486,
0.0407585,
0.0617205,
0.1033081,
0.1903137,
0.3713891,
0.7333145
],
[
0.0158157,
0.0233912,
0.0296036,
0.0407837,
0.0618829,
0.103638,
0.1906564,
0.3697926,
0.7317556
],
[
0.015885,
0.0234329,
0.0294885,
0.0407575,
0.0618358,
0.1036345,
0.1906749,
0.3700701,
0.7313
],
[
0.015833,
0.0232613,
0.0294779,
0.0407619,
0.0619961,
0.1035297,
0.1905344,
0.3699857,
0.7330297
],
[
0.015836,
0.023384,
0.0296039,
0.0407774,
0.0618883,
0.10367,
0.1905841,
0.370974,
0.7337065
],
[
0.0158214,
0.0233973,
0.0295994,
0.0407708,
0.0619026,
0.1036707,
0.1905364,
0.3710805,
0.7339915
],
[
0.0159117,
0.0234172,
0.0295395,
0.0407507,
0.0619802,
0.1034651,
0.1904819,
0.3698868,
0.7332943
],
[
0.0159172,
0.0234283,
0.0294687,
0.0405027,
0.0614919,
0.1035654,
0.190753,
0.3709853,
0.7328844
],
[
0.0158278,
0.0234042,
0.0295933,
0.040759,
0.0619686,
0.1036554,
0.1902153,
0.3703034,
0.7337422
],
[
0.0157599,
0.0233183,
0.0294768,
0.0404519,
0.0618049,
0.1036068,
0.1905859,
0.3692289,
0.733433
],
[
0.0157926,
0.0234781,
0.0295408,
0.040764,
0.0618372,
0.1034785,
0.1908375,
0.3710197,
0.7307154
],
[
0.0158425,
0.0234701,
0.0294153,
0.0405371,
0.0618601,
0.1036701,
0.1905858,
0.3702192,
0.7344375
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01312,
0.02624,
0.05248,
0.10496,
0.20992,
0.41984,
0.83967
],
"values": [
[
0.0182501,
0.0304384,
0.0414263,
0.0626273,
0.1059999,
0.1967132,
0.3828913,
0.7553064,
1.4992916
],
[
0.0182351,
0.0304064,
0.0413902,
0.0626184,
0.1059972,
0.1966775,
0.3824915,
0.7551296,
1.498438
],
[
0.0182869,
0.0304736,
0.0414512,
0.0625033,
0.1058736,
0.1968156,
0.38236,
0.7553387,
1.4996363
],
[
0.0182216,
0.030406,
0.0414071,
0.0626013,
0.1059653,
0.1969035,
0.3824231,
0.7551383,
1.498502
],
[
0.0183172,
0.0303767,
0.0414264,
0.062521,
0.1064104,
0.1968873,
0.3824148,
0.7554711,
1.4972215
],
[
0.0182756,
0.0304936,
0.0414726,
0.0625276,
0.1058922,
0.1968809,
0.3816834,
0.7561913,
1.498355
],
[
0.0182482,
0.0304126,
0.0413969,
0.0625787,
0.1059967,
0.1964511,
0.382614,
0.7615331,
1.4994401
],
[
0.0182776,
0.0304363,
0.0414284,
0.0626394,
0.106011,
0.1967602,
0.3814161,
0.7547744,
1.499654
],
[
0.0183288,
0.0304219,
0.0414678,
0.0625376,
0.1060152,
0.1969912,
0.3814674,
0.7523941,
1.4971246
],
[
0.0183687,
0.0305077,
0.0415226,
0.0627006,
0.1060461,
0.1966335,
0.3815162,
0.7529649,
1.4984602
],
[
0.0184026,
0.0305541,
0.041611,
0.0626292,
0.1059392,
0.1973601,
0.3820629,
0.7530535,
1.4988744
],
[
0.0184853,
0.0307063,
0.0417749,
0.0626639,
0.1061635,
0.1970902,
0.3818079,
0.7540029,
1.4984689
],
[
0.0186617,
0.0307529,
0.0417463,
0.0629741,
0.1060797,
0.196717,
0.3819952,
0.7536577,
1.4986687
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
},
"pin,SCD": {
"capacitance": 0.002088,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002038,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0044569,
0.0039044,
0.0033455,
0.0028152,
0.0022779,
0.0018571,
0.0014841,
0.0012102,
0.0010576,
0.0011046,
0.0014332,
0.002184,
0.0035686
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
-0.0043451,
-0.0048192,
-0.0052911,
-0.0057697,
-0.0062444,
-0.0067662,
-0.0073057,
-0.0075533,
-0.0076814,
-0.0076192,
-0.0072827,
-0.0065348,
-0.0051695
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_in",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002137,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1570293,
0.1612936,
0.1657695,
0.1783835,
0.204832,
0.2601706,
0.311847,
0.3623027,
0.410317,
0.45589
],
[
0.1539857,
0.1570293,
0.1615052,
0.1741191,
0.2017884,
0.2559063,
0.3075827,
0.3580384,
0.4060527,
0.4516256
],
[
0.1495098,
0.1537741,
0.15825,
0.1696432,
0.1973125,
0.2514303,
0.3031068,
0.3535625,
0.4015768,
0.4483704
],
[
0.1466615,
0.1509258,
0.1554017,
0.1667949,
0.1944642,
0.248582,
0.3002585,
0.3507142,
0.3987285,
0.4430807
],
[
0.1507305,
0.1537741,
0.15825,
0.1708639,
0.1985332,
0.252651,
0.3043275,
0.3535625,
0.4003561,
0.445929
],
[
0.1637513,
0.1680156,
0.1724915,
0.1838848,
0.211554,
0.2656719,
0.318569,
0.367804,
0.4158184,
0.462612
],
[
0.1792135,
0.1834779,
0.1879538,
0.2005677,
0.228237,
0.2835755,
0.335252,
0.3869284,
0.4349427,
0.4817363
],
[
0.1958965,
0.2001608,
0.2046367,
0.2172507,
0.2461406,
0.3014792,
0.3543763,
0.4060527,
0.4552878,
0.5020814
],
[
0.2138001,
0.2180645,
0.2237611,
0.236375,
0.2640443,
0.3206035,
0.3735007,
0.4251771,
0.4756328,
0.5224264
],
[
0.2341452,
0.2371888,
0.2428854,
0.2554994,
0.2843893,
0.3409486,
0.3938457,
0.4467428,
0.4959779,
0.5439922
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1167461,
0.1197897,
0.1230449,
0.1319967,
0.147459,
0.1625143,
0.1665833,
0.1633281,
0.1576315,
0.1482728
],
[
0.1112611,
0.1143047,
0.1187806,
0.1277324,
0.141974,
0.1570293,
0.162319,
0.1590638,
0.1533672,
0.1440085
],
[
0.1067852,
0.1086081,
0.113084,
0.1220358,
0.137498,
0.1525534,
0.1566224,
0.1545879,
0.1476706,
0.1395326
],
[
0.1027161,
0.1057598,
0.1102357,
0.1191875,
0.1346497,
0.1484844,
0.1525534,
0.1505189,
0.1436016,
0.1354635
],
[
0.1067852,
0.1086081,
0.113084,
0.1220358,
0.137498,
0.1513327,
0.1554017,
0.1521465,
0.1464499,
0.1370911
],
[
0.1210267,
0.1240703,
0.1285462,
0.137498,
0.1529603,
0.1667949,
0.1696432,
0.1676087,
0.1606914,
0.1525534
],
[
0.140151,
0.1431947,
0.1476706,
0.1566224,
0.1720846,
0.18714,
0.1899883,
0.1879538,
0.1810365,
0.1728984
],
[
0.1617168,
0.1647604,
0.1680156,
0.1781881,
0.1924297,
0.2087057,
0.2127747,
0.2107402,
0.2038229,
0.1956849
],
[
0.1832826,
0.1863262,
0.1908021,
0.1997539,
0.2152162,
0.2302715,
0.2355612,
0.2335267,
0.2290508,
0.2196921
],
[
0.2072897,
0.2103333,
0.2148092,
0.2237611,
0.2392233,
0.2554994,
0.2595684,
0.2587546,
0.2542786,
0.2449199
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.1017598,
-0.1060241,
-0.1105,
-0.1231139,
-0.1483418,
-0.1963561,
-0.2431497,
-0.287502,
-0.3306335,
-0.3701029
],
[
-0.0987161,
-0.1029805,
-0.1074564,
-0.1188496,
-0.1452982,
-0.1933125,
-0.2401061,
-0.2844583,
-0.3275899,
-0.3670593
],
[
-0.0966816,
-0.0997253,
-0.1054219,
-0.1168151,
-0.142043,
-0.191278,
-0.2380716,
-0.2824238,
-0.3255553,
-0.3662454
],
[
-0.0962747,
-0.1005391,
-0.105015,
-0.1164082,
-0.1428568,
-0.1920918,
-0.2401061,
-0.285679,
-0.3288105,
-0.3695007
],
[
-0.1027852,
-0.1058288,
-0.1103047,
-0.1229186,
-0.1493672,
-0.1998229,
-0.2478372,
-0.2934102,
-0.3365417,
-0.3796732
],
[
-0.1182474,
-0.121291,
-0.1269876,
-0.1383809,
-0.1660501,
-0.2177266,
-0.2669616,
-0.3125345,
-0.3581074,
-0.4012389
],
[
-0.136151,
-0.1391947,
-0.1448913,
-0.1575052,
-0.1839538,
-0.2368509,
-0.2873066,
-0.335321,
-0.3808939,
-0.4252461
],
[
-0.1552754,
-0.158319,
-0.1640156,
-0.1766296,
-0.2042988,
-0.2584167,
-0.3088724,
-0.3568867,
-0.4036804,
-0.4492533
],
[
-0.1743997,
-0.1786641,
-0.1843607,
-0.1969746,
-0.2246439,
-0.2787617,
-0.3304382,
-0.3796732,
-0.4276875,
-0.4732604
],
[
-0.1959655,
-0.2002298,
-0.2047057,
-0.2173197,
-0.2449889,
-0.3003275,
-0.3507832,
-0.4024596,
-0.4492533,
-0.4960469
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0785664,
-0.08161,
-0.0848652,
-0.0938171,
-0.1056172,
-0.1133483,
-0.1088724,
-0.0995137,
-0.0852721,
-0.0698099
],
[
-0.0743021,
-0.0773457,
-0.0818216,
-0.0907734,
-0.1025736,
-0.1103047,
-0.1058288,
-0.0964701,
-0.0834492,
-0.067987
],
[
-0.0722676,
-0.0753112,
-0.0797871,
-0.0887389,
-0.1005391,
-0.1082702,
-0.1062357,
-0.096877,
-0.0838561,
-0.0683939
],
[
-0.0743021,
-0.076125,
-0.0806009,
-0.0895527,
-0.1025736,
-0.1115254,
-0.1094909,
-0.1013529,
-0.088332,
-0.0740905
],
[
-0.0795918,
-0.0814147,
-0.0858906,
-0.0948425,
-0.109084,
-0.1204772,
-0.1196634,
-0.1127461,
-0.100946,
-0.0879251
],
[
-0.0938333,
-0.096877,
-0.1013529,
-0.1103047,
-0.1245462,
-0.1371602,
-0.1387878,
-0.1343119,
-0.1249531,
-0.113153
],
[
-0.111737,
-0.1135599,
-0.1180358,
-0.1269876,
-0.1424499,
-0.1562845,
-0.1591328,
-0.1558776,
-0.1477396,
-0.1371602
],
[
-0.1296406,
-0.1326842,
-0.1371602,
-0.146112,
-0.1615742,
-0.1766296,
-0.1806986,
-0.1786641,
-0.170526,
-0.1611673
],
[
-0.148765,
-0.1518086,
-0.1562845,
-0.1652363,
-0.1806986,
-0.1981953,
-0.2022643,
-0.2002298,
-0.1957539,
-0.1851745
],
[
-0.1678893,
-0.1709329,
-0.1754089,
-0.1843607,
-0.2010436,
-0.2185404,
-0.2238301,
-0.2230163,
-0.2197611,
-0.2104023
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,SCE": {
"capacitance": 0.004416,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004289,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0160728,
0.0154632,
0.0148487,
0.014387,
0.0139856,
0.0141488,
0.0146848,
0.0163227,
0.0190707,
0.0242456,
0.0322986,
0.0446685,
0.0635166
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
-0.0001485,
-0.0005816,
-0.0010171,
-0.0012872,
-0.0014822,
-0.0013495,
-0.0009404,
0.0009477,
0.00399,
0.0093674,
0.017643,
0.0302661,
0.0494128
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_enable",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004542,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1313945,
0.1356588,
0.1401348,
0.1527487,
0.1791973,
0.2284323,
0.2752259,
0.3183574,
0.3590475,
0.3972962
],
[
0.1283509,
0.1313945,
0.1370911,
0.1484844,
0.1749329,
0.2253887,
0.2709616,
0.3153138,
0.3547832,
0.3942526
],
[
0.123875,
0.1269186,
0.1326152,
0.1440085,
0.170457,
0.2209128,
0.2664857,
0.3108379,
0.3503073,
0.388556
],
[
0.119806,
0.1240703,
0.1285462,
0.1399395,
0.1676087,
0.2168438,
0.2636374,
0.3067689,
0.3462383,
0.384487
],
[
0.1189922,
0.1232565,
0.1277324,
0.1391256,
0.1667949,
0.2160299,
0.2628236,
0.3059551,
0.3454245,
0.3848939
],
[
0.1246888,
0.1277324,
0.133429,
0.1448223,
0.1724915,
0.2229473,
0.2697409,
0.3128724,
0.3535625,
0.3930319
],
[
0.1352682,
0.1383119,
0.1440085,
0.1554017,
0.1818503,
0.2335267,
0.2803203,
0.3246725,
0.3653626,
0.404832
],
[
0.1495098,
0.1525534,
0.15825,
0.1696432,
0.1960918,
0.2465475,
0.2945618,
0.3389141,
0.3796042,
0.4190736
],
[
0.1661927,
0.1692363,
0.1749329,
0.1863262,
0.2127747,
0.2632305,
0.3100241,
0.3543763,
0.3962871,
0.4357565
],
[
0.1853171,
0.1895814,
0.1940573,
0.2054505,
0.2318991,
0.2811341,
0.3279277,
0.3735007,
0.4141908,
0.4536602
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1020977,
0.106362,
0.1120586,
0.1258932,
0.147459,
0.1710592,
0.1824525,
0.1877422,
0.1893698,
0.188556
],
[
0.0966126,
0.100877,
0.1077943,
0.1216289,
0.141974,
0.1655742,
0.1769674,
0.1834779,
0.1851055,
0.1842917
],
[
0.0921367,
0.0951803,
0.1020977,
0.1159323,
0.137498,
0.1598776,
0.1724915,
0.1777813,
0.1794089,
0.1785951
],
[
0.0880677,
0.092332,
0.0992493,
0.1118633,
0.133429,
0.1558086,
0.1672018,
0.1737122,
0.1753398,
0.174526
],
[
0.090916,
0.0951803,
0.1020977,
0.1147116,
0.1362773,
0.1574362,
0.1688294,
0.1753398,
0.1769674,
0.1761536
],
[
0.107599,
0.1118633,
0.1175599,
0.1313945,
0.1517396,
0.1728984,
0.1842917,
0.1908021,
0.1924297,
0.1928366
],
[
0.127944,
0.1309876,
0.137905,
0.1517396,
0.1708639,
0.1932435,
0.2058574,
0.2123678,
0.2152161,
0.2144023
],
[
0.1495098,
0.1525534,
0.1594707,
0.1733053,
0.1936504,
0.2160299,
0.2286439,
0.236375,
0.2392233,
0.2408509
],
[
0.1722962,
0.1765605,
0.1822572,
0.1960918,
0.2164368,
0.2400371,
0.2538717,
0.2616029,
0.2656719,
0.2672995
],
[
0.1950827,
0.199347,
0.2062643,
0.2188783,
0.240444,
0.2640443,
0.2790996,
0.2880514,
0.2933411,
0.2949688
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0834492,
-0.0864928,
-0.0921895,
-0.1035827,
-0.1275898,
-0.1731628,
-0.2138529,
-0.2508809,
-0.2854674,
-0.3188333
],
[
-0.0791849,
-0.0834492,
-0.0879251,
-0.1005391,
-0.1245462,
-0.1688984,
-0.2095885,
-0.2478372,
-0.2824238,
-0.3157897
],
[
-0.074709,
-0.0789733,
-0.0834492,
-0.0960631,
-0.1200703,
-0.1656432,
-0.2063333,
-0.244582,
-0.2803893,
-0.3137552
],
[
-0.0718607,
-0.0749043,
-0.0806009,
-0.0919941,
-0.117222,
-0.1627949,
-0.2047057,
-0.2429544,
-0.2787617,
-0.3121276
],
[
-0.0686055,
-0.0728698,
-0.0773457,
-0.0899596,
-0.1151875,
-0.1619811,
-0.2038919,
-0.2433613,
-0.2791686,
-0.3137552
],
[
-0.07064,
-0.0749043,
-0.0806009,
-0.0919941,
-0.1184427,
-0.1652363,
-0.2083678,
-0.249058,
-0.2860859,
-0.3206725
],
[
-0.078778,
-0.0818216,
-0.0875182,
-0.0989115,
-0.12536,
-0.1721536,
-0.2165059,
-0.2559753,
-0.294224,
-0.3288105
],
[
-0.0893574,
-0.092401,
-0.0980977,
-0.1094909,
-0.1347187,
-0.1815124,
-0.2258646,
-0.2665547,
-0.302362,
-0.3381693
],
[
-0.1011576,
-0.1054219,
-0.1098978,
-0.121291,
-0.1452982,
-0.1920918,
-0.236444,
-0.2759134,
-0.3117207,
-0.347528
],
[
-0.1129577,
-0.117222,
-0.1216979,
-0.1330911,
-0.1570983,
-0.2026712,
-0.2458027,
-0.2852721,
-0.3210794,
-0.355666
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.063918,
-0.0681823,
-0.0738789,
-0.0877135,
-0.1043965,
-0.1182311,
-0.1210794,
-0.1178242,
-0.1121276,
-0.1039896
],
[
-0.0596536,
-0.063918,
-0.0708353,
-0.0834492,
-0.1001322,
-0.1151875,
-0.1168151,
-0.1147806,
-0.109084,
-0.100946
],
[
-0.0576191,
-0.0618835,
-0.0688008,
-0.0814147,
-0.0993184,
-0.113153,
-0.117222,
-0.1139668,
-0.1094909,
-0.1013529
],
[
-0.0584329,
-0.0626973,
-0.0696146,
-0.0822285,
-0.1001322,
-0.1164082,
-0.1204772,
-0.1196634,
-0.1139668,
-0.1070495
],
[
-0.0649434,
-0.067987,
-0.0749043,
-0.0875182,
-0.1066426,
-0.1241393,
-0.129429,
-0.1298359,
-0.1265807,
-0.1208841
],
[
-0.0816263,
-0.0846699,
-0.0915872,
-0.1042012,
-0.1233255,
-0.142043,
-0.1509948,
-0.1526224,
-0.1518086,
-0.1473327
],
[
-0.1007506,
-0.1037943,
-0.1107116,
-0.1233255,
-0.1424499,
-0.162388,
-0.1713398,
-0.1754089,
-0.1758157,
-0.1725605
],
[
-0.119875,
-0.1241393,
-0.1310566,
-0.1436706,
-0.1627949,
-0.1827331,
-0.1941263,
-0.1981953,
-0.1998229,
-0.1965677
],
[
-0.1402201,
-0.1444844,
-0.1514017,
-0.1640156,
-0.18314,
-0.2042988,
-0.2156921,
-0.2209818,
-0.2226094,
-0.2217956
],
[
-0.1617858,
-0.1648294,
-0.1717467,
-0.1843607,
-0.203485,
-0.2258646,
-0.2372578,
-0.2437682,
-0.2466165,
-0.2458027
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"test_cell": {
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "D"
},
"pin,CLK": {
"direction": "input"
},
"pin,D": {
"direction": "input"
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"signal_type": "test_scan_out"
},
"pin,SCD": {
"direction": "input",
"signal_type": "test_scan_in"
},
"pin,SCE": {
"direction": "input",
"signal_type": "test_scan_enable"
}
}
}