blob: be4c07e73497406f1017e51c45be566867ccc5bf [file] [log] [blame]
{
"area": 9.5904,
"cell_footprint": "sky130_fd_sc_ls__clkdlyinv",
"cell_leakage_power": 0.007398664,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0074209,
"when": "A"
},
{
"value": 0.0073764,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.00198,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001837,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002123
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01641,
0.01969,
0.02363,
0.02836,
0.03403,
0.04084,
0.04901,
0.05881,
0.07057,
0.08468,
0.10162
],
"values": [
[
0.0065088,
-0.0016591,
-0.0033856,
-0.0054484,
-0.0079196,
-0.0109263,
-0.0145128,
-0.0188106,
-0.0239905,
-0.0302009,
-0.0376399,
-0.0465481,
-0.0572769,
-0.0701485,
-0.0855598
],
[
0.0064921,
-0.0016972,
-0.0034152,
-0.0054855,
-0.0079744,
-0.0109585,
-0.0145352,
-0.0188443,
-0.0240183,
-0.0302402,
-0.0376396,
-0.0466179,
-0.0573059,
-0.0701512,
-0.0856327
],
[
0.0064316,
-0.0017398,
-0.0034572,
-0.0055292,
-0.0080196,
-0.0110009,
-0.0145618,
-0.0188812,
-0.0240633,
-0.0302868,
-0.037709,
-0.0466627,
-0.0573482,
-0.070194,
-0.085679
],
[
0.0063885,
-0.0017935,
-0.0035081,
-0.0055776,
-0.0080515,
-0.0110459,
-0.0146267,
-0.0189428,
-0.0240819,
-0.0303368,
-0.0377661,
-0.0466912,
-0.057406,
-0.070277,
-0.0856834
],
[
0.0063331,
-0.0018532,
-0.0035757,
-0.0056454,
-0.0081249,
-0.0111135,
-0.0146994,
-0.0190095,
-0.0241764,
-0.0303783,
-0.0378273,
-0.046768,
-0.0574748,
-0.0703235,
-0.0857643
],
[
0.0062391,
-0.0019419,
-0.0036651,
-0.0057339,
-0.0082152,
-0.0112004,
-0.0147876,
-0.0190944,
-0.0242638,
-0.0304667,
-0.0379184,
-0.0468443,
-0.0575605,
-0.0704206,
-0.0858588
],
[
0.0061824,
-0.0020056,
-0.0037309,
-0.0057994,
-0.0082894,
-0.0112685,
-0.0148379,
-0.0191567,
-0.0243377,
-0.0305364,
-0.037944,
-0.0469112,
-0.0576324,
-0.0704645,
-0.0859308
],
[
0.0061193,
-0.0020792,
-0.0037986,
-0.0058657,
-0.0083363,
-0.0113196,
-0.0149128,
-0.0192407,
-0.0243906,
-0.0305752,
-0.0380311,
-0.0469831,
-0.0576968,
-0.0705593,
-0.0859927
],
[
0.0060429,
-0.0021438,
-0.0038663,
-0.0059345,
-0.0084112,
-0.0113972,
-0.0149822,
-0.0192922,
-0.0244546,
-0.0306608,
-0.0381038,
-0.0470401,
-0.0577558,
-0.0706164,
-0.0860426
],
[
0.0059872,
-0.0022121,
-0.0039281,
-0.0059901,
-0.0084693,
-0.0114497,
-0.0150386,
-0.0193476,
-0.0245124,
-0.0307145,
-0.0381616,
-0.0470916,
-0.0578089,
-0.0706641,
-0.0861048
],
[
0.0061667,
-0.0022662,
-0.0039801,
-0.0060461,
-0.0085237,
-0.0115049,
-0.0150893,
-0.0193981,
-0.0245594,
-0.0307619,
-0.0382066,
-0.0471412,
-0.0578571,
-0.0707157,
-0.0861439
],
[
0.0061174,
-0.0022385,
-0.0039606,
-0.0060498,
-0.0085552,
-0.0115618,
-0.0151391,
-0.0194469,
-0.0246129,
-0.0308122,
-0.0382565,
-0.0471878,
-0.0579056,
-0.0707627,
-0.0862015
],
[
0.0060648,
-0.0023055,
-0.004015,
-0.0060768,
-0.0085452,
-0.0115293,
-0.0151199,
-0.019437,
-0.0246407,
-0.0308626,
-0.0383104,
-0.0472269,
-0.0579397,
-0.0707993,
-0.0862363
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01641,
0.01969,
0.02363,
0.02836,
0.03403,
0.04084,
0.04901,
0.05881,
0.07057,
0.08468,
0.10162
],
"values": [
[
0.0114287,
0.0201891,
0.0219151,
0.0239952,
0.0264601,
0.0294294,
0.0329896,
0.0372128,
0.0423712,
0.0484284,
0.0557956,
0.0647344,
0.0753223,
0.0879243,
0.1033453
],
[
0.0113904,
0.0201718,
0.0218773,
0.0239107,
0.0264278,
0.0293902,
0.0329382,
0.0371706,
0.0423259,
0.0484306,
0.0558258,
0.0646798,
0.0752988,
0.088,
0.1033199
],
[
0.0113486,
0.0200783,
0.0218732,
0.0238641,
0.0263494,
0.02933,
0.0328379,
0.037207,
0.0423064,
0.0484239,
0.0557775,
0.0646303,
0.0753004,
0.0880208,
0.1032832
],
[
0.0113083,
0.0200447,
0.0217937,
0.0238726,
0.0263269,
0.0292512,
0.0328669,
0.0370823,
0.0422394,
0.0483289,
0.0556899,
0.0646192,
0.0752266,
0.0878503,
0.1032579
],
[
0.0112625,
0.0200805,
0.0217874,
0.0238293,
0.0262703,
0.0292397,
0.0328224,
0.0371354,
0.0421923,
0.0482367,
0.0556092,
0.0645763,
0.0752266,
0.0878378,
0.1032327
],
[
0.0112103,
0.0199716,
0.0217366,
0.0237224,
0.0261999,
0.0291893,
0.0327384,
0.0369913,
0.042181,
0.0482431,
0.0557249,
0.0645057,
0.0750337,
0.0877395,
0.1031325
],
[
0.0111613,
0.0199227,
0.0216847,
0.0236732,
0.0261486,
0.0291417,
0.0327,
0.0369434,
0.0420535,
0.0481766,
0.0555937,
0.0644533,
0.0749977,
0.0877707,
0.1030547
],
[
0.0111015,
0.019904,
0.0215945,
0.0236565,
0.0261634,
0.029065,
0.0326153,
0.0369004,
0.0420042,
0.0481763,
0.0555562,
0.0644142,
0.0749,
0.0877352,
0.1031436
],
[
0.0110366,
0.0198115,
0.0215551,
0.0235985,
0.0260101,
0.0290671,
0.0325914,
0.0368733,
0.0418967,
0.0480926,
0.0555171,
0.0643486,
0.0748727,
0.0875938,
0.1029946
],
[
0.0109832,
0.0197495,
0.0214716,
0.0235473,
0.0260097,
0.0289357,
0.0325506,
0.0368133,
0.0420039,
0.0480713,
0.055433,
0.0642734,
0.074904,
0.0875153,
0.1029083
],
[
0.0109201,
0.0196951,
0.0214145,
0.0234828,
0.025967,
0.0289185,
0.032473,
0.0367795,
0.0418817,
0.0479941,
0.0553848,
0.0642233,
0.0748562,
0.0874893,
0.1028513
],
[
0.0106521,
0.0196274,
0.0213743,
0.0233998,
0.0259094,
0.0288717,
0.0324237,
0.0367024,
0.0417645,
0.047967,
0.0552731,
0.0641876,
0.0747135,
0.0874236,
0.102788
],
[
0.0106458,
0.0195243,
0.0212813,
0.0234281,
0.0258687,
0.0288019,
0.0323525,
0.0366737,
0.0418234,
0.0478906,
0.0552918,
0.0641685,
0.0747817,
0.0874778,
0.1027894
]
]
}
},
"max_capacitance": 0.10162,
"max_transition": 2.97928,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01641,
0.01969,
0.02363,
0.02836,
0.03403,
0.04084,
0.04901,
0.05881,
0.07057,
0.08468,
0.10162
],
"values": [
[
0.3775407,
0.5321164,
0.5594544,
0.5918266,
0.6308346,
0.6773684,
0.7331353,
0.8002103,
0.8800245,
0.9777679,
1.0916162,
1.2310913,
1.3971375,
1.5964963,
1.8365387
],
[
0.3922848,
0.5469453,
0.5740804,
0.6067954,
0.6455867,
0.6921088,
0.7479722,
0.8147684,
0.895769,
0.9910326,
1.1068312,
1.2461175,
1.411003,
1.611586,
1.8504479
],
[
0.4088042,
0.5634948,
0.5906008,
0.623309,
0.6621438,
0.7086198,
0.7644919,
0.8313352,
0.9122191,
1.0076565,
1.12332,
1.2626419,
1.4274295,
1.6280842,
1.8670359
],
[
0.4337356,
0.5884037,
0.6155168,
0.6481684,
0.6869987,
0.733188,
0.7895205,
0.8561907,
0.9361919,
1.0339956,
1.1486377,
1.2872483,
1.4519026,
1.6532703,
1.8919761
],
[
0.4719426,
0.6262063,
0.6532931,
0.6860366,
0.7248099,
0.7711918,
0.8273177,
0.8939218,
0.9745557,
1.071346,
1.1872149,
1.3244238,
1.492634,
1.6897337,
1.9319621
],
[
0.5294019,
0.6834588,
0.7108593,
0.7434053,
0.7822032,
0.8289359,
0.8844299,
0.9516324,
1.0322849,
1.1283243,
1.2436425,
1.3833109,
1.5475715,
1.7513778,
1.9857465
],
[
0.6157269,
0.769879,
0.7969396,
0.8301578,
0.8690226,
0.9154166,
0.9713956,
1.0382605,
1.1190034,
1.2147148,
1.3303517,
1.4697171,
1.635111,
1.8333417,
2.0756978
],
[
0.7377461,
0.8919691,
0.919422,
0.9519203,
0.9909354,
1.0374313,
1.0929018,
1.1606215,
1.2401327,
1.3367666,
1.4533323,
1.5911374,
1.7578169,
1.9557671,
2.1971622
],
[
0.9062726,
1.0603175,
1.0878289,
1.1201536,
1.1592856,
1.2058187,
1.2614583,
1.3288647,
1.4082805,
1.5061605,
1.6199813,
1.7627807,
1.9273472,
2.1238653,
2.3633766
],
[
1.1383229,
1.2929265,
1.3203136,
1.3529878,
1.3916658,
1.438494,
1.4939112,
1.5611609,
1.6418078,
1.7377145,
1.8525401,
1.994696,
2.1571076,
2.3567717,
2.5969003
],
[
1.4598592,
1.6146955,
1.6419147,
1.6746905,
1.7134371,
1.7600856,
1.8157967,
1.8824797,
1.9627917,
2.0591546,
2.1759017,
2.314207,
2.4808462,
2.68006,
2.9189378
],
[
1.9058275,
2.0609288,
2.0884547,
2.1210895,
2.1599098,
2.2067668,
2.2621076,
2.3296127,
2.4101534,
2.5068307,
2.6213867,
2.7594931,
2.9254741,
3.1249174,
3.3638439
],
[
2.5251103,
2.6804474,
2.707812,
2.740671,
2.7792986,
2.8261626,
2.8822968,
2.9489877,
3.029524,
3.1259866,
3.2424261,
3.3794794,
3.5462384,
3.7447028,
3.9851957
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01641,
0.01969,
0.02363,
0.02836,
0.03403,
0.04084,
0.04901,
0.05881,
0.07057,
0.08468,
0.10162
],
"values": [
[
0.3807856,
0.5911192,
0.6341568,
0.6825702,
0.7418383,
0.811169,
0.8983677,
0.998613,
1.1188799,
1.2656962,
1.4397754,
1.6510351,
1.9038433,
2.204346,
2.5691433
],
[
0.3970564,
0.6078162,
0.6492815,
0.6977867,
0.7570036,
0.8264239,
0.913165,
1.0134447,
1.1352842,
1.2803938,
1.4568947,
1.6649853,
1.9189579,
2.2170579,
2.5833922
],
[
0.4146973,
0.6252758,
0.6668326,
0.7151999,
0.774479,
0.8440752,
0.929053,
1.0314333,
1.1518654,
1.2970189,
1.4716831,
1.6820523,
1.9345772,
2.2366473,
2.6014568
],
[
0.4422523,
0.6529576,
0.6943988,
0.7428956,
0.8021483,
0.8715484,
0.9584652,
1.0588491,
1.1791604,
1.3260458,
1.500046,
1.7114123,
1.9639808,
2.2648885,
2.629414
],
[
0.4837056,
0.6943536,
0.7357918,
0.7845279,
0.8434551,
0.9135446,
0.9996748,
1.0986652,
1.2205984,
1.3669108,
1.5417143,
1.7529297,
2.0034567,
2.307925,
2.6718898
],
[
0.5465253,
0.7570206,
0.7986209,
0.8468885,
0.9062698,
0.9760074,
1.0625389,
1.1621338,
1.2848581,
1.4288593,
1.6064798,
1.8128807,
2.0682331,
2.3689708,
2.7309852
],
[
0.6420388,
0.8525542,
0.8941889,
0.9424066,
1.0018401,
1.0716339,
1.1582088,
1.2577898,
1.3804988,
1.5246748,
1.7022307,
1.9092712,
2.1642037,
2.4623759,
2.8280347
],
[
0.7861529,
0.996953,
1.0380528,
1.087177,
1.1458421,
1.2163734,
1.3009229,
1.4016702,
1.5242656,
1.668889,
1.8462246,
2.0531774,
2.3085087,
2.6083846,
2.9725349
],
[
1.0024603,
1.2131898,
1.2543988,
1.3031697,
1.3622727,
1.4320793,
1.5169674,
1.6200129,
1.7389816,
1.8873016,
2.0596289,
2.2692579,
2.5206637,
2.8282539,
3.1849738
],
[
1.3191499,
1.5300413,
1.5707308,
1.6201405,
1.6787349,
1.749268,
1.8338125,
1.9376147,
2.0560951,
2.2025812,
2.3771887,
2.5880349,
2.8381855,
3.1394485,
3.5043701
],
[
1.7651571,
1.9759565,
2.0168349,
2.0659159,
2.1247353,
2.1944617,
2.2806201,
2.3802555,
2.5031688,
2.6514491,
2.8245208,
3.0329632,
3.2835858,
3.5887939,
3.9506802
],
[
2.393596,
2.6045659,
2.6456006,
2.6951743,
2.753155,
2.8234926,
2.9082832,
3.0095819,
3.131454,
3.276861,
3.454552,
3.664461,
3.9161354,
4.2179824,
4.5793396
],
[
3.2831333,
3.4946743,
3.5355785,
3.5849228,
3.643281,
3.7138974,
3.7993693,
3.8996411,
4.0223669,
4.1664809,
4.3416026,
4.5518762,
4.8061071,
5.1083844,
5.4729297
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01641,
0.01969,
0.02363,
0.02836,
0.03403,
0.04084,
0.04901,
0.05881,
0.07057,
0.08468,
0.10162
],
"values": [
[
0.0443607,
0.215264,
0.2476732,
0.2917089,
0.3391546,
0.4006509,
0.4722513,
0.5623661,
0.6653167,
0.7930339,
0.9468646,
1.1262393,
1.3473954,
1.6094104,
1.9212445
],
[
0.0445201,
0.2131894,
0.2492492,
0.2920323,
0.3397767,
0.3998652,
0.4745876,
0.5615548,
0.6654616,
0.794828,
0.9431896,
1.1322241,
1.3486169,
1.60733,
1.9244679
],
[
0.044334,
0.2131377,
0.2492059,
0.2917435,
0.3396513,
0.4000592,
0.4765419,
0.563655,
0.6652516,
0.7943869,
0.9430184,
1.1322596,
1.3482984,
1.6076543,
1.9249327
],
[
0.0443636,
0.2129948,
0.248676,
0.2918122,
0.3396301,
0.4021378,
0.4723846,
0.5629101,
0.6659781,
0.7954292,
0.9443928,
1.1278641,
1.3487801,
1.6112823,
1.9293485
],
[
0.0445398,
0.2132982,
0.2487229,
0.2898807,
0.3400358,
0.4001627,
0.4730829,
0.5625511,
0.6672083,
0.7942113,
0.9464872,
1.128706,
1.3494427,
1.6109486,
1.9224604
],
[
0.0438628,
0.2151569,
0.2476679,
0.2899556,
0.3416604,
0.3999964,
0.4748641,
0.5607004,
0.664813,
0.7944829,
0.9473153,
1.1275945,
1.3518335,
1.609493,
1.928645
],
[
0.0445224,
0.213286,
0.2484179,
0.292305,
0.3395364,
0.4003974,
0.4734111,
0.5620882,
0.6654679,
0.7955304,
0.9437859,
1.1284723,
1.34665,
1.6112089,
1.9222484
],
[
0.044494,
0.2144517,
0.2474795,
0.2899311,
0.339028,
0.4026987,
0.4733604,
0.5606618,
0.6685288,
0.7925761,
0.9474992,
1.1256217,
1.345015,
1.6103699,
1.9233748
],
[
0.0443667,
0.2153192,
0.2477418,
0.2908781,
0.3393205,
0.4021352,
0.4723114,
0.5627485,
0.6653252,
0.7944921,
0.9445209,
1.1299941,
1.3467554,
1.611577,
1.9240187
],
[
0.044776,
0.2144549,
0.2488012,
0.2899707,
0.3427836,
0.4000038,
0.4749517,
0.5603406,
0.6656067,
0.793985,
0.9475386,
1.1288518,
1.3484137,
1.6094954,
1.9224191
],
[
0.0445559,
0.2135053,
0.2496587,
0.2908782,
0.3394058,
0.4015924,
0.4738642,
0.5598458,
0.668601,
0.7959206,
0.9471274,
1.1308667,
1.3467,
1.6088582,
1.9262074
],
[
0.0454615,
0.2148001,
0.2500275,
0.2901498,
0.3406179,
0.4001109,
0.4751038,
0.5626289,
0.6685864,
0.7918289,
0.9428843,
1.1271901,
1.3487567,
1.6147876,
1.9231616
],
[
0.0459671,
0.2143237,
0.2501327,
0.2916331,
0.3419058,
0.4006557,
0.4733848,
0.5632488,
0.6675268,
0.7954513,
0.9446408,
1.1291602,
1.3441296,
1.6088187,
1.9240025
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01641,
0.01969,
0.02363,
0.02836,
0.03403,
0.04084,
0.04901,
0.05881,
0.07057,
0.08468,
0.10162
],
"values": [
[
0.0466148,
0.3215271,
0.3726532,
0.4375348,
0.5185714,
0.6116339,
0.7285917,
0.8612461,
1.0265565,
1.2214187,
1.4592327,
1.7447308,
2.0814339,
2.4908675,
2.9782312
],
[
0.0462599,
0.3171072,
0.3729112,
0.4376141,
0.5202108,
0.6111859,
0.7280501,
0.8611604,
1.0269477,
1.220771,
1.4587368,
1.7427391,
2.0789142,
2.4872859,
2.9792802
],
[
0.0463634,
0.3197165,
0.3722367,
0.4373418,
0.5192392,
0.6111255,
0.7244817,
0.8634025,
1.0273244,
1.224035,
1.4572139,
1.7421671,
2.0857103,
2.4863998,
2.9727971
],
[
0.0462614,
0.3194058,
0.3728717,
0.4376248,
0.5183916,
0.6114125,
0.7285221,
0.8611835,
1.0263451,
1.2214705,
1.4593842,
1.7383747,
2.0777462,
2.4901545,
2.9764613
],
[
0.0466491,
0.3202483,
0.372227,
0.4374091,
0.5173436,
0.610798,
0.7273857,
0.8612041,
1.029011,
1.2215217,
1.4597819,
1.7445886,
2.0803876,
2.4847757,
2.9753744
],
[
0.0464149,
0.3198353,
0.372221,
0.4379724,
0.5189965,
0.6111997,
0.7268119,
0.8605438,
1.0269842,
1.2220559,
1.4614835,
1.7427608,
2.0770174,
2.495425,
2.9727969
],
[
0.0462151,
0.3214686,
0.3722419,
0.4380579,
0.5193135,
0.6112472,
0.727583,
0.8607675,
1.0281357,
1.2204704,
1.4577769,
1.7428582,
2.0782491,
2.4889448,
2.9788554
],
[
0.0465111,
0.3195833,
0.3721272,
0.4400652,
0.5165874,
0.6116188,
0.7252581,
0.8653399,
1.0250829,
1.2251474,
1.4600445,
1.7434415,
2.0789448,
2.4900877,
2.9779965
],
[
0.046317,
0.3175347,
0.3737723,
0.4376265,
0.5169444,
0.6150845,
0.7270684,
0.8631894,
1.0238663,
1.2241375,
1.4599448,
1.7391303,
2.0824154,
2.4892379,
2.9777518
],
[
0.0464166,
0.3174977,
0.3751707,
0.438001,
0.5160862,
0.6148104,
0.7247704,
0.8640029,
1.03036,
1.2229251,
1.4598983,
1.7436661,
2.0786235,
2.4939437,
2.9776093
],
[
0.0474266,
0.3182136,
0.372221,
0.4376648,
0.5200849,
0.6107453,
0.7270511,
0.862681,
1.0287874,
1.2241137,
1.4594963,
1.7429276,
2.0821962,
2.4885844,
2.9760623
],
[
0.0476152,
0.3180122,
0.3726075,
0.438883,
0.5166111,
0.6130964,
0.724493,
0.8639032,
1.0248358,
1.224816,
1.4613127,
1.7429297,
2.0820543,
2.495171,
2.9768072
],
[
0.0490376,
0.3190475,
0.3729634,
0.4415621,
0.5171426,
0.6140956,
0.7254374,
0.8627133,
1.0258554,
1.2197715,
1.4581801,
1.7413886,
2.0798172,
2.4892499,
2.9729015
]
]
},
"timing_sense": "negative_unate"
}
}
}