blob: a875f3089b16ae0dbe38c0ec646a92522d2fffe0 [file] [log] [blame]
{
"area": 51.1488,
"cell_footprint": "sky130_fd_sc_ls__sedfxtp",
"cell_leakage_power": 0.01112941,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "(D&DE&!SCE) | (IQ&!DE&!SCE) | (SCD&SCE)"
},
"leakage_power": [
{
"value": 0.0049014,
"when": "!D&!SCE&!SCD&CLK"
},
{
"value": 0.0092551,
"when": "D&!SCE&!SCD&CLK"
},
{
"value": 0.0138434,
"when": "D&SCE&!SCD&CLK"
},
{
"value": 0.0114387,
"when": "D&!SCE&SCD&CLK"
},
{
"value": 0.013101,
"when": "D&!SCE&!SCD&!CLK"
},
{
"value": 0.0117631,
"when": "!D&SCE&!SCD&CLK"
},
{
"value": 0.0095788,
"when": "!D&!SCE&SCD&CLK"
},
{
"value": 0.0094688,
"when": "!D&!SCE&!SCD&!CLK"
},
{
"value": 0.0120555,
"when": "!D&SCE&SCD&CLK"
},
{
"value": 0.0095574,
"when": "!D&SCE&!SCD&!CLK"
},
{
"value": 0.0121003,
"when": "D&SCE&SCD&CLK"
},
{
"value": 0.0096022,
"when": "D&SCE&!SCD&!CLK"
},
{
"value": 0.0130995,
"when": "D&!SCE&SCD&!CLK"
},
{
"value": 0.0133169,
"when": "!D&SCE&SCD&!CLK"
},
{
"value": 0.0154572,
"when": "D&SCE&SCD&!CLK"
},
{
"value": 0.0095312,
"when": "!D&!SCE&SCD&!CLK"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,CLK": {
"capacitance": 0.002518,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.002378,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.0267441,
0.0266475,
0.0265336,
0.0265113,
0.0264777,
0.0263655,
0.0261972,
0.0260939,
0.0259389,
0.0258905,
0.0258178,
0.0257089,
0.0255455
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.0226747,
0.0226423,
0.022604,
0.0225285,
0.0224153,
0.0221378,
0.0217215,
0.0215165,
0.0212093,
0.0211449,
0.0210482,
0.0209033,
0.0206858
]
}
},
"max_transition": 1.5,
"min_pulse_width_high": 0.360948,
"min_pulse_width_low": 0.538907,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002658
},
"pin,D": {
"capacitance": 0.001726,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001627,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.0117343,
0.0116033,
0.0114488,
0.011257,
0.0109692,
0.0112183,
0.011592,
0.0126674,
0.0142805,
0.0142827,
0.014286,
0.0142909,
0.0142983
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.0116471,
0.0116002,
0.0115447,
0.0115197,
0.0114823,
0.0114709,
0.0114538,
0.0114049,
0.0113314,
0.0112903,
0.0112286,
0.011136,
0.0109972
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001825,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.9285137,
0.9364401,
0.9506817,
0.9803854,
1.0410137,
1.1647116,
1.2896302,
1.4145489,
1.5394675,
1.6631653
],
[
0.9181458,
0.9260723,
0.9403138,
0.9700176,
1.0294251,
1.1531231,
1.2780417,
1.4029602,
1.5290996,
1.6515768
],
[
0.9026836,
0.91061,
0.9236309,
0.9533347,
1.0139628,
1.1376608,
1.2625794,
1.387498,
1.5124167,
1.6361146
],
[
0.8717591,
0.8796855,
0.8939271,
0.9236309,
0.9830384,
1.1030742,
1.2328756,
1.3577943,
1.4814922,
1.6064108
],
[
0.8196758,
0.8276022,
0.8418438,
0.8703268,
0.9285137,
1.054653,
1.1795716,
1.3057109,
1.4294089,
1.5543275
],
[
0.7387025,
0.7478496,
0.7608705,
0.7893535,
0.8512025,
0.9736797,
1.0998191,
1.2259584,
1.3472148,
1.4721335
],
[
0.674819,
0.6827455,
0.6957663,
0.7242494,
0.7860983,
0.9097962,
1.0310527,
1.1608541,
1.2857728,
1.4094707
],
[
0.6182598,
0.6274069,
0.6404278,
0.6701315,
0.7307598,
0.8544577,
0.9769349,
1.1042949,
1.2279929,
1.3516908
],
[
0.5690248,
0.5781719,
0.5911927,
0.6208965,
0.6827455,
0.8027813,
0.9289206,
1.0550599,
1.1799785,
1.3024557
],
[
0.5246725,
0.532599,
0.5468405,
0.5753236,
0.6359518,
0.7572083,
0.8845684,
1.009487,
1.1356263,
1.2581036
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.371873,
0.3810202,
0.3952617,
0.4213034,
0.4758281,
0.5775534,
0.6719544,
0.7614727,
0.8412252,
0.9185365
],
[
0.3615052,
0.3706523,
0.3848939,
0.4121562,
0.4654603,
0.5684063,
0.6615866,
0.7511048,
0.8308574,
0.9081686
],
[
0.3472637,
0.3564108,
0.3706523,
0.3979147,
0.4524395,
0.5541647,
0.6485657,
0.7368633,
0.8178366,
0.8939271
],
[
0.3236634,
0.3328105,
0.3470521,
0.3730937,
0.4276185,
0.5293437,
0.6237448,
0.713263,
0.7930156,
0.8703268
],
[
0.292332,
0.3026999,
0.3157207,
0.3429831,
0.3975078,
0.4992331,
0.5936341,
0.6807109,
0.7604636,
0.8377747
],
[
0.2577455,
0.2668926,
0.2811341,
0.3083965,
0.3617005,
0.4634258,
0.5578268,
0.6424622,
0.7234355,
0.7983054
],
[
0.2317038,
0.2420716,
0.2550924,
0.2811341,
0.3344382,
0.4373841,
0.5305645,
0.6164206,
0.6973939,
0.7722637
],
[
0.2093242,
0.2184714,
0.2327129,
0.2599753,
0.3120586,
0.4137839,
0.5081849,
0.5952617,
0.6750143,
0.7486634
],
[
0.1893861,
0.1997539,
0.2127747,
0.2400371,
0.2933411,
0.3962871,
0.4894675,
0.5753236,
0.6550761,
0.729946
],
[
0.17311,
0.1810365,
0.195278,
0.2213197,
0.2758444,
0.3775697,
0.4719707,
0.5578268,
0.6375794,
0.7124492
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.77925,
-0.7883971,
-0.8014179,
-0.8286804,
-0.8880879,
-1.0117858,
-1.1403665,
-1.2652852,
-1.3889831,
-1.5090189
],
[
-0.7701029,
-0.7780293,
-0.7910501,
-0.8195332,
-0.8777201,
-1.0026387,
-1.1299987,
-1.256138,
-1.379836,
-1.4998717
],
[
-0.7558613,
-0.7637878,
-0.7768086,
-0.804071,
-0.8634785,
-0.9883971,
-1.1157571,
-1.2418964,
-1.3655944,
-1.4856302
],
[
-0.728599,
-0.7365254,
-0.7495462,
-0.7768086,
-0.8362162,
-0.9599141,
-1.0897155,
-1.2146341,
-1.3383321,
-1.4571471
],
[
-0.6813984,
-0.6893249,
-0.7023457,
-0.7308288,
-0.7877949,
-0.9127135,
-1.0412942,
-1.1674335,
-1.2911315,
-1.4111674
],
[
-0.6089701,
-0.6205586,
-0.6335794,
-0.6608418,
-0.7190286,
-0.8415059,
-0.9713073,
-1.0950052,
-1.223586,
-1.342401
],
[
-0.5585143,
-0.5664407,
-0.5721373,
-0.6079447,
-0.6697936,
-0.7910501,
-0.9098652,
-1.0408873,
-1.1682473,
-1.2870625
],
[
-0.5031758,
-0.5135436,
-0.5265645,
-0.5550475,
-0.6168965,
-0.7405944,
-0.865513,
-0.9904317,
-1.1141295,
-1.2353861
],
[
-0.461265,
-0.4716328,
-0.4834329,
-0.5143574,
-0.5725442,
-0.6986836,
-0.8211608,
-0.9473001,
-1.0734394,
-1.1971373
],
[
-0.424237,
-0.4321634,
-0.4451842,
-0.4724466,
-0.536737,
-0.6555521,
-0.7841328,
-0.9090515,
-1.0339701,
-1.1601094
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.3068379,
-0.315985,
-0.3290059,
-0.3550475,
-0.4095723,
-0.5112975,
-0.6056986,
-0.690334,
-0.7676452,
-0.8412943
],
[
-0.2964701,
-0.3056172,
-0.318638,
-0.3446797,
-0.3992044,
-0.5009297,
-0.5941101,
-0.6799662,
-0.7572774,
-0.8309265
],
[
-0.2834492,
-0.2938171,
-0.3068379,
-0.3328796,
-0.3874043,
-0.4891296,
-0.5835306,
-0.668166,
-0.7454772,
-0.8179056
],
[
-0.2696146,
-0.2787617,
-0.2917826,
-0.3178242,
-0.372349,
-0.4740742,
-0.5684753,
-0.65189,
-0.7304219,
-0.8028502
],
[
-0.2492695,
-0.2584167,
-0.2714375,
-0.2986999,
-0.3520039,
-0.4537292,
-0.5469095,
-0.6303242,
-0.7088561,
-0.7825052
],
[
-0.218345,
-0.2274922,
-0.2417337,
-0.2689961,
-0.3210794,
-0.421584,
-0.5147643,
-0.5993998,
-0.6779317,
-0.7515808
],
[
-0.1923034,
-0.2026712,
-0.2156921,
-0.2429544,
-0.2950378,
-0.396763,
-0.4899434,
-0.5745788,
-0.65189,
-0.7267598
],
[
-0.1699238,
-0.1802917,
-0.1933125,
-0.2205749,
-0.2738789,
-0.3743835,
-0.4675638,
-0.5521992,
-0.6307311,
-0.7043802
],
[
-0.1499857,
-0.1591328,
-0.1733744,
-0.199416,
-0.2539408,
-0.3544453,
-0.4476256,
-0.5322611,
-0.610793,
-0.6844421
],
[
-0.1324889,
-0.1416361,
-0.1546569,
-0.1819193,
-0.2352233,
-0.3369486,
-0.4289082,
-0.515985,
-0.5932962,
-0.6669453
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,DE": {
"capacitance": 0.003332,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.00313,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.0121051,
0.0119923,
0.0118594,
0.0118523,
0.0118415,
0.0117712,
0.0116656,
0.0116238,
0.0115613,
0.0115518,
0.0115374,
0.0115159,
0.0114836
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.0003032,
0.0002822,
0.0002575,
0.0001103,
-0.0001106,
-0.000198,
-0.0003289,
-0.0004059,
-0.0005213,
-0.0005529,
-0.0006003,
-0.0006714,
-0.0007781
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.003534,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.8955547,
0.9047018,
0.9213848,
0.95353,
1.0141582,
1.1366354,
1.2591126,
1.3828106,
1.5052877,
1.6265443
],
[
0.8851869,
0.894334,
0.9110169,
0.9431621,
1.0037903,
1.1274883,
1.2499655,
1.3724428,
1.4949199,
1.6161765
],
[
0.8709453,
0.8800924,
0.8967754,
0.9289206,
0.9907695,
1.1132468,
1.235724,
1.3582012,
1.4806784,
1.6031557
],
[
0.8436829,
0.8516094,
0.869513,
0.9016582,
0.9635072,
1.0859843,
1.2072409,
1.3309388,
1.453416,
1.5746725
],
[
0.7952617,
0.8044089,
0.8210918,
0.8520163,
0.9150859,
1.0363425,
1.1588196,
1.2825176,
1.4049948,
1.527472
],
[
0.724054,
0.7344219,
0.7498841,
0.7820293,
0.8426575,
0.9651348,
1.0888327,
1.2125306,
1.3325664,
1.4550436
],
[
0.6674948,
0.6729798,
0.6896628,
0.7254701,
0.7860983,
0.9097962,
1.0322734,
1.15353,
1.2772278,
1.3997051
],
[
0.6145976,
0.6261862,
0.6416484,
0.6750143,
0.7368633,
0.8568991,
0.9793763,
1.1006329,
1.2243307,
1.3480286
],
[
0.5702455,
0.581834,
0.5972962,
0.6306621,
0.6925111,
0.8125469,
0.9362448,
1.0575014,
1.1811992,
1.3012351
],
[
0.5319968,
0.5399232,
0.5590475,
0.589972,
0.6493795,
0.7730775,
0.8955547,
1.0180319,
1.1405091,
1.2617656
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.9883281,
0.9986959,
1.0129374,
1.0414205,
1.0886211,
1.1622702,
1.2212708,
1.2717265,
1.3160787,
1.360431
],
[
0.9779603,
0.9871074,
1.0025696,
1.0310527,
1.0782533,
1.1519024,
1.210903,
1.2613587,
1.3057109,
1.3488424
],
[
0.9612773,
0.9716451,
0.9858867,
1.0155905,
1.062791,
1.1352194,
1.1954408,
1.2458964,
1.2902487,
1.3333802
],
[
0.9315736,
0.9419415,
0.956183,
0.9858867,
1.0318665,
1.1055156,
1.1645163,
1.2161927,
1.2605449,
1.3036764
],
[
0.8794902,
0.8886374,
0.9040996,
0.9338034,
0.9810039,
1.054653,
1.112433,
1.1628887,
1.2084616,
1.2503724
],
[
0.7985169,
0.807664,
0.8231263,
0.8528301,
0.9000306,
0.9736797,
1.0314596,
1.0831361,
1.1287089,
1.1693991
],
[
0.7334128,
0.7437806,
0.7592428,
0.7877259,
0.8337057,
0.9085755,
0.9675762,
1.0168112,
1.0623841,
1.1042949
],
[
0.6792949,
0.6884421,
0.7039043,
0.7311667,
0.7808086,
0.8520163,
0.9110169,
0.9614726,
1.0070456,
1.0489564
],
[
0.6300599,
0.6404278,
0.6534486,
0.6819317,
0.7303529,
0.8027813,
0.8617819,
0.9122376,
0.9578105,
1.000942
],
[
0.584487,
0.5948548,
0.6090964,
0.6375794,
0.6860006,
0.758429,
0.8174297,
0.8691061,
0.9122376,
0.9553692
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.4130391,
-0.4221862,
-0.4376484,
-0.4710143,
-0.5389668,
-0.6687682,
-0.7839212,
-0.8868672,
-0.9812682,
-1.0720072
],
[
-0.4026712,
-0.4118184,
-0.4285013,
-0.4606465,
-0.528599,
-0.6584004,
-0.7735534,
-0.8752786,
-0.9696797,
-1.0616393
],
[
-0.3908711,
-0.4000182,
-0.4154805,
-0.4488464,
-0.5167988,
-0.6490417,
-0.7617533,
-0.8634785,
-0.9591003,
-1.0498392
],
[
-0.3758158,
-0.3849629,
-0.4004251,
-0.433791,
-0.5017435,
-0.6327656,
-0.7466979,
-0.8484232,
-0.9440449,
-1.0347839
],
[
-0.3554707,
-0.3646178,
-0.3800801,
-0.413446,
-0.4813984,
-0.6111999,
-0.7251322,
-0.8280781,
-0.9224792,
-1.0132181
],
[
-0.3233255,
-0.3324726,
-0.3479349,
-0.3813008,
-0.4504739,
-0.5802754,
-0.6942077,
-0.7971536,
-0.8915547,
-0.9822936
],
[
-0.2972838,
-0.306431,
-0.3218932,
-0.3552591,
-0.4232116,
-0.553013,
-0.6669453,
-0.7698913,
-0.865513,
-0.9562519
],
[
-0.2736836,
-0.2828307,
-0.298293,
-0.3316589,
-0.3996113,
-0.5294127,
-0.6433451,
-0.746291,
-0.8431335,
-0.9326517
],
[
-0.2525247,
-0.2616719,
-0.2771341,
-0.3105,
-0.3784525,
-0.5094746,
-0.6221862,
-0.7263529,
-0.8207539,
-0.9114928
],
[
-0.2338073,
-0.2429544,
-0.2584167,
-0.2917826,
-0.359735,
-0.4895365,
-0.6034687,
-0.7064147,
-0.8020364,
-0.8927754
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.3288105,
-0.3391784,
-0.3509785,
-0.3770202,
-0.4266621,
-0.5210631,
-0.6093607,
-0.6915547,
-0.7676452,
-0.8400736
],
[
-0.3184427,
-0.3275899,
-0.3406107,
-0.3666523,
-0.4162943,
-0.5106953,
-0.5989928,
-0.6824075,
-0.7584981,
-0.8297057
],
[
-0.3066426,
-0.3157897,
-0.3288105,
-0.3536315,
-0.4032734,
-0.4976745,
-0.5871927,
-0.668166,
-0.7454772,
-0.8154642
],
[
-0.2879251,
-0.2970723,
-0.3100931,
-0.3349141,
-0.384556,
-0.4789571,
-0.5684753,
-0.6506693,
-0.7255391,
-0.7967467
],
[
-0.2651387,
-0.2755065,
-0.2885274,
-0.3133483,
-0.3629902,
-0.4561706,
-0.5456888,
-0.6278828,
-0.7027526,
-0.7739603
],
[
-0.2342142,
-0.244582,
-0.2576029,
-0.2824238,
-0.3320657,
-0.4252461,
-0.5135436,
-0.5957376,
-0.6718281,
-0.7430358
],
[
-0.2106139,
-0.2197611,
-0.2327819,
-0.2576029,
-0.3072448,
-0.4004251,
-0.4887226,
-0.5709167,
-0.6470072,
-0.7182148
],
[
-0.1882344,
-0.1973815,
-0.2104023,
-0.2352233,
-0.2848652,
-0.3792663,
-0.4675638,
-0.5497578,
-0.6258483,
-0.697056
],
[
-0.1682962,
-0.1774434,
-0.1904642,
-0.2165059,
-0.2661478,
-0.3593281,
-0.4488464,
-0.5298196,
-0.6059102,
-0.6783386
],
[
-0.1507995,
-0.1599466,
-0.1729674,
-0.1990091,
-0.2474303,
-0.3418314,
-0.4313496,
-0.5135436,
-0.5896341,
-0.6608418
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": {
"fall_power,pwr_template13x21": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00851,
0.01021,
0.01225,
0.0147,
0.01764,
0.02117,
0.02541,
0.03049,
0.03659,
0.04391,
0.05269,
0.06323,
0.07587,
0.09104,
0.10925,
0.1311,
0.15732,
0.18878,
0.22653,
0.27184
],
"values": [
[
0.0132443,
0.0106276,
0.0086363,
0.0059641,
0.0025819,
-0.0015688,
-0.0066957,
-0.0129818,
-0.0206409,
-0.0299243,
-0.0411178,
-0.0546182,
-0.0708566,
-0.0903778,
-0.1138331,
-0.1420072,
-0.1758225,
-0.2164072,
-0.2651128,
-0.3235599,
-0.3937238
],
[
0.0132291,
0.0106697,
0.0086411,
0.0059517,
0.0026228,
-0.001547,
-0.0067296,
-0.0129688,
-0.0206217,
-0.0299199,
-0.0411129,
-0.0546127,
-0.0708541,
-0.0903691,
-0.1138249,
-0.1420009,
-0.1758119,
-0.2164011,
-0.265105,
-0.3235533,
-0.3937155
],
[
0.0130687,
0.0104429,
0.0084105,
0.0057223,
0.002387,
-0.0017694,
-0.0069126,
-0.0132041,
-0.0208485,
-0.0301225,
-0.0413214,
-0.0548247,
-0.0710679,
-0.0905965,
-0.1140427,
-0.1422104,
-0.1760288,
-0.2166155,
-0.2653177,
-0.3237669,
-0.3939301
],
[
0.0130275,
0.0104333,
0.0084047,
0.0057098,
0.0023722,
-0.0018103,
-0.006938,
-0.0132159,
-0.0208585,
-0.0301356,
-0.0413373,
-0.0548308,
-0.0710862,
-0.0905989,
-0.1140509,
-0.1422215,
-0.176041,
-0.2166256,
-0.2653291,
-0.3237805,
-0.3939419
],
[
0.0130074,
0.0104103,
0.0083823,
0.0056883,
0.0023468,
-0.0018358,
-0.0069444,
-0.0132384,
-0.0208876,
-0.0301633,
-0.0413665,
-0.0548568,
-0.0711012,
-0.0906272,
-0.1140795,
-0.1422524,
-0.1760633,
-0.2166553,
-0.265356,
-0.3238097,
-0.3939694
],
[
0.0131478,
0.0105193,
0.0084947,
0.0058375,
0.0024807,
-0.0016883,
-0.0068338,
-0.0131247,
-0.0207698,
-0.0300407,
-0.0412527,
-0.0547499,
-0.0709874,
-0.0905168,
-0.1139609,
-0.1421413,
-0.1759512,
-0.2165325,
-0.2652383,
-0.3236881,
-0.3938477
],
[
0.0130939,
0.0105697,
0.0085911,
0.0059033,
0.0025538,
-0.0015845,
-0.0067555,
-0.013047,
-0.0206918,
-0.0299698,
-0.0411668,
-0.0546595,
-0.0709046,
-0.0904269,
-0.1138815,
-0.1420486,
-0.1758689,
-0.2164546,
-0.2651612,
-0.3236076,
-0.393768
],
[
0.0130146,
0.0104855,
0.0085036,
0.0058155,
0.0024647,
-0.0016731,
-0.0068389,
-0.0131366,
-0.0207819,
-0.0300576,
-0.0412506,
-0.0547471,
-0.0709914,
-0.0905132,
-0.1139653,
-0.1421358,
-0.1759512,
-0.2165364,
-0.2652462,
-0.3236979,
-0.3938534
],
[
0.0131173,
0.0104655,
0.0084787,
0.0057874,
0.002422,
-0.0017376,
-0.0068695,
-0.0131551,
-0.0207951,
-0.0300689,
-0.0412764,
-0.0547637,
-0.0710175,
-0.0905389,
-0.113995,
-0.1421681,
-0.1759763,
-0.2165711,
-0.2652658,
-0.3237247,
-0.3939016
],
[
0.0127117,
0.010225,
0.0081978,
0.0055086,
0.002176,
-0.0019844,
-0.0071342,
-0.0134165,
-0.0210671,
-0.0303319,
-0.0415333,
-0.0550353,
-0.0712743,
-0.0908065,
-0.1142475,
-0.1424137,
-0.176229,
-0.2168163,
-0.2655221,
-0.3239708,
-0.3941315
],
[
0.0127388,
0.0100813,
0.0080687,
0.0053783,
0.002005,
-0.0021474,
-0.0072819,
-0.0135716,
-0.0211894,
-0.0304585,
-0.0416688,
-0.0551604,
-0.0714059,
-0.0909269,
-0.1143752,
-0.1425509,
-0.1763636,
-0.2169487,
-0.2656533,
-0.3241005,
-0.3942615
],
[
0.019874,
0.0100301,
0.0079356,
0.0052905,
0.0019172,
-0.0022241,
-0.007376,
-0.0136711,
-0.021314,
-0.0305928,
-0.0417869,
-0.0552809,
-0.0715242,
-0.0910442,
-0.1144984,
-0.1426636,
-0.1764823,
-0.2170666,
-0.2657638,
-0.3242201,
-0.3943768
],
[
0.0252117,
0.0126624,
0.0100912,
0.0069842,
0.0032425,
-0.0012535,
-0.0066786,
-0.0132286,
-0.0209808,
-0.030328,
-0.0415395,
-0.0550258,
-0.0712761,
-0.0907977,
-0.1142379,
-0.1424089,
-0.1762193,
-0.2168113,
-0.2655053,
-0.3239585,
-0.3941129
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x21": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00851,
0.01021,
0.01225,
0.0147,
0.01764,
0.02117,
0.02541,
0.03049,
0.03659,
0.04391,
0.05269,
0.06323,
0.07587,
0.09104,
0.10925,
0.1311,
0.15732,
0.18878,
0.22653,
0.27184
],
"values": [
[
0.0191215,
0.0407038,
0.0434706,
0.0467283,
0.0505301,
0.0550556,
0.0604868,
0.0670284,
0.0748444,
0.0842352,
0.0957544,
0.1093152,
0.1252851,
0.1450348,
0.1683488,
0.1963203,
0.2297414,
0.2702071,
0.3184748,
0.3763582,
0.4456595
],
[
0.019102,
0.0407892,
0.0435131,
0.0467116,
0.0505133,
0.0550033,
0.0606103,
0.0671366,
0.0748898,
0.0844755,
0.0957758,
0.109302,
0.125328,
0.1448904,
0.1685194,
0.1961064,
0.2299741,
0.2699513,
0.3180874,
0.3761134,
0.4454456
],
[
0.0189151,
0.0404748,
0.0432567,
0.0464964,
0.0503069,
0.0548432,
0.0602697,
0.0668393,
0.0747027,
0.0842189,
0.0955191,
0.1090117,
0.1253474,
0.1446964,
0.1677623,
0.1958549,
0.2297353,
0.2697503,
0.3177557,
0.37616,
0.4454455
],
[
0.0189026,
0.0404787,
0.0432446,
0.0464818,
0.0502953,
0.0548297,
0.0602565,
0.0667884,
0.0746159,
0.0840714,
0.0953629,
0.1090729,
0.1253629,
0.1447588,
0.1683197,
0.1961878,
0.2293854,
0.2697963,
0.3176241,
0.3760117,
0.4455828
],
[
0.0188977,
0.040454,
0.0432392,
0.046486,
0.0502833,
0.0548278,
0.0602467,
0.0667783,
0.0746143,
0.0840215,
0.0953429,
0.1088658,
0.1253358,
0.1447277,
0.1681754,
0.196173,
0.2294337,
0.2698615,
0.3179274,
0.3756304,
0.4452438
],
[
0.0190218,
0.0405951,
0.0433457,
0.0466205,
0.0503468,
0.0549046,
0.060346,
0.0668655,
0.0747109,
0.0841587,
0.0956114,
0.1091393,
0.1251334,
0.1449546,
0.168392,
0.1961679,
0.2298858,
0.269896,
0.3181655,
0.3760668,
0.4454976
],
[
0.0190992,
0.0407186,
0.0434626,
0.0467407,
0.0505204,
0.0550995,
0.0606113,
0.0671432,
0.0748982,
0.0844479,
0.0957849,
0.1093043,
0.1253378,
0.1449705,
0.1685428,
0.1960939,
0.2302054,
0.270111,
0.3183671,
0.3758936,
0.4457482
],
[
0.0191393,
0.0407009,
0.0434847,
0.0467138,
0.0505851,
0.0551288,
0.0605769,
0.0671388,
0.0749925,
0.0842648,
0.0955877,
0.1091165,
0.1254008,
0.1449974,
0.1681169,
0.1963872,
0.2297927,
0.2701502,
0.3183589,
0.3759583,
0.445593
],
[
0.0193167,
0.0408888,
0.0436811,
0.0468846,
0.0507218,
0.0552366,
0.0606681,
0.0672475,
0.0751479,
0.084653,
0.095712,
0.1094294,
0.1256265,
0.1452711,
0.1681178,
0.1966951,
0.2296742,
0.2703834,
0.3184749,
0.3766695,
0.4458461
],
[
0.0191322,
0.0407267,
0.0435039,
0.0467518,
0.0505371,
0.0550901,
0.0605077,
0.0670554,
0.0748612,
0.0842529,
0.0956315,
0.1091395,
0.1255915,
0.1449631,
0.1683398,
0.1964305,
0.2300943,
0.2702981,
0.3179896,
0.3758728,
0.4456244
],
[
0.0191031,
0.040686,
0.0434418,
0.0467199,
0.0505254,
0.0550666,
0.0605403,
0.0671471,
0.0750052,
0.0843118,
0.0957866,
0.109265,
0.1255422,
0.1447651,
0.1681892,
0.1961927,
0.2302076,
0.269551,
0.3180601,
0.3761486,
0.4456831
],
[
0.0250806,
0.0406733,
0.0434263,
0.0466579,
0.0504846,
0.055003,
0.0604378,
0.0669741,
0.0747811,
0.0841735,
0.0955234,
0.1090571,
0.1253648,
0.1447668,
0.1678375,
0.1961596,
0.2299101,
0.2698705,
0.3181068,
0.3759564,
0.4457221
],
[
0.0277808,
0.0413199,
0.0439899,
0.0470695,
0.0507837,
0.055232,
0.0607004,
0.0673397,
0.0751743,
0.0845146,
0.0958853,
0.1094111,
0.1256433,
0.1452487,
0.1685082,
0.1960404,
0.229887,
0.2705428,
0.3184235,
0.3766087,
0.4458362
]
]
}
},
"max_capacitance": 0.08607,
"max_transition": 3.103252,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x21": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00851,
0.01021,
0.01225,
0.0147,
0.01764,
0.02117,
0.02541,
0.03049,
0.03659,
0.04391,
0.05269,
0.06323,
0.07587,
0.09104,
0.10925,
0.1311,
0.15732,
0.18878,
0.22653,
0.27184
],
"values": [
[
0.3155669,
0.3888566,
0.3992127,
0.411234,
0.4247705,
0.4401752,
0.4578088,
0.477728,
0.5001087,
0.5254012,
0.5541824,
0.5872907,
0.6257515,
0.6708406,
0.7239888,
0.787174,
0.8625621,
0.9527846,
1.0609587,
1.1908234,
1.3463839
],
[
0.3189548,
0.3922719,
0.4026979,
0.4146037,
0.428122,
0.4435351,
0.4611631,
0.4810961,
0.5034783,
0.5287596,
0.5575428,
0.5906377,
0.62915,
0.6741708,
0.727392,
0.7904771,
0.8658805,
0.9567142,
1.0643425,
1.193971,
1.3491697
],
[
0.3227394,
0.3961781,
0.4065796,
0.4184785,
0.4319952,
0.4474088,
0.4650356,
0.4849691,
0.5073599,
0.5326228,
0.5614486,
0.5945413,
0.6330207,
0.6780458,
0.7312675,
0.794493,
0.8698838,
0.9600098,
1.068536,
1.1981476,
1.354072
],
[
0.3287842,
0.4020975,
0.4125539,
0.4244552,
0.4379777,
0.4533983,
0.4710154,
0.490952,
0.5133442,
0.5386054,
0.5674249,
0.600532,
0.6390003,
0.6840351,
0.7372652,
0.8004901,
0.8757715,
0.966238,
1.0748024,
1.2040087,
1.3591369
],
[
0.3375588,
0.4108634,
0.421312,
0.4332209,
0.4467439,
0.4621564,
0.4797824,
0.4997159,
0.5221023,
0.5473688,
0.5761882,
0.6092904,
0.6477618,
0.6928177,
0.746052,
0.8092708,
0.8846422,
0.9748845,
1.0829432,
1.2124349,
1.3679661
],
[
0.3506919,
0.42412,
0.4345302,
0.4463308,
0.4599714,
0.475349,
0.4929787,
0.5129117,
0.535305,
0.5605669,
0.5893991,
0.6224829,
0.6609689,
0.7059835,
0.7591971,
0.8224183,
0.8978071,
0.9880645,
1.0962207,
1.2256159,
1.3816999
],
[
0.3701746,
0.4432967,
0.4537282,
0.4657724,
0.4792945,
0.4947099,
0.5123311,
0.5322611,
0.5546439,
0.5799468,
0.6087176,
0.6418219,
0.6802872,
0.7253205,
0.7785814,
0.8417906,
0.9172041,
1.0074132,
1.1156277,
1.2452708,
1.4004168
],
[
0.397478,
0.4705821,
0.4810252,
0.4930698,
0.5065923,
0.5220064,
0.5396342,
0.5595652,
0.5819459,
0.6072401,
0.6360221,
0.6691259,
0.7076001,
0.7526442,
0.8058669,
0.8690738,
0.9444903,
1.0347013,
1.1429294,
1.2726346,
1.4279324
],
[
0.4332501,
0.5065707,
0.5169739,
0.5288158,
0.5424481,
0.5578553,
0.575469,
0.5953966,
0.6177829,
0.6430608,
0.6718626,
0.7049792,
0.7434351,
0.7885106,
0.8417441,
0.9049659,
0.9803364,
1.0705795,
1.1786351,
1.3081449,
1.4636456
],
[
0.4799282,
0.5531047,
0.5634658,
0.575468,
0.5890163,
0.6044256,
0.6220544,
0.6419902,
0.6643831,
0.689653,
0.7184675,
0.7515893,
0.7900526,
0.8351007,
0.8883332,
0.9515606,
1.0269426,
1.1170479,
1.2255853,
1.3551141,
1.5111555
],
[
0.5396447,
0.6128014,
0.6232616,
0.635118,
0.6487545,
0.6641773,
0.6818042,
0.7017437,
0.7241379,
0.749428,
0.7782294,
0.811347,
0.849818,
0.8949269,
0.948156,
1.0112119,
1.0867948,
1.177475,
1.2850924,
1.414641,
1.5706003
],
[
0.615079,
0.6883604,
0.6987833,
0.7106831,
0.7242095,
0.7396356,
0.7572662,
0.7772166,
0.7996105,
0.8249102,
0.8537256,
0.8868241,
0.925318,
0.9704731,
1.0236699,
1.0868144,
1.1621122,
1.2522374,
1.3603132,
1.4901466,
1.6460444
],
[
0.7132117,
0.7863736,
0.7968075,
0.808862,
0.8223966,
0.837824,
0.8554645,
0.875419,
0.8978251,
0.9230939,
0.9519285,
0.9850386,
1.0235262,
1.0685608,
1.1217937,
1.1850254,
1.2601791,
1.3503686,
1.4586231,
1.5884409,
1.7441996
]
]
},
"cell_rise,delay_template13x21": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00851,
0.01021,
0.01225,
0.0147,
0.01764,
0.02117,
0.02541,
0.03049,
0.03659,
0.04391,
0.05269,
0.06323,
0.07587,
0.09104,
0.10925,
0.1311,
0.15732,
0.18878,
0.22653,
0.27184
],
"values": [
[
0.2792497,
0.3594106,
0.3739444,
0.3913631,
0.4121565,
0.4370319,
0.4669242,
0.5026582,
0.54519,
0.5959553,
0.6566567,
0.7293055,
0.8162821,
0.9206821,
1.0462161,
1.1979413,
1.3759993,
1.5943513,
1.8539318,
2.1624058,
2.5374904
],
[
0.282507,
0.3626649,
0.3772155,
0.3946309,
0.4154203,
0.4403005,
0.4701609,
0.5059039,
0.5485147,
0.5992153,
0.6599296,
0.7324932,
0.8195997,
0.9242559,
1.0505968,
1.1992674,
1.3809288,
1.5971794,
1.8560762,
2.1681037,
2.542196
],
[
0.2864088,
0.3665952,
0.3811181,
0.3985297,
0.4193298,
0.4441997,
0.4741192,
0.5098993,
0.5523653,
0.6031605,
0.6637655,
0.7364855,
0.8235592,
0.9280017,
1.0536026,
1.2033412,
1.3851741,
1.6007214,
1.8607776,
2.1724404,
2.545093
],
[
0.2922822,
0.3724671,
0.3869899,
0.4044015,
0.4252018,
0.4500717,
0.4799879,
0.5157691,
0.558243,
0.6090319,
0.6696345,
0.7423583,
0.8294263,
0.9338653,
1.0594714,
1.2108895,
1.3894919,
1.6076038,
1.8646078,
2.1785551,
2.5488223
],
[
0.3010906,
0.3813309,
0.3958257,
0.413236,
0.4340348,
0.4589074,
0.4888372,
0.5246008,
0.5670632,
0.6178678,
0.678457,
0.7511891,
0.8382771,
0.9427183,
1.0683384,
1.2195699,
1.3983196,
1.6160828,
1.8756281,
2.1839237,
2.5596436
],
[
0.3145293,
0.3945775,
0.4091705,
0.4265828,
0.4473734,
0.4722528,
0.502222,
0.5379165,
0.5804255,
0.6311093,
0.6917708,
0.764371,
0.8515865,
0.9560708,
1.082149,
1.2309044,
1.4119039,
1.6294593,
1.888312,
2.197684,
2.574696
],
[
0.3337617,
0.4139147,
0.4284621,
0.4458784,
0.4666687,
0.4915486,
0.5214596,
0.5571628,
0.5997786,
0.6504659,
0.7111769,
0.7837594,
0.8708698,
0.975537,
1.1017092,
1.2505068,
1.4322521,
1.6490975,
1.9067363,
2.2193856,
2.593552
],
[
0.3615657,
0.4415645,
0.4561688,
0.4735736,
0.4943652,
0.5191571,
0.5491013,
0.5847813,
0.6273096,
0.6781284,
0.7386723,
0.811433,
0.898515,
1.0029314,
1.1283107,
1.2799763,
1.4590805,
1.676379,
1.9352555,
2.2461397,
2.6208979
],
[
0.3992238,
0.4792441,
0.4938426,
0.5112534,
0.5319588,
0.5568296,
0.5867387,
0.6224944,
0.6650737,
0.7157745,
0.7764198,
0.8490534,
0.9362052,
1.0412295,
1.1655261,
1.3174891,
1.4971945,
1.7136752,
1.9740194,
2.2820756,
2.6571916
],
[
0.4462297,
0.5263274,
0.5408321,
0.5582442,
0.5790473,
0.6039248,
0.6338499,
0.6696513,
0.7121441,
0.7628954,
0.8234198,
0.8962137,
0.9833269,
1.0877894,
1.2133922,
1.3646653,
1.5438019,
1.7615541,
2.0200752,
2.3300084,
2.7026335
],
[
0.5063835,
0.5866085,
0.6012246,
0.6186346,
0.6394402,
0.6643148,
0.6942066,
0.7300049,
0.7725404,
0.8232844,
0.8839456,
0.9565984,
1.0435829,
1.1482755,
1.2746038,
1.4234617,
1.6054176,
1.8207517,
2.0806021,
2.392726,
2.7636045
],
[
0.5818575,
0.6620432,
0.6766487,
0.6940526,
0.7148648,
0.7397443,
0.7696901,
0.8054042,
0.847922,
0.8987642,
0.9592772,
1.0320066,
1.1191917,
1.2237142,
1.349333,
1.5002352,
1.6803661,
1.8972659,
2.1551589,
2.4665889,
2.8413243
],
[
0.6786629,
0.7587903,
0.7733806,
0.7907905,
0.8115852,
0.8364609,
0.8663666,
0.9021813,
0.9446518,
0.9954632,
1.0561676,
1.1287155,
1.2156562,
1.3198997,
1.4454676,
1.5963471,
1.775381,
1.9938255,
2.2531736,
2.5622275,
2.9378724
]
]
},
"fall_transition,delay_template13x21": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00851,
0.01021,
0.01225,
0.0147,
0.01764,
0.02117,
0.02541,
0.03049,
0.03659,
0.04391,
0.05269,
0.06323,
0.07587,
0.09104,
0.10925,
0.1311,
0.15732,
0.18878,
0.22653,
0.27184
],
"values": [
[
0.0389526,
0.0915895,
0.1003678,
0.1106169,
0.1225631,
0.1360798,
0.1521763,
0.1695879,
0.1904803,
0.2149766,
0.2436304,
0.2787079,
0.3217808,
0.3735847,
0.4371908,
0.5156494,
0.6097767,
0.7241673,
0.8627645,
1.0298636,
1.2301979
],
[
0.0393365,
0.0914186,
0.100435,
0.1106408,
0.1226403,
0.1361209,
0.1515178,
0.1697003,
0.1906235,
0.2145686,
0.2439153,
0.2796358,
0.3212996,
0.372937,
0.4362712,
0.5145817,
0.6089989,
0.7233056,
0.8608433,
1.0272561,
1.2321687
],
[
0.0390881,
0.0917222,
0.1003912,
0.1107171,
0.1225691,
0.136185,
0.1516052,
0.1695733,
0.1902662,
0.2147691,
0.2439315,
0.279643,
0.3219277,
0.373126,
0.4351583,
0.5143226,
0.6080201,
0.7233692,
0.8611306,
1.0277869,
1.2305406
],
[
0.0388344,
0.0913547,
0.100419,
0.1106644,
0.1226289,
0.1360186,
0.1518261,
0.1694507,
0.1903359,
0.2146835,
0.2441855,
0.2797395,
0.321818,
0.3719676,
0.4366289,
0.5136284,
0.6091432,
0.7230163,
0.8603148,
1.026871,
1.2309928
],
[
0.038838,
0.0913687,
0.1004184,
0.1106726,
0.1226205,
0.1360215,
0.1516829,
0.1694463,
0.1903337,
0.2146544,
0.2442066,
0.2797481,
0.3217752,
0.3733769,
0.4366719,
0.5142126,
0.6081712,
0.7228454,
0.8597027,
1.0265703,
1.2306896
],
[
0.0390075,
0.0917544,
0.1003704,
0.1107338,
0.122521,
0.1362045,
0.1516268,
0.1695982,
0.1902595,
0.2148236,
0.2436899,
0.2795136,
0.3219664,
0.373368,
0.4354882,
0.5143354,
0.6074535,
0.7236247,
0.862604,
1.0288557,
1.2298486
],
[
0.0389455,
0.0915657,
0.1001275,
0.1107428,
0.1224906,
0.1360417,
0.1518689,
0.1697644,
0.1902364,
0.2143871,
0.2436429,
0.2796371,
0.3218912,
0.3731967,
0.4367367,
0.5142647,
0.6090607,
0.7235345,
0.8616011,
1.0278409,
1.2294757
],
[
0.0389487,
0.0915508,
0.1001509,
0.1107513,
0.1225198,
0.1360115,
0.1518616,
0.1696989,
0.1904748,
0.2145119,
0.2437174,
0.2798886,
0.3219398,
0.3735109,
0.4365329,
0.5125775,
0.6090963,
0.7237023,
0.862065,
1.0285052,
1.2276083
],
[
0.0388974,
0.0916446,
0.1003945,
0.1107332,
0.12256,
0.136041,
0.1520733,
0.1696221,
0.1900026,
0.2145521,
0.244728,
0.2796135,
0.3217039,
0.3733772,
0.4366696,
0.5141962,
0.608165,
0.7228577,
0.8597272,
1.0272523,
1.2295347
],
[
0.0389634,
0.0916177,
0.1004411,
0.1106326,
0.1227017,
0.1362043,
0.1520356,
0.1692702,
0.1903827,
0.2146236,
0.2443634,
0.2797606,
0.3216971,
0.3732756,
0.4352803,
0.5142439,
0.6079029,
0.7231212,
0.8627904,
1.0315027,
1.2272312
],
[
0.0389807,
0.0915862,
0.1004433,
0.1108188,
0.1229892,
0.1364517,
0.1519733,
0.1697719,
0.1900666,
0.2149476,
0.2449584,
0.2794502,
0.3203878,
0.3730279,
0.4359176,
0.5144286,
0.6104879,
0.7220549,
0.8632924,
1.0302822,
1.2307695
],
[
0.0393764,
0.0916233,
0.100615,
0.1108216,
0.1226907,
0.1361922,
0.1515803,
0.1694818,
0.1901425,
0.2151123,
0.2446427,
0.2796182,
0.321487,
0.3726877,
0.4355138,
0.5147062,
0.6086719,
0.7255938,
0.8630093,
1.030644,
1.2295628
],
[
0.0392222,
0.0917876,
0.1003781,
0.110907,
0.1227941,
0.1363621,
0.1515936,
0.1696467,
0.1906159,
0.2148186,
0.2439726,
0.2797314,
0.3219714,
0.3713787,
0.4348927,
0.5123696,
0.6090169,
0.7248015,
0.8630419,
1.0265022,
1.2303545
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x21": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00851,
0.01021,
0.01225,
0.0147,
0.01764,
0.02117,
0.02541,
0.03049,
0.03659,
0.04391,
0.05269,
0.06323,
0.07587,
0.09104,
0.10925,
0.1311,
0.15732,
0.18878,
0.22653,
0.27184
],
"values": [
[
0.0288721,
0.1215975,
0.1408195,
0.1639908,
0.1919239,
0.2252621,
0.2648577,
0.313593,
0.3700855,
0.4382538,
0.520569,
0.6209251,
0.7399337,
0.8830939,
1.0538084,
1.257458,
1.5063473,
1.8030165,
2.1567388,
2.5883118,
3.0979785
],
[
0.0288507,
0.1215892,
0.1408727,
0.1638941,
0.1924122,
0.2251981,
0.265386,
0.31307,
0.3695026,
0.4395791,
0.5229072,
0.6207463,
0.7402461,
0.8813615,
1.0547876,
1.2602545,
1.505612,
1.801532,
2.1563751,
2.591402,
3.1028375
],
[
0.0289073,
0.1215417,
0.140713,
0.1639514,
0.1918617,
0.2257506,
0.264759,
0.3135898,
0.3698523,
0.4384047,
0.5213221,
0.6211499,
0.7412653,
0.8803667,
1.0534761,
1.2592033,
1.5056586,
1.7988002,
2.1557638,
2.58306,
3.0984617
],
[
0.0289065,
0.1215426,
0.140724,
0.1639329,
0.1918658,
0.2257474,
0.2647937,
0.3135832,
0.3698407,
0.438366,
0.5213608,
0.6211516,
0.7400222,
0.8825359,
1.0526299,
1.2570353,
1.5027236,
1.7990884,
2.1609547,
2.5811551,
3.0995886
],
[
0.0288831,
0.1215895,
0.1405879,
0.1639809,
0.1918631,
0.2257235,
0.2646681,
0.3135984,
0.3698571,
0.4385027,
0.5214316,
0.6211556,
0.7399712,
0.8823118,
1.05262,
1.2569784,
1.5069819,
1.8041518,
2.1571471,
2.5876392,
3.0914031
],
[
0.0287569,
0.1215364,
0.1409476,
0.1637622,
0.1919787,
0.2251107,
0.2655222,
0.3126914,
0.3696991,
0.4382166,
0.5207236,
0.6206988,
0.7389114,
0.8810545,
1.0530555,
1.2581594,
1.5038841,
1.8021102,
2.1553028,
2.5889852,
3.1025347
],
[
0.0288392,
0.1215907,
0.1408593,
0.163862,
0.1918446,
0.2254959,
0.2654187,
0.3131093,
0.3693945,
0.4396508,
0.5228861,
0.6207076,
0.7402127,
0.8820422,
1.0549152,
1.260269,
1.5063248,
1.8003333,
2.1552986,
2.5919182,
3.1017919
],
[
0.0287744,
0.1215317,
0.1406195,
0.1639971,
0.1918878,
0.225646,
0.2653962,
0.3126037,
0.3697504,
0.4387773,
0.5217172,
0.6211658,
0.7400178,
0.8808227,
1.0539692,
1.2588146,
1.503783,
1.7993731,
2.1553448,
2.5894049,
3.1026635
],
[
0.0287559,
0.1215531,
0.1409164,
0.163746,
0.191876,
0.2252428,
0.2648173,
0.3123714,
0.3698037,
0.4385539,
0.5214379,
0.6213907,
0.739412,
0.882125,
1.0560093,
1.2592184,
1.5082586,
1.8031493,
2.1576678,
2.5886275,
3.0969949
],
[
0.0287815,
0.1215904,
0.1405458,
0.1640124,
0.1918713,
0.2256708,
0.2649592,
0.3125118,
0.3696341,
0.4388564,
0.5218881,
0.6211179,
0.7396999,
0.88181,
1.0527746,
1.2607655,
1.505665,
1.806051,
2.1590529,
2.5886773,
3.1007088
],
[
0.0288835,
0.1215525,
0.140552,
0.1640818,
0.1919388,
0.225764,
0.2650728,
0.3134978,
0.3696846,
0.4380682,
0.5222611,
0.6218757,
0.7395397,
0.8827733,
1.0514015,
1.26083,
1.5060435,
1.8044737,
2.1648057,
2.5890095,
3.0969134
],
[
0.0290111,
0.1215685,
0.1409266,
0.1644552,
0.1917183,
0.22505,
0.2646972,
0.3126474,
0.3695385,
0.4388514,
0.5218791,
0.6210007,
0.7393118,
0.8807275,
1.054562,
1.2594756,
1.5069975,
1.8002602,
2.1567885,
2.5889093,
3.1032523
],
[
0.0288532,
0.1215724,
0.1407909,
0.1640123,
0.1919381,
0.225279,
0.2646705,
0.3126378,
0.3697186,
0.4387881,
0.5213055,
0.6196215,
0.7388786,
0.8819664,
1.0528944,
1.2607552,
1.5059968,
1.8030334,
2.1546329,
2.5871223,
3.099748
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
},
"pin,SCD": {
"capacitance": 0.00171,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001644,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.002881,
0.0028747,
0.0028674,
0.0029058,
0.0029636,
0.0029621,
0.00296,
0.0029545,
0.0029465,
0.0029557,
0.0029694,
0.0029899,
0.0030208
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
-0.0027885,
-0.0027802,
-0.0027703,
-0.0028231,
-0.0029024,
-0.002905,
-0.0029089,
-0.0029117,
-0.0029162,
-0.0029158,
-0.0029153,
-0.0029145,
-0.0029133
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001776,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.6367656,
0.6471334,
0.6638164,
0.6959616,
0.7565898,
0.8815085,
1.0052064,
1.1289043,
1.2489401,
1.3701967
],
[
0.6251771,
0.6367656,
0.6534486,
0.684373,
0.746222,
0.8699199,
0.9948386,
1.1173157,
1.2385723,
1.3598287
],
[
0.6097148,
0.6200827,
0.6367656,
0.6689108,
0.7307598,
0.8544577,
0.9793763,
1.1018535,
1.22311,
1.3431459
],
[
0.5800111,
0.5903789,
0.6070618,
0.639207,
0.701056,
0.8247539,
0.9484518,
1.0709291,
1.1934062,
1.3134421
],
[
0.5254863,
0.5358542,
0.5525371,
0.5846823,
0.6465313,
0.7702291,
0.8939271,
1.017625,
1.1388815,
1.2589173
],
[
0.4420716,
0.4524395,
0.4703431,
0.5012676,
0.5618959,
0.6868144,
0.8117331,
0.9329896,
1.0554668,
1.1767233
],
[
0.3769674,
0.3873353,
0.4040182,
0.4361634,
0.4980123,
0.6217103,
0.7454082,
0.8678854,
0.9903626,
1.1116192
],
[
0.3228496,
0.3332174,
0.3511211,
0.3820456,
0.4438945,
0.5663718,
0.688849,
0.8125469,
0.9338034,
1.0550599
],
[
0.2736146,
0.2839824,
0.3006654,
0.3328105,
0.3934388,
0.5171367,
0.6408347,
0.7645325,
0.885789,
1.0058249
],
[
0.2292624,
0.2396302,
0.2575338,
0.2884583,
0.3490866,
0.4727845,
0.5977031,
0.7201803,
0.8414369,
0.9614726
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.2205059,
0.229653,
0.2414531,
0.2674948,
0.315916,
0.410317,
0.4961732,
0.5734844,
0.6434714,
0.7110169
],
[
0.210138,
0.2192852,
0.232306,
0.2571269,
0.3067689,
0.3999492,
0.4858053,
0.5631165,
0.6343242,
0.7006491
],
[
0.1958965,
0.2050436,
0.2180645,
0.2428854,
0.2925274,
0.3869284,
0.4715638,
0.548875,
0.6200827,
0.6876283
],
[
0.1747376,
0.1826641,
0.1956849,
0.2217266,
0.2701478,
0.3645488,
0.4491842,
0.5264954,
0.5964824,
0.664028
],
[
0.1482891,
0.1574362,
0.170457,
0.195278,
0.2436992,
0.3368796,
0.421515,
0.4976054,
0.5675925,
0.6326966
],
[
0.1124818,
0.1216289,
0.1346497,
0.1594707,
0.2091126,
0.302293,
0.3857077,
0.4605775,
0.5293437,
0.5944479
],
[
0.0839987,
0.0931458,
0.1061667,
0.1309876,
0.1806296,
0.2750306,
0.3572246,
0.4333151,
0.5020814,
0.5671856
],
[
0.0603984,
0.0695456,
0.0813457,
0.1061667,
0.1558086,
0.2502096,
0.3336243,
0.4084941,
0.4772604,
0.5423646
],
[
0.0392396,
0.0496074,
0.0601868,
0.0850078,
0.1346497,
0.2278301,
0.3124655,
0.388556,
0.4573223,
0.5212057
],
[
0.0193014,
0.0284486,
0.0414694,
0.0662904,
0.1147116,
0.2091126,
0.2925274,
0.3686178,
0.4373841,
0.5024883
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.5021504,
-0.5125182,
-0.5292012,
-0.5601256,
-0.6219746,
-0.7456725,
-0.8693704,
-0.9930684,
-1.1143249,
-1.2355814
],
[
-0.4917826,
-0.5021504,
-0.5188333,
-0.5509785,
-0.6116068,
-0.7365254,
-0.8602233,
-0.9827005,
-1.1051777,
-1.2252136
],
[
-0.4763203,
-0.4879088,
-0.5033711,
-0.5355163,
-0.5973652,
-0.7210632,
-0.8447611,
-0.9684589,
-1.0897155,
-1.2109721
],
[
-0.4478373,
-0.4606465,
-0.4761087,
-0.5070332,
-0.5688822,
-0.6925801,
-0.816278,
-0.9399759,
-1.0624531,
-1.182489
],
[
-0.4018574,
-0.4110045,
-0.4289082,
-0.4610534,
-0.5216817,
-0.6429382,
-0.7702982,
-0.8927754,
-1.0140319,
-1.1340677
],
[
-0.329429,
-0.3410176,
-0.3577005,
-0.3874043,
-0.4504739,
-0.5729512,
-0.6978698,
-0.8215677,
-0.9416035,
-1.06286
],
[
-0.2740905,
-0.285679,
-0.302362,
-0.3332865,
-0.3939147,
-0.5188333,
-0.6400898,
-0.7637878,
-0.8874857,
-1.0050801
],
[
-0.2272969,
-0.2352233,
-0.2519063,
-0.2864928,
-0.3471211,
-0.470819,
-0.5945169,
-0.7157735,
-0.8394713,
-0.9570657
],
[
-0.1829447,
-0.1933125,
-0.2099954,
-0.2421406,
-0.3027689,
-0.4276875,
-0.5501647,
-0.6738626,
-0.7951191,
-0.9139342
],
[
-0.144696,
-0.1550638,
-0.1717467,
-0.2038919,
-0.2645202,
-0.3869974,
-0.511916,
-0.6343932,
-0.754429,
-0.8744648
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.1762227,
-0.1853698,
-0.1983906,
-0.2232116,
-0.2728535,
-0.3660339,
-0.4482279,
-0.5230976,
-0.5906432,
-0.6545267
],
[
-0.1670755,
-0.1762227,
-0.1880228,
-0.2140645,
-0.2624857,
-0.355666,
-0.43786,
-0.5127298,
-0.5814961,
-0.6453795
],
[
-0.1552754,
-0.1644225,
-0.1774434,
-0.2022643,
-0.2519063,
-0.3450866,
-0.4272806,
-0.5021504,
-0.569696,
-0.6335794
],
[
-0.1402201,
-0.1493672,
-0.162388,
-0.187209,
-0.2356302,
-0.3288105,
-0.4110045,
-0.4858744,
-0.5534199,
-0.6185241
],
[
-0.1186543,
-0.1265807,
-0.1396016,
-0.1644225,
-0.2128438,
-0.3072448,
-0.3882181,
-0.4630879,
-0.5318542,
-0.5945169
],
[
-0.0816263,
-0.0919941,
-0.1037943,
-0.1298359,
-0.1782572,
-0.2714375,
-0.3536315,
-0.429722,
-0.4960469,
-0.5599303
],
[
-0.0531432,
-0.0622904,
-0.0740905,
-0.0989115,
-0.1485534,
-0.2429544,
-0.3251484,
-0.4000182,
-0.4675638,
-0.5314473
],
[
-0.0283223,
-0.0362487,
-0.0492695,
-0.0740905,
-0.1237324,
-0.2181335,
-0.3003275,
-0.376418,
-0.4439635,
-0.5066263
],
[
-0.004722,
-0.0150898,
-0.02689,
-0.0517109,
-0.1013529,
-0.1957539,
-0.2779479,
-0.3528177,
-0.4228047,
-0.4854675
],
[
0.0152161,
0.006069,
-0.0081725,
-0.0317728,
-0.080194,
-0.1745951,
-0.2580098,
-0.3328796,
-0.4016458,
-0.4655293
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,SCE": {
"capacitance": 0.00299,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002959,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.010548,
0.0104604,
0.0103571,
0.0103779,
0.0104091,
0.0103422,
0.010242,
0.0101675,
0.0100561,
0.0100541,
0.0100511,
0.0100466,
0.0100398
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"values": [
0.0106151,
0.010557,
0.0104885,
0.0102136,
0.0098011,
0.0099222,
0.0101038,
0.0101867,
0.010311,
0.0102365,
0.0101248,
0.0099572,
0.0097057
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.003021,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.6916972,
0.7020651,
0.7150859,
0.7447897,
0.8054179,
0.9266745,
1.0454896,
1.1643047,
1.2843405,
1.4019349
],
[
0.6813294,
0.6904766,
0.7047181,
0.7332012,
0.7938294,
0.9150859,
1.0351217,
1.1539369,
1.2739726,
1.391567
],
[
0.6658672,
0.6750143,
0.6892559,
0.7189597,
0.7783672,
0.8996237,
1.0184388,
1.1372539,
1.2585105,
1.3761048
],
[
0.6349427,
0.6453106,
0.6583314,
0.6880352,
0.7486634,
0.8699199,
0.988735,
1.1075501,
1.227586,
1.3451803
],
[
0.5828594,
0.5932272,
0.606248,
0.6359518,
0.6965801,
0.8178366,
0.9366517,
1.0554668,
1.1755026,
1.293097
],
[
0.5043275,
0.5122539,
0.5264954,
0.5549785,
0.6156068,
0.7368633,
0.8568991,
0.9744935,
1.0945293,
1.2133444
],
[
0.4392233,
0.4483704,
0.4613913,
0.4898744,
0.5492819,
0.6717591,
0.7905742,
0.91061,
1.0306458,
1.1482402
],
[
0.3826641,
0.3905905,
0.4060527,
0.4345358,
0.4939434,
0.6151999,
0.7352357,
0.8528301,
0.9740866,
1.091681
],
[
0.3322083,
0.3413555,
0.355597,
0.3840801,
0.4434876,
0.5659648,
0.6847799,
0.8035951,
0.9236309,
1.0412253
],
[
0.2878561,
0.2957826,
0.3088034,
0.3372865,
0.3979147,
0.5191713,
0.6379863,
0.7580221,
0.8792786,
0.9956524
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
0.6709453,
0.6825339,
0.6979961,
0.7264791,
0.7749004,
0.8473288,
0.9051087,
0.9567851,
1.002358,
1.0442688
],
[
0.6605775,
0.6709453,
0.6864075,
0.7161113,
0.7633118,
0.8357402,
0.8947409,
0.9451966,
0.9907695,
1.0326803
],
[
0.6451152,
0.6554831,
0.6709453,
0.7006491,
0.7478496,
0.820278,
0.8792786,
0.9297344,
0.9753073,
1.0172181
],
[
0.6141907,
0.6257793,
0.6412415,
0.6697246,
0.7181458,
0.7905742,
0.8495748,
0.8988099,
0.9456035,
0.9875143
],
[
0.559666,
0.5712545,
0.5867168,
0.6151999,
0.6636211,
0.7348288,
0.7950501,
0.8455059,
0.8910788,
0.9317689
],
[
0.477472,
0.4878399,
0.5033021,
0.5330059,
0.5802064,
0.6514141,
0.7128561,
0.7620912,
0.807664,
0.8483542
],
[
0.4123679,
0.4239564,
0.4381979,
0.4691224,
0.5151022,
0.5863099,
0.647752,
0.6957663,
0.7450013,
0.7856914
],
[
0.3570293,
0.3673971,
0.3840801,
0.4137839,
0.4609844,
0.5309714,
0.589972,
0.6440898,
0.6872214,
0.7303529
],
[
0.3077943,
0.3193828,
0.3348451,
0.3633281,
0.4105287,
0.4829571,
0.5419577,
0.5948548,
0.6404278,
0.6835593
],
[
0.2634421,
0.2762513,
0.2904928,
0.3201966,
0.3673971,
0.4386048,
0.4988262,
0.5492819,
0.5960755,
0.6367656
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.2970723,
-0.3074401,
-0.324123,
-0.3574889,
-0.4266621,
-0.5589049,
-0.6764994,
-0.7831074,
-0.883612,
-0.9767923
],
[
-0.2867044,
-0.2970723,
-0.3137552,
-0.3483418,
-0.4150736,
-0.5485371,
-0.6661315,
-0.7727396,
-0.8732442,
-0.9664245
],
[
-0.276125,
-0.2852721,
-0.3019551,
-0.335321,
-0.4057148,
-0.5379577,
-0.6543314,
-0.7621602,
-0.861444,
-0.9546243
],
[
-0.2610696,
-0.2714375,
-0.2868997,
-0.3214863,
-0.3894388,
-0.5216817,
-0.639276,
-0.7471048,
-0.8476094,
-0.939569
],
[
-0.2395039,
-0.2498717,
-0.2665547,
-0.2999206,
-0.367873,
-0.5013366,
-0.6201517,
-0.7267598,
-0.8248229,
-0.9180032
],
[
-0.2085794,
-0.2177266,
-0.2331888,
-0.2677754,
-0.3369486,
-0.4704121,
-0.5892272,
-0.6958353,
-0.7926777,
-0.8858581
],
[
-0.1813171,
-0.1916849,
-0.2071471,
-0.240513,
-0.3109069,
-0.4431498,
-0.5619648,
-0.6673522,
-0.766636,
-0.8585957
],
[
-0.1589375,
-0.1680846,
-0.1847676,
-0.2181335,
-0.2873066,
-0.4195495,
-0.5383646,
-0.643752,
-0.7418151,
-0.8362162
],
[
-0.1377786,
-0.1481465,
-0.1636087,
-0.1969746,
-0.2661478,
-0.3983906,
-0.5184264,
-0.6238138,
-0.7230976,
-0.8150573
],
[
-0.1215026,
-0.129429,
-0.146112,
-0.1782572,
-0.2474303,
-0.3796732,
-0.499709,
-0.6026549,
-0.7031595,
-0.7963398
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333333,
4.1666667,
5.0
],
"values": [
[
-0.1518086,
-0.1609557,
-0.1751973,
-0.2024596,
-0.2569844,
-0.3574889,
-0.4421243,
-0.5157734,
-0.5820983,
-0.6435403
],
[
-0.1426615,
-0.1518086,
-0.1648294,
-0.1920918,
-0.2478372,
-0.3471211,
-0.4317565,
-0.5054056,
-0.5729512,
-0.6343932
],
[
-0.1308613,
-0.1400085,
-0.15425,
-0.1815124,
-0.2360371,
-0.3365417,
-0.4199564,
-0.4948262,
-0.561151,
-0.6225931
],
[
-0.115806,
-0.1249531,
-0.1391947,
-0.166457,
-0.2197611,
-0.3202656,
-0.404901,
-0.4785502,
-0.5460957,
-0.6075378
],
[
-0.0930195,
-0.1021667,
-0.1164082,
-0.1436706,
-0.1981953,
-0.2974792,
-0.3808939,
-0.454543,
-0.5208679,
-0.5823099
],
[
-0.0572122,
-0.0663594,
-0.0806009,
-0.1078633,
-0.162388,
-0.2616719,
-0.3450866,
-0.417515,
-0.4838399,
-0.5452819
],
[
-0.0299499,
-0.0378763,
-0.0508971,
-0.0793802,
-0.133905,
-0.2331888,
-0.3153828,
-0.3878112,
-0.4541361,
-0.5143574
],
[
-0.0039082,
-0.0118346,
-0.0260762,
-0.0533385,
-0.1078633,
-0.2071471,
-0.2905618,
-0.3629902,
-0.4280944,
-0.4883157
],
[
0.0196921,
0.0081035,
-0.0036966,
-0.030959,
-0.084263,
-0.1835469,
-0.2669616,
-0.33939,
-0.4057148,
-0.4659362
],
[
0.0396302,
0.0304831,
0.0162415,
-0.0110208,
-0.0643249,
-0.1636087,
-0.2470234,
-0.3182311,
-0.384556,
-0.4459981
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"test_cell": {
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "(D&DE) | (IQ&!DE)"
},
"pin,CLK": {
"direction": "input"
},
"pin,D": {
"direction": "input"
},
"pin,DE": {
"direction": "input"
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"signal_type": "test_scan_out"
},
"pin,SCD": {
"direction": "input",
"signal_type": "test_scan_in"
},
"pin,SCE": {
"direction": "input",
"signal_type": "test_scan_enable"
}
}
}