blob: 389bc20514a3b776414290d149d1efc38ddbc2aa [file] [log] [blame]
{
"area": 27.1728,
"cell_footprint": "sky130_fd_sc_ls__dlxbp",
"cell_leakage_power": 3.977857,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"latch,IQ,IQ_N": {
"data_in": "D",
"enable": "GATE"
},
"leakage_power": [
{
"value": 4.9745155,
"when": "D&GATE"
},
{
"value": 2.910497,
"when": "!D&!GATE"
},
{
"value": 3.1647045,
"when": "!D&GATE"
},
{
"value": 4.8617097,
"when": "D&!GATE"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,D": {
"capacitance": 0.002247,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002165,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0105739,
0.0105187,
0.0104541,
0.0103868,
0.010287,
0.0102785,
0.0102671,
0.0104713,
0.0107792,
0.0114284,
0.0124036,
0.0138677,
0.0160654
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0052174,
0.0050674,
0.0048908,
0.0048018,
0.0046689,
0.0046204,
0.0045486,
0.0046394,
0.0047765,
0.0054189,
0.0063833,
0.0078307,
0.0100029
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002329,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.0435039,
0.0502096,
0.0620098,
0.0868307,
0.126707,
0.1820456,
0.2227357,
0.2573223,
0.2882467,
0.3167298
],
[
0.0355775,
0.0435039,
0.055304,
0.0789043,
0.1200013,
0.1741191,
0.2148092,
0.2493958,
0.281541,
0.3100241
],
[
0.0237773,
0.0304831,
0.0435039,
0.0671042,
0.1069805,
0.162319,
0.2030091,
0.2375957,
0.2685202,
0.2970032
],
[
-0.003485,
0.0044414,
0.0162415,
0.0410625,
0.0821595,
0.1362773,
0.1769674,
0.211554,
0.2436992,
0.2709616
],
[
-0.0519063,
-0.0439798,
-0.0321797,
-0.006138,
0.0337383,
0.0890768,
0.1309876,
0.1655742,
0.1964987,
0.2237611
],
[
-0.1182311,
-0.1103047,
-0.0985046,
-0.0736836,
-0.0325866,
0.022752,
0.0634421,
0.0968079,
0.1289531,
0.1562155
],
[
-0.1674661,
-0.1595397,
-0.1465189,
-0.1216979,
-0.0818216,
-0.0264831,
0.014207,
0.0475729,
0.0784974,
0.1069805
],
[
-0.2069355,
-0.1990091,
-0.187209,
-0.162388,
-0.1225117,
-0.0671732,
-0.0277038,
0.0068828,
0.0365866,
0.063849
],
[
-0.2439636,
-0.2348164,
-0.2230163,
-0.1981953,
-0.158319,
-0.1042012,
-0.0647318,
-0.0301452,
-0.0004414,
0.026821
],
[
-0.2761087,
-0.2681823,
-0.2563821,
-0.2315612,
-0.1916849,
-0.1375671,
-0.0980977,
-0.0647318,
-0.0338073,
-0.0065449
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1448223,
0.151528,
0.1633281,
0.1808249,
0.2036113,
0.2320944,
0.2495911,
0.2622051,
0.2711569,
0.278888
],
[
0.1381165,
0.1448223,
0.1566224,
0.1741191,
0.1969056,
0.2253887,
0.2428854,
0.2554994,
0.2644512,
0.2721823
],
[
0.1287578,
0.1354635,
0.146043,
0.1647604,
0.1875469,
0.2148092,
0.2335267,
0.2449199,
0.2538717,
0.2616029
],
[
0.1137025,
0.1204082,
0.1309876,
0.1484844,
0.1724915,
0.1997539,
0.2172507,
0.2286439,
0.2375957,
0.2453268
],
[
0.0945781,
0.1012839,
0.1118633,
0.12936,
0.1521465,
0.1781881,
0.1956849,
0.2070781,
0.2148092,
0.2213197
],
[
0.0697572,
0.0764629,
0.0870423,
0.1045391,
0.1273255,
0.1521465,
0.1684225,
0.1798157,
0.1875469,
0.1928366
],
[
0.049819,
0.0565247,
0.0671042,
0.0846009,
0.1061667,
0.1322083,
0.1472637,
0.1574362,
0.1651673,
0.170457
],
[
0.0323223,
0.0378073,
0.0483867,
0.0658835,
0.0886699,
0.1134909,
0.1285462,
0.1387187,
0.1464499,
0.1517396
],
[
0.0160462,
0.022752,
0.0321107,
0.0496074,
0.0711732,
0.0972148,
0.1122702,
0.121222,
0.1289531,
0.1342428
],
[
0.0009909,
0.0076966,
0.0170553,
0.0333314,
0.0561178,
0.0809388,
0.0959941,
0.1061667,
0.1126771,
0.1167461
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_falling",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.030959,
-0.0388854,
-0.0506855,
-0.0755065,
-0.1166035,
-0.1707214,
-0.2126322,
-0.2472188,
-0.2793639,
-0.3066263
],
[
-0.0242533,
-0.030959,
-0.0439798,
-0.0688008,
-0.1086771,
-0.1640156,
-0.2047057,
-0.240513,
-0.2714375,
-0.2999206
],
[
-0.0112324,
-0.0191589,
-0.030959,
-0.0557799,
-0.096877,
-0.1522155,
-0.1929056,
-0.2287129,
-0.2596374,
-0.2881205
],
[
0.0160299,
0.0081035,
-0.0049173,
-0.0297383,
-0.0708353,
-0.1261738,
-0.1668639,
-0.2026712,
-0.2335957,
-0.2620788
],
[
0.0632305,
0.055304,
0.0435039,
0.0174622,
-0.0236348,
-0.0789733,
-0.1208841,
-0.1554707,
-0.1863952,
-0.2148783
],
[
0.1295553,
0.1216289,
0.1086081,
0.0837871,
0.0426901,
-0.0126484,
-0.0533385,
-0.0879251,
-0.1188496,
-0.1473327
],
[
0.1775697,
0.1696432,
0.1566224,
0.1318014,
0.0919251,
0.0365866,
-0.0041035,
-0.0386901,
-0.0696146,
-0.096877
],
[
0.2182598,
0.2103333,
0.1973125,
0.1724915,
0.1326152,
0.0772767,
0.0365866,
0.0032207,
-0.0277038,
-0.0549661
],
[
0.2540671,
0.2461406,
0.2343405,
0.2082988,
0.1684225,
0.1143047,
0.0736146,
0.0402487,
0.0093242,
-0.0179382
],
[
0.287433,
0.2795065,
0.2664857,
0.2416647,
0.2017884,
0.1476706,
0.1082012,
0.0736146,
0.0439108,
0.0154277
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.1359395,
-0.1438659,
-0.1544453,
-0.1731628,
-0.1959492,
-0.2244323,
-0.241929,
-0.254543,
-0.2647155,
-0.2712259
],
[
-0.1304544,
-0.1371602,
-0.1477396,
-0.166457,
-0.1892435,
-0.2177266,
-0.2352233,
-0.2478372,
-0.2580098,
-0.2645202
],
[
-0.119875,
-0.1278014,
-0.1383809,
-0.1558776,
-0.1798848,
-0.2083678,
-0.2258646,
-0.2384785,
-0.2474303,
-0.2539408
],
[
-0.1048197,
-0.1115254,
-0.1221048,
-0.1408223,
-0.1636087,
-0.1908711,
-0.2095885,
-0.2209818,
-0.2299336,
-0.2376647
],
[
-0.0832539,
-0.0911803,
-0.1017598,
-0.1192565,
-0.142043,
-0.1693053,
-0.1855814,
-0.1981953,
-0.2059264,
-0.2124368
],
[
-0.0535501,
-0.0602559,
-0.0708353,
-0.0895527,
-0.1123392,
-0.1383809,
-0.1558776,
-0.1672708,
-0.175002,
-0.1802917
],
[
-0.0262878,
-0.0329935,
-0.0447936,
-0.0635111,
-0.0862975,
-0.1135599,
-0.1298359,
-0.1412292,
-0.1489603,
-0.1554707
],
[
-0.0014668,
-0.0081725,
-0.018752,
-0.0374694,
-0.0626973,
-0.0899596,
-0.1062357,
-0.1176289,
-0.1265807,
-0.1318704
],
[
0.0221335,
0.0154277,
0.0048483,
-0.0138691,
-0.039097,
-0.0663594,
-0.0838561,
-0.0952493,
-0.1042012,
-0.1094909
],
[
0.044513,
0.0378073,
0.0272279,
0.0085104,
-0.0167174,
-0.0439798,
-0.0614766,
-0.0728698,
-0.0818216,
-0.0871113
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_falling",
"violation_delay_degrade_pct": 10
}
]
},
"pin,GATE": {
"capacitance": 0.002433,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.00235,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0226407,
0.0224835,
0.0222984,
0.0221974,
0.0220471,
0.0220475,
0.0220491,
0.0222011,
0.0224303,
0.0232098,
0.0243801,
0.0261368,
0.0287731
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0139123,
0.0137857,
0.0136367,
0.0135461,
0.0134111,
0.0133081,
0.0131548,
0.0132098,
0.0132934,
0.0140341,
0.0151459,
0.0168147,
0.0193195
]
}
},
"max_transition": 1.5,
"min_pulse_width_high": 0.121472,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002517
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
-0.0052911,
0.0113656,
0.0192958,
0.0221314,
0.0054364,
-0.0544021,
-0.1980251
],
[
-0.0052635,
0.0113688,
0.0192975,
0.022031,
0.0053637,
-0.0544829,
-0.1981262
],
[
-0.0052313,
0.0114024,
0.0193189,
0.0220442,
0.0053618,
-0.0544588,
-0.1980749
],
[
-0.0051977,
0.0114174,
0.0193472,
0.0220434,
0.0053323,
-0.0545043,
-0.1981307
],
[
-0.0051479,
0.0114481,
0.0193548,
0.0220586,
0.0053207,
-0.0545284,
-0.1981929
],
[
-0.0051439,
0.0114352,
0.019362,
0.0220796,
0.0053164,
-0.0545091,
-0.1981428
],
[
-0.0051384,
0.0114382,
0.0193621,
0.0220754,
0.0053113,
-0.0545166,
-0.19813
],
[
-0.0052409,
0.0113462,
0.0192329,
0.0219425,
0.00523,
-0.0545703,
-0.1981841
],
[
-0.0053954,
0.0112333,
0.0191723,
0.0218981,
0.0052576,
-0.0545639,
-0.1981791
],
[
-0.0057208,
0.011,
0.0189831,
0.0218254,
0.0052235,
-0.0545404,
-0.1981131
],
[
-0.0062095,
0.0106762,
0.018801,
0.0217988,
0.0052518,
-0.0544378,
-0.1979456
],
[
-0.0069432,
0.0102696,
0.0185908,
0.0217886,
0.0055838,
-0.0538481,
-0.1972068
],
[
-0.0080445,
0.0095566,
0.0181336,
0.0216715,
0.0057804,
-0.0532273,
-0.1964119
]
]
},
"related_pin": "D",
"rise_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
-0.0026108,
0.0011277,
0.0068886,
0.0214344,
0.055148,
0.1286264,
0.2801431
],
[
-0.0025358,
0.0011845,
0.0069437,
0.0214778,
0.0551828,
0.1283528,
0.2801684
],
[
-0.0024475,
0.0012567,
0.0070129,
0.0215235,
0.055179,
0.1286045,
0.2802754
],
[
-0.0024031,
0.001247,
0.0069514,
0.0214124,
0.05519,
0.1281609,
0.2800614
],
[
-0.0023367,
0.0012798,
0.0069756,
0.0214352,
0.0551135,
0.1282242,
0.2799744
],
[
-0.0023125,
0.0012766,
0.0069548,
0.0213904,
0.0549323,
0.1281869,
0.2800176
],
[
-0.0022768,
0.0013045,
0.0069588,
0.0213687,
0.055017,
0.1283481,
0.2797222
],
[
-0.0023224,
0.0012515,
0.006912,
0.0213145,
0.0549224,
0.1280213,
0.2798842
],
[
-0.0023913,
0.0012139,
0.006926,
0.0213668,
0.0549273,
0.1283173,
0.2798527
],
[
-0.0027129,
0.0009866,
0.0067201,
0.0212176,
0.0549231,
0.128409,
0.2795153
],
[
-0.0031958,
0.0006558,
0.0065166,
0.0211395,
0.0549306,
0.1282217,
0.2800788
],
[
-0.0039204,
0.0002417,
0.0062901,
0.0211312,
0.0551759,
0.1285535,
0.2806171
],
[
-0.005009,
-0.0003753,
0.006011,
0.0214579,
0.0558329,
0.129684,
0.2812842
]
]
}
},
{
"fall_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
-0.0069596,
0.0098972,
0.0180069,
0.0209646,
0.004401,
-0.0553129,
-0.1988347
],
[
-0.0068963,
0.0099489,
0.0180258,
0.0209611,
0.0043834,
-0.0552619,
-0.1988145
],
[
-0.0068218,
0.0100105,
0.0180666,
0.0209868,
0.0044835,
-0.0552202,
-0.1987389
],
[
-0.0067766,
0.0100751,
0.0180926,
0.020977,
0.0045385,
-0.0552864,
-0.1988429
],
[
-0.0067092,
0.0100691,
0.0181173,
0.0210014,
0.0044503,
-0.0551612,
-0.198866
],
[
-0.0066578,
0.0101036,
0.0181146,
0.0209416,
0.0043456,
-0.055467,
-0.1990591
],
[
-0.0065814,
0.0101348,
0.0181379,
0.020933,
0.0044072,
-0.0554108,
-0.1989875
],
[
-0.0066091,
0.0101181,
0.0181193,
0.0209563,
0.0043373,
-0.0553953,
-0.1990238
],
[
-0.0066513,
0.0101256,
0.0181638,
0.0210647,
0.0045253,
-0.0552564,
-0.1988164
],
[
-0.0070222,
0.0098607,
0.0179471,
0.0209766,
0.0045249,
-0.055219,
-0.1987122
],
[
-0.007579,
0.0094727,
0.0176813,
0.0207603,
0.0044227,
-0.05519,
-0.1986302
],
[
-0.0084145,
0.0089971,
0.0173891,
0.0207949,
0.0048123,
-0.0546131,
-0.1979323
],
[
-0.0096692,
0.0083653,
0.0172042,
0.0210943,
0.0055346,
-0.0537424,
-0.1968036
]
]
},
"related_pin": "GATE",
"rise_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
-0.0069586,
-0.0019443,
0.0046755,
0.0203026,
0.0550481,
0.1293923,
0.2817361
],
[
-0.0068954,
-0.0018921,
0.0047313,
0.0203297,
0.0550696,
0.1293268,
0.2816229
],
[
-0.0068209,
-0.0018743,
0.0047143,
0.0202506,
0.0549895,
0.1292394,
0.2816423
],
[
-0.0067757,
-0.0018462,
0.0047176,
0.020265,
0.0549658,
0.1292172,
0.2811927
],
[
-0.0067083,
-0.001806,
0.0047427,
0.0202394,
0.0549504,
0.1290425,
0.2813856
],
[
-0.0066569,
-0.0017957,
0.0047315,
0.0202106,
0.0548707,
0.128812,
0.2813661
],
[
-0.0065804,
-0.0017592,
0.0047421,
0.0202088,
0.0547848,
0.1287359,
0.2812871
],
[
-0.0066082,
-0.0017955,
0.0047045,
0.020131,
0.054777,
0.1286374,
0.2810217
],
[
-0.0066504,
-0.0018165,
0.0046981,
0.0201355,
0.0548992,
0.1287272,
0.2810882
],
[
-0.0070213,
-0.0021205,
0.0044247,
0.0199593,
0.0546215,
0.1288941,
0.2811337
],
[
-0.007578,
-0.0025062,
0.0041654,
0.0198178,
0.0546305,
0.1289176,
0.2814074
],
[
-0.0084136,
-0.0029671,
0.0039512,
0.01989,
0.0550678,
0.1295503,
0.28209
],
[
-0.0096692,
-0.0036644,
0.003643,
0.0201959,
0.0555657,
0.130282,
0.2826954
]
]
}
}
],
"max_capacitance": 0.19205,
"max_transition": 1.501513,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.2268262,
0.2635737,
0.2899092,
0.3351975,
0.4199464,
0.5870645,
0.9212945
],
[
0.2292214,
0.2659551,
0.2922904,
0.3375758,
0.422311,
0.5895076,
0.9234508
],
[
0.2322592,
0.2690041,
0.295339,
0.3406517,
0.4254044,
0.5924592,
0.9264434
],
[
0.23716,
0.2739068,
0.3002415,
0.3455277,
0.4303133,
0.5974219,
0.9313327
],
[
0.2449166,
0.2816472,
0.3079833,
0.3532681,
0.4380539,
0.6051617,
0.939073
],
[
0.2567148,
0.293464,
0.3198001,
0.3650928,
0.4498811,
0.6169384,
0.9509155
],
[
0.2738823,
0.3106306,
0.336966,
0.3822626,
0.467048,
0.6341039,
0.9680823
],
[
0.2960499,
0.3328047,
0.359126,
0.4044295,
0.4892106,
0.6562553,
0.990157
],
[
0.3227885,
0.3595385,
0.3858713,
0.4311821,
0.5158559,
0.6830352,
1.0169704
],
[
0.3547102,
0.3914908,
0.4178317,
0.4631406,
0.5478396,
0.71502,
1.0489359
],
[
0.3935793,
0.4303059,
0.4566423,
0.5019707,
0.5866268,
0.7538808,
1.0877355
],
[
0.4407575,
0.4774867,
0.5038305,
0.549166,
0.6339128,
0.8010792,
1.134815
],
[
0.5000804,
0.5368254,
0.5632039,
0.6085228,
0.693327,
0.860417,
1.1943606
]
]
},
"cell_rise,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.2581485,
0.2999249,
0.3348476,
0.4006899,
0.5288832,
0.7843333,
1.2936849
],
[
0.2607131,
0.3024554,
0.337411,
0.4031362,
0.5313678,
0.7875706,
1.2985237
],
[
0.2635869,
0.3053083,
0.3402608,
0.4059466,
0.5341801,
0.7894491,
1.3032823
],
[
0.2681429,
0.3098439,
0.3448099,
0.4105666,
0.5387681,
0.7942158,
1.3044738
],
[
0.2749979,
0.3167011,
0.3516573,
0.4173202,
0.5455933,
0.8009083,
1.3127283
],
[
0.2844747,
0.3261113,
0.3610547,
0.4268614,
0.5550692,
0.8105468,
1.320321
],
[
0.2959371,
0.3376969,
0.3727199,
0.4385187,
0.5667278,
0.8220448,
1.3316617
],
[
0.309464,
0.3511821,
0.3861258,
0.451946,
0.5801499,
0.835599,
1.3471486
],
[
0.3244835,
0.3660791,
0.4010339,
0.466796,
0.5950151,
0.8504372,
1.3621189
],
[
0.3408687,
0.3824963,
0.4174699,
0.4832655,
0.6114654,
0.8669115,
1.379305
],
[
0.3585361,
0.4001209,
0.4351755,
0.5009403,
0.6291411,
0.8846115,
1.3958912
],
[
0.376379,
0.4180897,
0.4529896,
0.5187766,
0.6470577,
0.9021724,
1.4165675
],
[
0.3932887,
0.4349433,
0.4698307,
0.5356424,
0.6638812,
0.9193223,
1.4284715
]
]
},
"fall_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.0204386,
0.0508376,
0.0765721,
0.1282631,
0.2368018,
0.458507,
0.9048634
],
[
0.0204295,
0.0509613,
0.0765563,
0.1284988,
0.2366173,
0.4572825,
0.9055967
],
[
0.0203944,
0.0509862,
0.0765364,
0.1286282,
0.2367488,
0.4571918,
0.9047182
],
[
0.0203718,
0.050988,
0.0765559,
0.1285988,
0.2362728,
0.4572092,
0.904211
],
[
0.0204311,
0.0509551,
0.0765582,
0.128607,
0.236321,
0.4572113,
0.9067443
],
[
0.0204516,
0.0508278,
0.0765953,
0.1284339,
0.2367187,
0.4573934,
0.9049027
],
[
0.020436,
0.050841,
0.0765931,
0.128536,
0.2367267,
0.4573708,
0.9049048
],
[
0.0204827,
0.0507979,
0.0766169,
0.1286652,
0.2366127,
0.4574663,
0.9048466
],
[
0.0203843,
0.0509054,
0.0766692,
0.1286968,
0.2361999,
0.4573324,
0.9042147
],
[
0.0204021,
0.0509009,
0.0765377,
0.1284008,
0.2359734,
0.4574297,
0.9042182
],
[
0.0204838,
0.0509722,
0.076559,
0.1283742,
0.2365395,
0.4572107,
0.9044441
],
[
0.0205143,
0.0510153,
0.0766016,
0.1285953,
0.2364274,
0.4572222,
0.9048753
],
[
0.0205242,
0.0510473,
0.0767208,
0.1287875,
0.2361503,
0.4571027,
0.9036437
]
]
},
"related_pin": "D",
"rise_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.0236667,
0.0682604,
0.1126381,
0.2029585,
0.3861246,
0.7561763,
1.5010992
],
[
0.023604,
0.0681687,
0.1128656,
0.2031159,
0.3871164,
0.7565066,
1.5002121
],
[
0.0236203,
0.0680594,
0.1128818,
0.2029434,
0.3864637,
0.7575673,
1.5005232
],
[
0.0237051,
0.0681862,
0.1125193,
0.2029127,
0.3864493,
0.7590052,
1.5006385
],
[
0.0236078,
0.0681819,
0.1127698,
0.2032805,
0.386532,
0.7574182,
1.5004918
],
[
0.0236793,
0.0682652,
0.1126212,
0.2029296,
0.3876838,
0.7576012,
1.4994945
],
[
0.0236586,
0.0681658,
0.1126197,
0.2028917,
0.387665,
0.7564526,
1.4974543
],
[
0.0236208,
0.0682387,
0.1126313,
0.2029039,
0.3864075,
0.7573756,
1.4974735
],
[
0.0236648,
0.0682694,
0.1126012,
0.2030894,
0.3870677,
0.7576002,
1.500171
],
[
0.0237027,
0.068264,
0.1125649,
0.2028626,
0.3864406,
0.7573755,
1.5000943
],
[
0.0236958,
0.068272,
0.1126165,
0.2029191,
0.3865829,
0.7574079,
1.4999935
],
[
0.0236206,
0.0684813,
0.1125656,
0.203311,
0.3862412,
0.757436,
1.5006363
],
[
0.0237056,
0.0683351,
0.1125979,
0.2032089,
0.3876751,
0.757125,
1.4975214
]
]
},
"timing_sense": "positive_unate"
},
{
"cell_fall,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.2479593,
0.2848873,
0.3112676,
0.3565803,
0.44137,
0.6085078,
0.9423861
],
[
0.2505514,
0.2874931,
0.313835,
0.3591513,
0.4438691,
0.6110776,
0.9450019
],
[
0.2535636,
0.2905043,
0.3168749,
0.3621837,
0.4468325,
0.6141074,
0.9478379
],
[
0.2581216,
0.2950634,
0.3214222,
0.3667441,
0.4515118,
0.6186362,
0.9526042
],
[
0.2649969,
0.3019787,
0.328297,
0.373627,
0.4583565,
0.6254448,
0.959391
],
[
0.2749247,
0.3118677,
0.3382305,
0.3835361,
0.4682067,
0.6354646,
0.9696952
],
[
0.2873685,
0.3243139,
0.3506759,
0.395976,
0.4808013,
0.6478922,
0.9817909
],
[
0.3017477,
0.3387163,
0.3650637,
0.4103796,
0.495232,
0.6622912,
0.9960862
],
[
0.3182697,
0.3552242,
0.3816052,
0.4269292,
0.5116299,
0.6788211,
1.0127688
],
[
0.3366217,
0.3735699,
0.3999215,
0.4452383,
0.5300192,
0.697112,
1.031058
],
[
0.3555685,
0.3925343,
0.4188768,
0.4642116,
0.5488711,
0.7161071,
1.0500625
],
[
0.3738055,
0.4107487,
0.4371138,
0.4824302,
0.5671376,
0.734364,
1.0682971
],
[
0.3877016,
0.4245137,
0.4508828,
0.4962224,
0.5808624,
0.7482769,
1.0821186
]
]
},
"cell_rise,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.2342734,
0.2760706,
0.3110372,
0.3768283,
0.505097,
0.7604445,
1.2711133
],
[
0.2368755,
0.2786852,
0.3137006,
0.3795029,
0.5076936,
0.7630151,
1.2740602
],
[
0.2398451,
0.2817072,
0.3166883,
0.3824739,
0.5106593,
0.7661073,
1.2760282
],
[
0.2443598,
0.2861819,
0.3211897,
0.3869813,
0.5151782,
0.7711425,
1.2802205
],
[
0.2509954,
0.2928339,
0.3278505,
0.3936297,
0.5218389,
0.7770853,
1.2898561
],
[
0.2602825,
0.302145,
0.3371991,
0.4029291,
0.5311682,
0.7873254,
1.2962444
],
[
0.2724301,
0.3142353,
0.3492049,
0.4149704,
0.543269,
0.7986545,
1.3093
],
[
0.2865276,
0.3283922,
0.3634452,
0.4292009,
0.5573961,
0.81312,
1.3221124
],
[
0.3023498,
0.3442574,
0.3792441,
0.4450376,
0.5732334,
0.8284153,
1.3404855
],
[
0.3201426,
0.3620201,
0.3970168,
0.4627428,
0.591041,
0.8464384,
1.3557776
],
[
0.3390759,
0.3808859,
0.4159164,
0.4816864,
0.609899,
0.8652823,
1.3759994
],
[
0.3582106,
0.4000703,
0.4350822,
0.5008242,
0.6291003,
0.8842449,
1.394959
],
[
0.3748726,
0.416754,
0.4517322,
0.5174989,
0.6457862,
0.9010497,
1.4105109
]
]
},
"fall_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.0209542,
0.0509394,
0.076729,
0.1289631,
0.2368453,
0.4574046,
0.9051443
],
[
0.0208373,
0.051014,
0.0766266,
0.1288139,
0.2367845,
0.4575386,
0.9048612
],
[
0.0207643,
0.0510159,
0.0766724,
0.1287766,
0.236712,
0.4572753,
0.9053245
],
[
0.0207165,
0.0510417,
0.0767822,
0.1285388,
0.2368411,
0.4589606,
0.9047191
],
[
0.0207602,
0.0509508,
0.0766326,
0.1287183,
0.2369071,
0.4587731,
0.9041571
],
[
0.0207172,
0.0510298,
0.0769434,
0.1286675,
0.2366282,
0.4587793,
0.9054207
],
[
0.0206998,
0.0510537,
0.076737,
0.128794,
0.2361535,
0.4574287,
0.9050627
],
[
0.020671,
0.0510567,
0.0768058,
0.1288545,
0.2363871,
0.4575815,
0.9043838
],
[
0.0208175,
0.0510515,
0.0767067,
0.128606,
0.2367047,
0.4585903,
0.9046768
],
[
0.0208608,
0.0509169,
0.0766941,
0.1286645,
0.2367009,
0.4576523,
0.9048141
],
[
0.0206956,
0.0509636,
0.0766882,
0.1286878,
0.23628,
0.4574595,
0.9045467
],
[
0.0206973,
0.0509757,
0.0767438,
0.1288989,
0.2369155,
0.4571743,
0.9048083
],
[
0.020806,
0.0510986,
0.076647,
0.1287451,
0.2367393,
0.4586688,
0.9034645
]
]
},
"related_pin": "GATE",
"rise_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.006,
0.012,
0.02401,
0.04801,
0.09602,
0.19205
],
"values": [
[
0.0235613,
0.068318,
0.1126349,
0.2029085,
0.3863631,
0.7577113,
1.5003693
],
[
0.0235324,
0.0683728,
0.1125519,
0.2030726,
0.3865046,
0.7575556,
1.499961
],
[
0.0235145,
0.0682591,
0.1126567,
0.2030017,
0.3861757,
0.7574243,
1.4999147
],
[
0.0235466,
0.0683824,
0.1125641,
0.2030469,
0.3865505,
0.7578791,
1.4996434
],
[
0.0234783,
0.0683723,
0.1125507,
0.2030408,
0.3865023,
0.7572909,
1.5015126
],
[
0.0234698,
0.0681727,
0.1129102,
0.2031136,
0.3866807,
0.7566609,
1.4996691
],
[
0.0235738,
0.0683401,
0.1127103,
0.2031006,
0.3869757,
0.7570126,
1.4983954
],
[
0.0234793,
0.0681776,
0.1125705,
0.2030511,
0.3865869,
0.757569,
1.4990267
],
[
0.0235336,
0.0682859,
0.1126662,
0.2030006,
0.3863398,
0.7582233,
1.5001228
],
[
0.0236019,
0.068184,
0.1126432,
0.2029421,
0.3861413,
0.7568526,
1.4992068
],
[
0.0236484,
0.0682953,
0.1126919,
0.2031208,
0.38649,
0.7577187,
1.5003034
],
[
0.0236281,
0.0683872,
0.1126687,
0.2031195,
0.3865694,
0.7575686,
1.5003407
],
[
0.0238246,
0.0683854,
0.1127605,
0.2030629,
0.3864949,
0.7561697,
1.4971029
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin,Q_N": {
"direction": "output",
"function": "IQ_N",
"internal_power": [
{
"fall_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
-0.0069597,
0.0102267,
0.0182157,
0.0203039,
0.0011919,
-0.0650446,
-0.2225082
],
[
-0.0068965,
0.0102518,
0.018229,
0.0203512,
0.00122,
-0.064892,
-0.2225313
],
[
-0.006822,
0.0102755,
0.0182326,
0.0202782,
0.0010806,
-0.0650996,
-0.222566
],
[
-0.0067768,
0.0103052,
0.0182533,
0.0202688,
0.001062,
-0.0651975,
-0.2226784
],
[
-0.0067094,
0.0103657,
0.01827,
0.020291,
0.0009768,
-0.0652599,
-0.2227716
],
[
-0.006658,
0.0103736,
0.0182592,
0.0202372,
0.000981,
-0.0652617,
-0.2227917
],
[
-0.0065816,
0.0103867,
0.0182724,
0.0202162,
0.0009349,
-0.0653267,
-0.2228555
],
[
-0.0066093,
0.0103788,
0.0182028,
0.0201654,
0.0009073,
-0.0653629,
-0.2229007
],
[
-0.0066515,
0.0103257,
0.0182264,
0.0201574,
0.0009281,
-0.0654477,
-0.2229818
],
[
-0.0070224,
0.0100385,
0.0179609,
0.0199653,
0.0007359,
-0.0654764,
-0.2229913
],
[
-0.0075791,
0.0096374,
0.0176661,
0.0198122,
0.000694,
-0.0654108,
-0.2228724
],
[
-0.0084147,
0.0091992,
0.0174687,
0.0198934,
0.0010995,
-0.0648003,
-0.2220506
],
[
-0.0096692,
0.0085486,
0.0172196,
0.0201636,
0.0017137,
-0.063941,
-0.2209917
]
]
},
"related_pin": "GATE",
"rise_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
-0.0069587,
-0.0021893,
0.0045436,
0.0208895,
0.0582264,
0.1389641,
0.3044408
],
[
-0.0068954,
-0.0021388,
0.004556,
0.0209917,
0.0582568,
0.1390163,
0.3050008
],
[
-0.0068209,
-0.0020789,
0.0046173,
0.0210048,
0.0583884,
0.1390303,
0.3050993
],
[
-0.0067757,
-0.0020574,
0.0046316,
0.0209923,
0.0582307,
0.1387192,
0.3046552
],
[
-0.0067083,
-0.0020107,
0.0046636,
0.0209941,
0.0582106,
0.1388426,
0.3048562
],
[
-0.0066569,
-0.0020231,
0.0046,
0.020928,
0.0580995,
0.1387203,
0.3041489
],
[
-0.0065805,
-0.0019511,
0.004674,
0.0209458,
0.058151,
0.1387607,
0.3045427
],
[
-0.0066083,
-0.0019826,
0.0046344,
0.0209009,
0.0582412,
0.1387792,
0.304728
],
[
-0.0066504,
-0.0019787,
0.0046672,
0.0209837,
0.0583914,
0.1386369,
0.3046546
],
[
-0.0070214,
-0.0022215,
0.0045039,
0.0209799,
0.0582872,
0.1386426,
0.304757
],
[
-0.0075781,
-0.0026044,
0.0042459,
0.0207876,
0.0582867,
0.1392161,
0.305013
],
[
-0.0084136,
-0.0031051,
0.0039983,
0.0208069,
0.0585335,
0.1396043,
0.305623
],
[
-0.0096692,
-0.0037872,
0.0037502,
0.0211273,
0.0591993,
0.1402867,
0.3068343
]
]
}
},
{
"fall_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
-0.0026116,
0.013303,
0.0204258,
0.0214763,
0.0012514,
-0.0657995,
-0.2238447
],
[
-0.0025367,
0.0133209,
0.0204412,
0.0214837,
0.0012906,
-0.0657078,
-0.2237383
],
[
-0.0024484,
0.0133883,
0.0204982,
0.021522,
0.0013056,
-0.0656937,
-0.2237359
],
[
-0.0024039,
0.0134282,
0.0205162,
0.0215458,
0.0013292,
-0.0657918,
-0.2238508
],
[
-0.0023375,
0.0134245,
0.0204956,
0.0213993,
0.0011688,
-0.0659196,
-0.2239832
],
[
-0.0023134,
0.013434,
0.0204741,
0.0214063,
0.0010993,
-0.0659781,
-0.2240419
],
[
-0.0022777,
0.0134483,
0.0204839,
0.0213774,
0.0011306,
-0.0659339,
-0.2240245
],
[
-0.0023233,
0.0134439,
0.0204289,
0.0213399,
0.0009793,
-0.0661706,
-0.2242607
],
[
-0.0023921,
0.0133681,
0.0204333,
0.0213547,
0.0011494,
-0.0659166,
-0.223976
],
[
-0.0027138,
0.0131561,
0.020226,
0.0212361,
0.0009731,
-0.0660902,
-0.2241221
],
[
-0.0031966,
0.0128066,
0.0200293,
0.0211454,
0.0010202,
-0.0659028,
-0.2238354
],
[
-0.0039213,
0.0123973,
0.0197734,
0.021158,
0.0012936,
-0.0654799,
-0.2233012
],
[
-0.005009,
0.0118132,
0.0195701,
0.021419,
0.0017669,
-0.0646099,
-0.2222358
]
]
},
"related_pin": "D",
"rise_power,pwr_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
-0.00529,
-0.0007251,
0.0058467,
0.0221112,
0.0593691,
0.1398218,
0.3058522
],
[
-0.0052624,
-0.0007274,
0.0058218,
0.0220947,
0.0593249,
0.1397001,
0.3054094
],
[
-0.0052302,
-0.0007144,
0.005821,
0.0220778,
0.059291,
0.1396523,
0.305351
],
[
-0.0051966,
-0.0006777,
0.0058754,
0.022074,
0.0593037,
0.1396486,
0.3055581
],
[
-0.0051468,
-0.0006445,
0.0058956,
0.0220575,
0.0592482,
0.1396263,
0.3055787
],
[
-0.0051428,
-0.0006505,
0.0058747,
0.0220911,
0.0591445,
0.1393371,
0.3051991
],
[
-0.0051373,
-0.000651,
0.0058713,
0.0220722,
0.0592542,
0.1396668,
0.3056545
],
[
-0.0052398,
-0.0007454,
0.0057715,
0.0220094,
0.0591965,
0.1395794,
0.3052547
],
[
-0.0053943,
-0.0008519,
0.0057041,
0.0219615,
0.0591734,
0.1396186,
0.3056202
],
[
-0.0057197,
-0.0010998,
0.0055158,
0.0218188,
0.0589908,
0.1395887,
0.3056214
],
[
-0.0062084,
-0.0013914,
0.0053294,
0.0217543,
0.0590873,
0.1398368,
0.305931
],
[
-0.006942,
-0.0018507,
0.0050986,
0.0218277,
0.0593328,
0.1403942,
0.3066393
],
[
-0.0080445,
-0.0025345,
0.0047447,
0.0216789,
0.0598528,
0.1405608,
0.307092
]
]
}
}
],
"max_capacitance": 0.20983,
"max_transition": 1.499712,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.3134001,
0.3480037,
0.3743223,
0.4236102,
0.5192413,
0.7047433,
1.0678803
],
[
0.3157769,
0.3503381,
0.3766549,
0.4259629,
0.5216134,
0.7070715,
1.0702439
],
[
0.3186296,
0.3532413,
0.3795691,
0.4288712,
0.5245041,
0.7099838,
1.0731206
],
[
0.3230873,
0.3576902,
0.384039,
0.4332626,
0.5289508,
0.7144212,
1.0776471
],
[
0.3301092,
0.3647382,
0.3910733,
0.4403352,
0.5359378,
0.7214576,
1.0843452
],
[
0.339313,
0.3739261,
0.4002587,
0.4495575,
0.5451755,
0.7306689,
1.0938607
],
[
0.3511059,
0.385723,
0.4120476,
0.4613365,
0.5569193,
0.7424293,
1.1053858
],
[
0.3645512,
0.3991462,
0.4254736,
0.4747781,
0.5704178,
0.7558517,
1.1190686
],
[
0.3793525,
0.4140034,
0.4403239,
0.4896288,
0.5852667,
0.7707142,
1.1338107
],
[
0.3958742,
0.4303256,
0.456645,
0.5061302,
0.6017701,
0.7872202,
1.1504535
],
[
0.4135766,
0.4480566,
0.4744679,
0.5237518,
0.6194101,
0.8049025,
1.1678432
],
[
0.4315872,
0.4661862,
0.4925196,
0.5417756,
0.6374354,
0.8229064,
1.1861613
],
[
0.4483659,
0.4829649,
0.5092948,
0.5585853,
0.6542044,
0.8396529,
1.2028402
]
]
},
"cell_rise,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.2771532,
0.316685,
0.3515181,
0.4198571,
0.5506272,
0.8068849,
1.3159829
],
[
0.2795441,
0.3190935,
0.353905,
0.4222327,
0.5530482,
0.809074,
1.3207052
],
[
0.2825883,
0.3221289,
0.3569395,
0.4252727,
0.5560875,
0.8121188,
1.3237789
],
[
0.287491,
0.3269932,
0.3618569,
0.4301747,
0.5609139,
0.8165873,
1.327364
],
[
0.2952379,
0.3347297,
0.3695897,
0.437915,
0.5686496,
0.8243203,
1.3350375
],
[
0.3070489,
0.3465513,
0.3814653,
0.449753,
0.5805803,
0.8360072,
1.3499386
],
[
0.3242083,
0.3637078,
0.3985985,
0.4669015,
0.5976803,
0.8539063,
1.363182
],
[
0.3463783,
0.3858864,
0.4206985,
0.4890423,
0.619871,
0.8759057,
1.387403
],
[
0.3731615,
0.4126576,
0.4476642,
0.5158631,
0.6466299,
0.9028385,
1.4121513
],
[
0.4050588,
0.4445897,
0.4796473,
0.547861,
0.6785871,
0.9341291,
1.4442174
],
[
0.4439272,
0.4834171,
0.5183181,
0.5864876,
0.717287,
0.9727785,
1.4819236
],
[
0.4910995,
0.5305685,
0.5655003,
0.6337272,
0.7645158,
1.0198314,
1.5291101
],
[
0.5503457,
0.5897926,
0.6247703,
0.6932143,
0.8239618,
1.079594,
1.5896021
]
]
},
"fall_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.0166594,
0.0478726,
0.0783166,
0.1400171,
0.2644177,
0.5021265,
0.9817959
],
[
0.0166103,
0.0481437,
0.0782331,
0.140682,
0.2617952,
0.5020542,
0.9824238
],
[
0.0167421,
0.0481316,
0.0782379,
0.1406608,
0.262288,
0.5021474,
0.9820602
],
[
0.0166807,
0.0480611,
0.0782272,
0.1401137,
0.2628123,
0.5017223,
0.9825522
],
[
0.0165563,
0.0482543,
0.0781217,
0.1405564,
0.2639586,
0.5014447,
0.9820059
],
[
0.0167433,
0.0481687,
0.0782538,
0.1406689,
0.2623117,
0.5018564,
0.982501
],
[
0.0166044,
0.0482346,
0.0782458,
0.1402304,
0.2625422,
0.5012417,
0.9830579
],
[
0.016564,
0.0482178,
0.0782639,
0.1408201,
0.2646967,
0.5020421,
0.9821989
],
[
0.0165687,
0.0482281,
0.0782185,
0.140144,
0.263655,
0.5020284,
0.9825456
],
[
0.0165053,
0.0481042,
0.0782106,
0.1407535,
0.2648262,
0.5019216,
0.9845615
],
[
0.0166491,
0.0484644,
0.078544,
0.1399103,
0.2639094,
0.5013356,
0.9805846
],
[
0.0165563,
0.048202,
0.0782997,
0.1400204,
0.2640337,
0.5021765,
0.9825831
],
[
0.0166609,
0.0482598,
0.0782903,
0.1400414,
0.264586,
0.5016961,
0.9816056
]
]
},
"related_pin": "D",
"rise_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.0184104,
0.0644529,
0.112589,
0.2068253,
0.3904022,
0.757587,
1.4975566
],
[
0.0184105,
0.0643705,
0.1126328,
0.2070053,
0.3904311,
0.7572099,
1.4990705
],
[
0.0184094,
0.0643592,
0.1126381,
0.2070037,
0.3904179,
0.7571851,
1.4990343
],
[
0.0184105,
0.0643824,
0.1127836,
0.2069244,
0.3900684,
0.7563601,
1.4988126
],
[
0.018408,
0.064372,
0.1127814,
0.20694,
0.3901316,
0.7566542,
1.4997117
],
[
0.0184112,
0.0643976,
0.1125334,
0.2070867,
0.3900826,
0.7573215,
1.4980323
],
[
0.018411,
0.0643935,
0.1126407,
0.2068867,
0.3904656,
0.7576171,
1.4977525
],
[
0.0184116,
0.0644368,
0.1127402,
0.206966,
0.3904537,
0.7571601,
1.499191
],
[
0.018396,
0.0644383,
0.1128314,
0.2069432,
0.390582,
0.7576269,
1.4977178
],
[
0.0183958,
0.0644483,
0.1127571,
0.2070216,
0.3898079,
0.7563487,
1.4992807
],
[
0.0184012,
0.0643345,
0.1128021,
0.2068005,
0.3897687,
0.7572976,
1.4977056
],
[
0.0183944,
0.0644409,
0.1128201,
0.206946,
0.3896013,
0.7575444,
1.4987476
],
[
0.0184833,
0.0645481,
0.1126058,
0.2067423,
0.3901338,
0.7574265,
1.498593
]
]
},
"timing_sense": "negative_unate"
},
{
"cell_fall,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.2893953,
0.3239187,
0.3503388,
0.3995641,
0.4953142,
0.6807473,
1.0438396
],
[
0.2920453,
0.3266337,
0.3530113,
0.4022723,
0.4979036,
0.6833882,
1.0464036
],
[
0.2950094,
0.3296333,
0.3559719,
0.4052512,
0.5009268,
0.6863555,
1.0495651
],
[
0.2995461,
0.3341534,
0.3605092,
0.4097763,
0.5054073,
0.6909305,
1.0541094
],
[
0.3061871,
0.3407974,
0.3671587,
0.4164152,
0.5120539,
0.6975781,
1.0604553
],
[
0.3154544,
0.3500822,
0.3764602,
0.4257925,
0.5213706,
0.7068209,
1.0698891
],
[
0.3276066,
0.3622076,
0.3885538,
0.4378636,
0.5334698,
0.7189434,
1.0819228
],
[
0.3417114,
0.3763374,
0.402675,
0.4519738,
0.547633,
0.7330378,
1.0961693
],
[
0.3575475,
0.3921708,
0.4185144,
0.4677917,
0.5634676,
0.7489166,
1.1120846
],
[
0.3752954,
0.4098512,
0.4362558,
0.4855001,
0.5811879,
0.7666473,
1.1296757
],
[
0.3942397,
0.4287458,
0.4551285,
0.5044565,
0.6000305,
0.7855721,
1.1486584
],
[
0.4134428,
0.4479526,
0.4743738,
0.5235966,
0.6193046,
0.804724,
1.1679273
],
[
0.4301061,
0.464596,
0.4909986,
0.5403281,
0.6359354,
0.8214881,
1.1847151
]
]
},
"cell_rise,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.2984327,
0.3380118,
0.3727595,
0.4410253,
0.5717856,
0.8268385,
1.3401971
],
[
0.3009051,
0.3405136,
0.3752684,
0.443652,
0.5744671,
0.8301805,
1.3427449
],
[
0.3040387,
0.3435642,
0.3782638,
0.446665,
0.5775943,
0.8329722,
1.3425789
],
[
0.3085874,
0.3481667,
0.3830617,
0.451315,
0.5821806,
0.8373333,
1.3510326
],
[
0.315512,
0.3550922,
0.3899921,
0.4582189,
0.5890672,
0.8444592,
1.3533997
],
[
0.3253494,
0.3649282,
0.3996778,
0.4680784,
0.5988781,
0.8540985,
1.368004
],
[
0.3377616,
0.3773511,
0.4120977,
0.4805003,
0.6113521,
0.8666786,
1.3771427
],
[
0.3526733,
0.3921911,
0.4270048,
0.4952686,
0.626044,
0.8821381,
1.3941486
],
[
0.3690035,
0.4085027,
0.4432685,
0.5116458,
0.6424511,
0.8975043,
1.4091105
],
[
0.3869821,
0.4265013,
0.4613495,
0.5297708,
0.660603,
0.9160744,
1.4302699
],
[
0.4060071,
0.4456844,
0.4804753,
0.5488376,
0.6796017,
0.9350931,
1.4468755
],
[
0.4241319,
0.4637104,
0.4986154,
0.5668683,
0.6976213,
0.9525644,
1.4637701
],
[
0.4385511,
0.4781299,
0.5129296,
0.5812585,
0.7120809,
0.9676026,
1.4759384
]
]
},
"fall_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.0166933,
0.0484516,
0.0785067,
0.1403811,
0.2623128,
0.5020437,
0.9806561
],
[
0.0165319,
0.0481223,
0.0784806,
0.1400446,
0.2622111,
0.501171,
0.9820625
],
[
0.0167134,
0.0483064,
0.0782486,
0.1399297,
0.2645333,
0.5020044,
0.9819817
],
[
0.016723,
0.0481612,
0.0783784,
0.1400491,
0.264518,
0.5023932,
0.9814566
],
[
0.0166224,
0.0481063,
0.0783866,
0.140111,
0.2645542,
0.5017527,
0.9823343
],
[
0.0166068,
0.0481291,
0.0780413,
0.140533,
0.2618827,
0.5020589,
0.9814739
],
[
0.0165716,
0.0482151,
0.078148,
0.1403521,
0.2622837,
0.5011946,
0.9798086
],
[
0.0167146,
0.0479454,
0.0782444,
0.1405039,
0.2623405,
0.502055,
0.9808016
],
[
0.0167354,
0.0483114,
0.0782538,
0.1399599,
0.2623678,
0.5019118,
0.9809992
],
[
0.016696,
0.0481729,
0.0785249,
0.1403559,
0.2622197,
0.5016452,
0.9817892
],
[
0.0167617,
0.0481529,
0.078172,
0.139621,
0.2634946,
0.502012,
0.9814998
],
[
0.0166444,
0.0482493,
0.0781162,
0.140384,
0.2644583,
0.5019295,
0.9822945
],
[
0.0167445,
0.0483776,
0.0781692,
0.1407309,
0.2618286,
0.5026282,
0.9806169
]
]
},
"related_pin": "GATE",
"rise_transition,delay_template13x7": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00656,
0.01311,
0.02623,
0.05246,
0.10491,
0.20983
],
"values": [
[
0.0185059,
0.0646995,
0.1125263,
0.2068907,
0.3892836,
0.7567573,
1.4991094
],
[
0.0185131,
0.0645267,
0.1128546,
0.2070147,
0.3906266,
0.7568672,
1.4996263
],
[
0.0184928,
0.0645559,
0.1127074,
0.206794,
0.3898773,
0.7578506,
1.499407
],
[
0.0185071,
0.0647014,
0.1127877,
0.2070687,
0.3898806,
0.7573369,
1.4976106
],
[
0.0184596,
0.0644305,
0.1128844,
0.2070625,
0.3901262,
0.7567706,
1.498306
],
[
0.0184964,
0.0645426,
0.1128595,
0.2070216,
0.390179,
0.7569028,
1.4989859
],
[
0.0185284,
0.0644331,
0.1127772,
0.20703,
0.3906603,
0.7573309,
1.4992863
],
[
0.0185253,
0.0644249,
0.1127919,
0.2068888,
0.3905554,
0.7575517,
1.4976279
],
[
0.0185271,
0.0644777,
0.1123978,
0.2070134,
0.3900202,
0.7577218,
1.4995076
],
[
0.0185184,
0.0643745,
0.1127924,
0.2071121,
0.3892853,
0.7562692,
1.499616
],
[
0.0184919,
0.0644082,
0.1125688,
0.2067163,
0.389923,
0.7576711,
1.499511
],
[
0.0184922,
0.0644745,
0.1128556,
0.2069018,
0.3902717,
0.7562671,
1.4995586
],
[
0.0184941,
0.0645426,
0.1127925,
0.2069788,
0.3902051,
0.7576737,
1.4983058
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
}
}