blob: 1c6287cb38c0e06b606e9575253317bfc8314368 [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO sky130_fd_sc_ls__nor2_2
CLASS CORE ;
FOREIGN sky130_fd_sc_ls__nor2_2 ;
ORIGIN 0.000000 0.000000 ;
SIZE 2.400000 BY 3.330000 ;
SYMMETRY X Y ;
SITE unit ;
PIN A
ANTENNAGATEAREA 0.447000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.805000 0.255000 2.135000 0.440000 ;
RECT 1.805000 0.440000 2.275000 1.410000 ;
RECT 1.805000 1.410000 2.135000 1.605000 ;
END
END A
PIN B
ANTENNAGATEAREA 0.447000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.115000 1.180000 0.445000 1.550000 ;
END
END B
PIN Y
ANTENNADIFFAREA 0.543200 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.605000 1.820000 0.945000 2.735000 ;
RECT 0.615000 0.350000 0.945000 1.820000 ;
END
END Y
PIN VGND
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE GROUND ;
PORT
LAYER met1 ;
RECT 0.000000 -0.245000 2.400000 0.245000 ;
END
END VGND
PIN VNB
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER pwell ;
RECT 0.000000 0.000000 2.400000 0.245000 ;
END
END VNB
PIN VPB
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER nwell ;
RECT -0.190000 1.660000 2.590000 3.520000 ;
END
END VPB
PIN VPWR
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE POWER ;
PORT
LAYER met1 ;
RECT 0.000000 3.085000 2.400000 3.575000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.000000 -0.085000 2.400000 0.085000 ;
RECT 0.000000 3.245000 2.400000 3.415000 ;
RECT 0.115000 0.085000 0.445000 1.010000 ;
RECT 0.155000 1.820000 0.405000 2.905000 ;
RECT 0.155000 2.905000 1.305000 3.075000 ;
RECT 1.115000 0.085000 1.445000 1.130000 ;
RECT 1.135000 1.775000 2.285000 1.945000 ;
RECT 1.135000 1.945000 1.305000 2.905000 ;
RECT 1.505000 2.115000 1.755000 3.245000 ;
RECT 1.955000 1.945000 2.285000 2.980000 ;
LAYER mcon ;
RECT 0.155000 -0.085000 0.325000 0.085000 ;
RECT 0.155000 3.245000 0.325000 3.415000 ;
RECT 0.635000 -0.085000 0.805000 0.085000 ;
RECT 0.635000 3.245000 0.805000 3.415000 ;
RECT 1.115000 -0.085000 1.285000 0.085000 ;
RECT 1.115000 3.245000 1.285000 3.415000 ;
RECT 1.595000 -0.085000 1.765000 0.085000 ;
RECT 1.595000 3.245000 1.765000 3.415000 ;
RECT 2.075000 -0.085000 2.245000 0.085000 ;
RECT 2.075000 3.245000 2.245000 3.415000 ;
END
END sky130_fd_sc_ls__nor2_2
END LIBRARY