blob: cb6799be4c8df12da4df4c0da7194079502d469a [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__A2BB2OI_V
`define SKY130_FD_SC_LS__A2BB2OI_V
/**
* a2bb2oi: 2-input AND, both inputs inverted, into first input, and
* 2-input AND into 2nd input of 2-input NOR.
*
* Y = !((!A1 & !A2) | (B1 & B2))
*
* Verilog top module.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`ifdef USE_POWER_PINS
`ifdef FUNCTIONAL
`include "sky130_fd_sc_ls__a2bb2oi.functional.pp.v"
`else // FUNCTIONAL
`include "sky130_fd_sc_ls__a2bb2oi.behavioral.pp.v"
`endif // FUNCTIONAL
`else // USE_POWER_PINS
`ifdef FUNCTIONAL
`include "sky130_fd_sc_ls__a2bb2oi.functional.v"
`else // FUNCTIONAL
`include "sky130_fd_sc_ls__a2bb2oi.behavioral.v"
`endif // FUNCTIONAL
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LS__A2BB2OI_V