blob: 89efb0f2c8ef59e03f82eb7263410b3097ffa8f4 [file] [log] [blame]
{
"area": 30.3696,
"cell_footprint": "einvn",
"cell_leakage_power": 0.0,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin A": {
"capacitance": 0.018785,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.017779,
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
0.0157127,
0.0156338,
0.0155423,
0.0156172,
0.015734,
0.0158985,
0.0161499,
0.0164106,
0.0168061,
0.0168869,
0.0170128,
0.017206,
0.0175003
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
-0.0139934,
-0.0140333,
-0.0140789,
-0.0140311,
-0.013955,
-0.0138994,
-0.0138117,
-0.0138078,
-0.0137976,
-0.0137699,
-0.0137239,
-0.0136504,
-0.0135357
]
}
},
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.01979
},
"pin TE_B": {
"capacitance": 0.012989,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.011836,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.014141
},
"pin Z": {
"capacitance": 0.009803,
"direction": "output",
"function": "(!A)",
"internal_power": [
{
"fall_power pwr_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00991,
0.01189,
0.01427,
0.01713,
0.02055,
0.02466,
0.02959,
0.03551,
0.04261,
0.05113,
0.06136,
0.07363,
0.08836,
0.10603,
0.12723,
0.15268,
0.18321,
0.21985
],
"values": [
[
0.0049224,
-0.0029842,
-0.0047356,
-0.0069049,
-0.009508,
-0.0127037,
-0.0165566,
-0.0212579,
-0.0269207,
-0.0337601,
-0.0419867,
-0.0519198,
-0.0638464,
-0.0782356,
-0.095479,
-0.1162112,
-0.1411165,
-0.1710042,
-0.2068871
],
[
0.0041693,
-0.0036159,
-0.005337,
-0.0074203,
-0.0099649,
-0.0130974,
-0.0168909,
-0.0214751,
-0.0271008,
-0.0338648,
-0.0420158,
-0.0519156,
-0.0638251,
-0.0781539,
-0.0953501,
-0.1160608,
-0.1409419,
-0.1708244,
-0.2066908
],
[
0.0035572,
-0.0041939,
-0.0058719,
-0.0079289,
-0.0104158,
-0.0134841,
-0.0172297,
-0.0218297,
-0.027376,
-0.034104,
-0.0422142,
-0.0520339,
-0.0638884,
-0.0781721,
-0.095377,
-0.116043,
-0.1408922,
-0.1707368,
-0.2065849
],
[
0.0030224,
-0.004927,
-0.0066013,
-0.0086328,
-0.0111402,
-0.0141532,
-0.0178809,
-0.0224048,
-0.0278942,
-0.0345517,
-0.042644,
-0.0523958,
-0.0642048,
-0.0784636,
-0.0955967,
-0.1162219,
-0.1410451,
-0.1708726,
-0.206676
],
[
0.0024289,
-0.0057039,
-0.0073778,
-0.0094628,
-0.0119643,
-0.0150953,
-0.0187894,
-0.0232805,
-0.028712,
-0.0352151,
-0.0432596,
-0.0529479,
-0.0646825,
-0.0788729,
-0.0959825,
-0.1165258,
-0.1413381,
-0.1710882,
-0.2068768
],
[
0.0019172,
-0.0064873,
-0.008201,
-0.0103455,
-0.0128594,
-0.0158724,
-0.0195704,
-0.0240224,
-0.0295494,
-0.036151,
-0.0441191,
-0.05375,
-0.0654009,
-0.0795822,
-0.096495,
-0.1169865,
-0.1417581,
-0.1713837,
-0.2072056
],
[
0.0015377,
-0.0072207,
-0.0089862,
-0.011118,
-0.0137454,
-0.0168266,
-0.0205434,
-0.0250241,
-0.0304369,
-0.0369928,
-0.044932,
-0.054551,
-0.0661562,
-0.0802158,
-0.0972074,
-0.1175922,
-0.1422826,
-0.1719264,
-0.2077096
],
[
0.0009952,
-0.0079642,
-0.0097568,
-0.011901,
-0.0145056,
-0.0176141,
-0.0213974,
-0.0259579,
-0.0314687,
-0.0380678,
-0.0460218,
-0.0556246,
-0.0672051,
-0.0812353,
-0.0981339,
-0.1185394,
-0.1430935,
-0.1727225,
-0.2082857
],
[
0.0015846,
-0.0075954,
-0.009438,
-0.0116542,
-0.014345,
-0.0175527,
-0.0214867,
-0.0264365,
-0.0321659,
-0.038889,
-0.0469127,
-0.0565468,
-0.0681915,
-0.0822015,
-0.0990512,
-0.1194098,
-0.1439317,
-0.1734731,
-0.2089645
],
[
0.0016935,
-0.0077392,
-0.0096197,
-0.0118884,
-0.0145815,
-0.0178302,
-0.0215838,
-0.0264306,
-0.0320523,
-0.038806,
-0.0469369,
-0.057097,
-0.0690313,
-0.083123,
-0.1000361,
-0.1203862,
-0.1448522,
-0.1743535,
-0.2097845
],
[
0.0022543,
-0.0076672,
-0.0095666,
-0.0118834,
-0.0146042,
-0.0177028,
-0.0217213,
-0.0265035,
-0.0322094,
-0.0390329,
-0.0472364,
-0.0570741,
-0.0688555,
-0.0830614,
-0.1005317,
-0.1212724,
-0.1458738,
-0.1753393,
-0.2108265
],
[
0.0027715,
-0.0072904,
-0.0092151,
-0.011542,
-0.0141321,
-0.0175737,
-0.0216077,
-0.026463,
-0.0322445,
-0.0391739,
-0.0474487,
-0.0573728,
-0.0692845,
-0.0835315,
-0.1006484,
-0.1211911,
-0.1460215,
-0.1761392,
-0.2116917
],
[
0.0036658,
-0.0063271,
-0.0082933,
-0.0107548,
-0.0136258,
-0.0170439,
-0.0211215,
-0.0260069,
-0.0318367,
-0.0388338,
-0.0471914,
-0.0572123,
-0.0692097,
-0.083592,
-0.1008177,
-0.1214863,
-0.146287,
-0.1760157,
-0.211702
]
]
},
"related_pin": "A",
"rise_power pwr_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00991,
0.01189,
0.01427,
0.01713,
0.02055,
0.02466,
0.02959,
0.03551,
0.04261,
0.05113,
0.06136,
0.07363,
0.08836,
0.10603,
0.12723,
0.15268,
0.18321,
0.21985
],
"values": [
[
0.0189729,
0.0305559,
0.0327365,
0.0352565,
0.0382814,
0.0417798,
0.0459817,
0.0510609,
0.0569476,
0.0639899,
0.0725466,
0.082456,
0.0945067,
0.1090774,
0.1261492,
0.1467833,
0.1716183,
0.2012864,
0.2369128
],
[
0.0183487,
0.0297594,
0.0318376,
0.0344229,
0.0375419,
0.040982,
0.045337,
0.0503109,
0.0562843,
0.0634341,
0.0719944,
0.0820802,
0.0942045,
0.1086234,
0.1259466,
0.1466183,
0.1714158,
0.2011601,
0.2367228
],
[
0.0180252,
0.0290843,
0.0312031,
0.0338599,
0.0367891,
0.0403712,
0.0445768,
0.0496237,
0.0556508,
0.0628259,
0.0714133,
0.0817712,
0.0937215,
0.108197,
0.1258764,
0.1463982,
0.1713343,
0.2010856,
0.2367298
],
[
0.0176282,
0.0283654,
0.0305573,
0.0329638,
0.0360348,
0.0394336,
0.0437981,
0.0488453,
0.0548344,
0.0620251,
0.0706277,
0.0809222,
0.0930597,
0.107613,
0.1250565,
0.1457294,
0.1708446,
0.2007527,
0.2362897
],
[
0.0172333,
0.0276585,
0.0297791,
0.0322642,
0.0350571,
0.038597,
0.0431672,
0.0481966,
0.0541224,
0.0614813,
0.0698816,
0.0800997,
0.0925911,
0.107031,
0.1244405,
0.145151,
0.1702772,
0.1999654,
0.235808
],
[
0.016916,
0.0271307,
0.0291416,
0.0317628,
0.0346831,
0.0380476,
0.0422777,
0.0473572,
0.0533704,
0.0603722,
0.0689308,
0.0791026,
0.0913928,
0.1060578,
0.1230324,
0.1439529,
0.16931,
0.1993693,
0.2350506
],
[
0.016495,
0.0266279,
0.0285904,
0.0310665,
0.0339041,
0.0373921,
0.0415375,
0.0465832,
0.0525298,
0.0596142,
0.0680231,
0.0781506,
0.0905187,
0.1049212,
0.1225878,
0.1432125,
0.1682571,
0.1982089,
0.2343583
],
[
0.0165828,
0.0264595,
0.0283809,
0.0307505,
0.0337521,
0.037047,
0.0410913,
0.0459755,
0.0519138,
0.0589788,
0.0674841,
0.0774988,
0.0896161,
0.1041709,
0.1219496,
0.1424477,
0.1674252,
0.1976284,
0.2334769
],
[
0.0163719,
0.026068,
0.0280075,
0.0303638,
0.0332441,
0.0365865,
0.0405497,
0.045407,
0.0512562,
0.0582336,
0.0666873,
0.0767901,
0.0888333,
0.1035086,
0.12062,
0.1414889,
0.1664445,
0.1965053,
0.2324922
],
[
0.0166871,
0.0263206,
0.0282224,
0.0304643,
0.0331206,
0.0365013,
0.0405765,
0.0454655,
0.0512405,
0.058074,
0.0664093,
0.0763308,
0.0884294,
0.1029324,
0.1201768,
0.1409038,
0.1657882,
0.1959622,
0.2315074
],
[
0.0166698,
0.0265273,
0.0284519,
0.0306759,
0.0333631,
0.0365598,
0.0404861,
0.0452645,
0.0509552,
0.0579331,
0.0662991,
0.0762599,
0.0881146,
0.1024767,
0.11986,
0.1404736,
0.1653034,
0.1949239,
0.2307106
],
[
0.017037,
0.0265463,
0.0284305,
0.0307376,
0.033464,
0.0367868,
0.0407177,
0.0453983,
0.0511281,
0.0579572,
0.0662159,
0.0761071,
0.0881772,
0.102469,
0.1194888,
0.1401037,
0.1649481,
0.1946437,
0.2303056
],
[
0.0164539,
0.0256281,
0.0275065,
0.0297675,
0.0324983,
0.0357583,
0.039667,
0.044409,
0.0500705,
0.056905,
0.0649794,
0.0755351,
0.0883226,
0.1024841,
0.1196998,
0.1402924,
0.1650124,
0.1944467,
0.2304357
]
]
}
},
{
"fall_power pwr_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00991,
0.01189,
0.01427,
0.01713,
0.02055,
0.02466,
0.02959,
0.03551,
0.04261,
0.05113,
0.06136,
0.07363,
0.08836,
0.10603,
0.12723,
0.15268,
0.18321,
0.21985
],
"values": [
[
-0.017539,
-0.0168288,
-0.0167197,
-0.0165974,
-0.0164661,
-0.016324,
-0.0161728,
-0.0160161,
-0.0158568,
-0.0156962,
-0.0155422,
-0.0153993,
-0.0152648,
-0.0151324,
-0.0150086,
-0.0148989,
-0.0147968,
-0.0147054,
-0.0146271
],
[
-0.0183486,
-0.0176412,
-0.0175327,
-0.0174133,
-0.0172862,
-0.0171462,
-0.0169999,
-0.0168488,
-0.0166913,
-0.0165332,
-0.0163746,
-0.016225,
-0.0160808,
-0.0159486,
-0.0158267,
-0.0157155,
-0.0156185,
-0.0155318,
-0.0154536
],
[
-0.0185268,
-0.0178258,
-0.0177193,
-0.0175985,
-0.0174665,
-0.0173286,
-0.0171873,
-0.0170361,
-0.0168818,
-0.016727,
-0.0165735,
-0.0164246,
-0.0162821,
-0.016148,
-0.0160226,
-0.0159077,
-0.0158015,
-0.0157092,
-0.0156267
],
[
-0.0187497,
-0.0180562,
-0.0179489,
-0.0178297,
-0.0176996,
-0.0175607,
-0.0174124,
-0.0172585,
-0.0171006,
-0.0169416,
-0.0167838,
-0.0166303,
-0.016484,
-0.0163455,
-0.0162173,
-0.0160997,
-0.0159936,
-0.0158985,
-0.0158135
],
[
-0.0190155,
-0.0183474,
-0.0182349,
-0.0181173,
-0.017989,
-0.0178493,
-0.0177004,
-0.0175462,
-0.0173853,
-0.0172241,
-0.0170597,
-0.0168991,
-0.0167437,
-0.0165956,
-0.0164581,
-0.0163322,
-0.0162156,
-0.0161123,
-0.0160203
],
[
-0.0193079,
-0.018669,
-0.0185612,
-0.0184683,
-0.0183463,
-0.0182045,
-0.018051,
-0.0178851,
-0.0177152,
-0.0175446,
-0.0173737,
-0.0172042,
-0.0170388,
-0.0168806,
-0.0167316,
-0.0165937,
-0.0164677,
-0.0163544,
-0.016252
],
[
-0.019645,
-0.0190515,
-0.0189532,
-0.0188429,
-0.0187198,
-0.0185805,
-0.0184347,
-0.0182758,
-0.0181082,
-0.0179325,
-0.0177474,
-0.0175749,
-0.0173973,
-0.0172249,
-0.017058,
-0.0169041,
-0.0167591,
-0.0166288,
-0.0165102
],
[
-0.0202487,
-0.0197045,
-0.0196202,
-0.0195108,
-0.0193975,
-0.0192724,
-0.0191304,
-0.0189765,
-0.0188106,
-0.018633,
-0.0184426,
-0.0182622,
-0.0180777,
-0.0178914,
-0.0177092,
-0.0175389,
-0.0173753,
-0.0172264,
-0.0170885
],
[
-0.0205622,
-0.0200669,
-0.0199945,
-0.0199015,
-0.0197954,
-0.0196807,
-0.0195569,
-0.0194143,
-0.0192425,
-0.0190745,
-0.0189026,
-0.018719,
-0.0185289,
-0.0183318,
-0.0181323,
-0.017942,
-0.0177574,
-0.0176008,
-0.0174216
],
[
-0.0207762,
-0.0203364,
-0.0202585,
-0.0201755,
-0.0200818,
-0.0199775,
-0.0198659,
-0.0197389,
-0.019598,
-0.019449,
-0.0192862,
-0.0191067,
-0.0189261,
-0.0187401,
-0.0185423,
-0.0183504,
-0.0181563,
-0.0179675,
-0.0177825
],
[
-0.0209459,
-0.0205541,
-0.020489,
-0.0204102,
-0.0203282,
-0.0202409,
-0.0201401,
-0.0200351,
-0.0199098,
-0.0197793,
-0.0196354,
-0.0194687,
-0.0193199,
-0.0191472,
-0.018966,
-0.0187778,
-0.0185869,
-0.0183948,
-0.0182038
],
[
-0.0210983,
-0.0207696,
-0.0207121,
-0.0206467,
-0.0205723,
-0.0204921,
-0.0204022,
-0.0203024,
-0.0201934,
-0.0200782,
-0.0199516,
-0.0198148,
-0.0196677,
-0.0195068,
-0.0193462,
-0.0191776,
-0.0189993,
-0.0188074,
-0.0186184
],
[
-0.0211931,
-0.020821,
-0.0207828,
-0.0207186,
-0.0206504,
-0.0205737,
-0.0204977,
-0.0204235,
-0.0203248,
-0.020209,
-0.0201162,
-0.0200024,
-0.0198569,
-0.0197227,
-0.0195478,
-0.0194319,
-0.0192476,
-0.0190885,
-0.0189129
]
]
},
"related_pin": "TE_B",
"rise_power pwr_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00991,
0.01189,
0.01427,
0.01713,
0.02055,
0.02466,
0.02959,
0.03551,
0.04261,
0.05113,
0.06136,
0.07363,
0.08836,
0.10603,
0.12723,
0.15268,
0.18321,
0.21985
],
"values": [
[
0.0642621,
0.0745632,
0.0764694,
0.0788158,
0.0815772,
0.0849491,
0.0889081,
0.0937354,
0.0994775,
0.1063615,
0.1146291,
0.1245556,
0.1365278,
0.1508597,
0.1680792,
0.1886535,
0.2133014,
0.2428809,
0.2783703
],
[
0.0641814,
0.0744433,
0.0764326,
0.0787092,
0.0815243,
0.0848363,
0.0888695,
0.0936204,
0.0993586,
0.1062505,
0.1145851,
0.1245041,
0.1363813,
0.1506548,
0.1677824,
0.1885797,
0.21335,
0.2427793,
0.2782597
],
[
0.064119,
0.074378,
0.0763363,
0.0786365,
0.0814611,
0.0847613,
0.0888151,
0.0935728,
0.0993098,
0.1061782,
0.1144629,
0.1244071,
0.136303,
0.1506389,
0.1678037,
0.188392,
0.213014,
0.2427533,
0.278253
],
[
0.0639927,
0.0743184,
0.0762248,
0.0785749,
0.0813444,
0.0847275,
0.0886897,
0.0934555,
0.0992387,
0.1061238,
0.1143879,
0.1243264,
0.1362865,
0.1506466,
0.1678301,
0.1884271,
0.2130767,
0.2425232,
0.2780152
],
[
0.0639743,
0.0741784,
0.0761634,
0.0784509,
0.0812889,
0.0845895,
0.0886417,
0.0933864,
0.0991297,
0.1060159,
0.1143088,
0.1242121,
0.1361284,
0.1503962,
0.1676681,
0.1883701,
0.2128487,
0.2425047,
0.2779413
],
[
0.0638931,
0.0741525,
0.0760473,
0.0784208,
0.0811959,
0.084514,
0.0885563,
0.0932897,
0.099029,
0.1059183,
0.1142505,
0.1241656,
0.1360453,
0.1503189,
0.1675515,
0.1882239,
0.2129886,
0.2424047,
0.2779685
],
[
0.0636997,
0.0740705,
0.0760264,
0.078372,
0.0811709,
0.084486,
0.0885331,
0.0932448,
0.0989885,
0.1058762,
0.114188,
0.1241018,
0.1360429,
0.1502576,
0.1674841,
0.1879563,
0.2129617,
0.2423499,
0.277899
],
[
0.0637045,
0.0740239,
0.0760078,
0.0783225,
0.0811414,
0.0844485,
0.0884834,
0.0932607,
0.0989636,
0.1058646,
0.1141225,
0.1240694,
0.1359456,
0.1502221,
0.1674294,
0.1880047,
0.2126869,
0.2425445,
0.2777883
],
[
0.0637585,
0.0740793,
0.0760383,
0.078444,
0.0811499,
0.084467,
0.0884455,
0.0931967,
0.0988533,
0.1057402,
0.114077,
0.1239879,
0.1358881,
0.1502587,
0.1674355,
0.1880283,
0.2125713,
0.2423112,
0.2778085
],
[
0.0626829,
0.073964,
0.075885,
0.0782688,
0.0810872,
0.0844801,
0.0885267,
0.0933183,
0.0989623,
0.1058608,
0.1140699,
0.1239923,
0.1358544,
0.1502694,
0.1674618,
0.1880493,
0.2127364,
0.2425486,
0.2778801
],
[
0.0626996,
0.0722374,
0.074116,
0.0768097,
0.0800584,
0.0839918,
0.088349,
0.0932128,
0.0989962,
0.1059136,
0.1142551,
0.1241686,
0.1359689,
0.1501364,
0.1673289,
0.1879249,
0.2125657,
0.2421888,
0.277792
],
[
0.0629527,
0.0725586,
0.0745201,
0.0768324,
0.0795843,
0.0829758,
0.0869187,
0.0916124,
0.09762,
0.1052871,
0.1141492,
0.1241339,
0.1360521,
0.1504226,
0.1675802,
0.1879334,
0.2126462,
0.2422099,
0.2779394
],
[
0.0631842,
0.0728574,
0.0747363,
0.0771098,
0.0798393,
0.0831793,
0.0872111,
0.0920645,
0.0978004,
0.1046799,
0.1129533,
0.1225685,
0.1352628,
0.1504096,
0.1676055,
0.1882102,
0.2130208,
0.2426037,
0.2779825
]
]
},
"when": "!A"
},
{
"fall_power pwr_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00991,
0.01189,
0.01427,
0.01713,
0.02055,
0.02466,
0.02959,
0.03551,
0.04261,
0.05113,
0.06136,
0.07363,
0.08836,
0.10603,
0.12723,
0.15268,
0.18321,
0.21985
],
"values": [
[
0.0503061,
0.0415522,
0.0397424,
0.0375342,
0.034872,
0.031686,
0.0278046,
0.0230974,
0.0173885,
0.0105242,
0.0022402,
-0.0077327,
-0.01973,
-0.0341426,
-0.0514365,
-0.0721943,
-0.0971518,
-0.1270266,
-0.1629795
],
[
0.05022,
0.0414607,
0.0396614,
0.037481,
0.0348241,
0.0316195,
0.0277158,
0.023008,
0.017319,
0.0104439,
0.0021557,
-0.0078179,
-0.0198064,
-0.0342245,
-0.0515261,
-0.0722757,
-0.0972242,
-0.1271176,
-0.1630413
],
[
0.0501355,
0.0413824,
0.0395855,
0.0374073,
0.0347465,
0.0315402,
0.0276349,
0.0229325,
0.0172402,
0.0103652,
0.0020779,
-0.0078965,
-0.0198835,
-0.0343032,
-0.051605,
-0.0723528,
-0.0973044,
-0.1271932,
-0.1631207
],
[
0.0500548,
0.0413118,
0.0394941,
0.0372889,
0.0346414,
0.0314488,
0.0275635,
0.0228463,
0.0171476,
0.0102845,
0.0019919,
-0.0079788,
-0.0199772,
-0.034385,
-0.051678,
-0.0724426,
-0.097376,
-0.1272901,
-0.1631975
],
[
0.049965,
0.0412073,
0.0394129,
0.0372362,
0.0345729,
0.0313663,
0.0274599,
0.0227597,
0.0170675,
0.0101917,
0.0019044,
-0.0080697,
-0.0200563,
-0.0344757,
-0.0517788,
-0.0725241,
-0.097479,
-0.1273637,
-0.1632964
],
[
0.0498825,
0.041141,
0.0393351,
0.0371575,
0.0344718,
0.0312736,
0.0273876,
0.0226724,
0.0169724,
0.0101111,
0.001819,
-0.0081519,
-0.0201375,
-0.0345617,
-0.0518661,
-0.0726053,
-0.0975674,
-0.1274385,
-0.1633856
],
[
0.0498382,
0.0410742,
0.0392655,
0.0370606,
0.0344016,
0.0312068,
0.0273262,
0.0226227,
0.0169175,
0.0100456,
0.0017635,
-0.0082143,
-0.020207,
-0.0346168,
-0.0519165,
-0.0726718,
-0.0976277,
-0.1275012,
-0.1634466
],
[
0.049734,
0.040986,
0.0391842,
0.0370128,
0.0343608,
0.0311599,
0.0272643,
0.022543,
0.0168687,
0.0099975,
0.0017139,
-0.0082625,
-0.0202518,
-0.0346716,
-0.0519672,
-0.072726,
-0.0976607,
-0.1275662,
-0.1634694
],
[
0.0491817,
0.0408005,
0.0390502,
0.0368971,
0.0342583,
0.0310509,
0.0271646,
0.0224851,
0.0168068,
0.0099463,
0.0016686,
-0.0083112,
-0.02028,
-0.0347024,
-0.0520053,
-0.0727684,
-0.0976919,
-0.1276064,
-0.1635039
],
[
0.0485285,
0.0386992,
0.0367552,
0.0345433,
0.0321931,
0.0294548,
0.0260007,
0.0217531,
0.0165162,
0.0098645,
0.0016339,
-0.0082922,
-0.0202802,
-0.0346944,
-0.0520196,
-0.0727492,
-0.0976858,
-0.1276059,
-0.163507
],
[
0.0499961,
0.0402754,
0.0383378,
0.0360045,
0.0331981,
0.0298424,
0.025812,
0.0209915,
0.0151904,
0.0081906,
-0.0001943,
-0.0102242,
-0.0214628,
-0.0350033,
-0.0519911,
-0.0727617,
-0.0976625,
-0.1275758,
-0.1635028
],
[
0.0502447,
0.0405583,
0.0385986,
0.036283,
0.0334902,
0.0301352,
0.0261075,
0.0212786,
0.0154765,
0.008515,
0.0001956,
-0.0098318,
-0.0218697,
-0.0363079,
-0.0536292,
-0.0744645,
-0.0989916,
-0.1277103,
-0.1634289
],
[
0.0504553,
0.0407434,
0.0387863,
0.0364708,
0.0336496,
0.0303115,
0.0262821,
0.0214523,
0.0156479,
0.0087072,
0.0003567,
-0.0096665,
-0.0216598,
-0.0361197,
-0.053432,
-0.0742189,
-0.0991584,
-0.1290912,
-0.1650005
]
]
},
"related_pin": "TE_B",
"rise_power pwr_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00991,
0.01189,
0.01427,
0.01713,
0.02055,
0.02466,
0.02959,
0.03551,
0.04261,
0.05113,
0.06136,
0.07363,
0.08836,
0.10603,
0.12723,
0.15268,
0.18321,
0.21985
],
"values": [
[
-0.0188818,
-0.0189655,
-0.0189781,
-0.0189898,
-0.0190038,
-0.0190179,
-0.0190336,
-0.0190524,
-0.01907,
-0.0190836,
-0.0191011,
-0.0191181,
-0.0191319,
-0.0191476,
-0.0191625,
-0.019175,
-0.0191939,
-0.0191986,
-0.0192071
],
[
-0.0197369,
-0.019818,
-0.0198288,
-0.0198419,
-0.0198744,
-0.0198897,
-0.0198872,
-0.019903,
-0.0199393,
-0.0199396,
-0.0199743,
-0.0199909,
-0.0200068,
-0.0200214,
-0.0200351,
-0.0200482,
-0.0200599,
-0.0200699,
-0.020079
],
[
-0.0199193,
-0.0199993,
-0.0200117,
-0.0200252,
-0.0200397,
-0.0200552,
-0.0200723,
-0.0200904,
-0.0201078,
-0.0201251,
-0.0201428,
-0.0201595,
-0.0201752,
-0.0201768,
-0.0201911,
-0.0202039,
-0.0202153,
-0.0202243,
-0.0202316
],
[
-0.0200824,
-0.0201651,
-0.0201777,
-0.0201916,
-0.0202068,
-0.0202222,
-0.0202387,
-0.0202549,
-0.0202727,
-0.0202901,
-0.0203074,
-0.0203245,
-0.0203414,
-0.0203567,
-0.0203719,
-0.0203847,
-0.0203957,
-0.0204061,
-0.0204153
],
[
-0.0202541,
-0.0203487,
-0.0203608,
-0.020374,
-0.0203883,
-0.0204052,
-0.0204215,
-0.0204383,
-0.0204548,
-0.0204716,
-0.0204876,
-0.0205012,
-0.0205182,
-0.0205341,
-0.0205489,
-0.0205611,
-0.0205703,
-0.0205805,
-0.02059
],
[
-0.0204234,
-0.0205051,
-0.0205175,
-0.0205315,
-0.0205457,
-0.0205609,
-0.0205769,
-0.0205911,
-0.0206072,
-0.0206262,
-0.0206387,
-0.0206543,
-0.0206698,
-0.0206857,
-0.020699,
-0.0207114,
-0.0207204,
-0.0207316,
-0.0207406
],
[
-0.0206031,
-0.0206779,
-0.020689,
-0.0207012,
-0.020714,
-0.0207279,
-0.0207438,
-0.0207589,
-0.0207742,
-0.0207893,
-0.0208043,
-0.0208198,
-0.0208342,
-0.0208476,
-0.0208596,
-0.0208716,
-0.0208806,
-0.020893,
-0.0209018
],
[
-0.0211143,
-0.0211916,
-0.021201,
-0.0212011,
-0.0212156,
-0.0212199,
-0.0212445,
-0.0212589,
-0.0212741,
-0.0212889,
-0.0213037,
-0.0213179,
-0.02133,
-0.021343,
-0.0213549,
-0.0213649,
-0.0213751,
-0.021385,
-0.021395
],
[
-0.0213078,
-0.0213483,
-0.0213589,
-0.0213849,
-0.0213974,
-0.0214101,
-0.0214237,
-0.0214373,
-0.0214512,
-0.0214653,
-0.0214792,
-0.0214844,
-0.0214969,
-0.0215093,
-0.0215206,
-0.0215311,
-0.0215407,
-0.0215491,
-0.0215556
],
[
-0.0214053,
-0.0214708,
-0.0214838,
-0.0214943,
-0.0215096,
-0.021521,
-0.0215292,
-0.0215472,
-0.0215615,
-0.0215889,
-0.0215882,
-0.0216055,
-0.0216145,
-0.0216229,
-0.0216361,
-0.0216457,
-0.0216548,
-0.0216625,
-0.0216697
],
[
-0.0215362,
-0.0215647,
-0.0216046,
-0.0216152,
-0.0216269,
-0.0216389,
-0.0216518,
-0.0216649,
-0.0216784,
-0.0216916,
-0.0217041,
-0.0217165,
-0.0217283,
-0.021739,
-0.0217492,
-0.0217575,
-0.0217662,
-0.0217742,
-0.0217811
],
[
-0.021626,
-0.0216903,
-0.0216988,
-0.0217083,
-0.0217184,
-0.0217294,
-0.0217407,
-0.0217526,
-0.0217648,
-0.0217767,
-0.0217885,
-0.0217999,
-0.0218108,
-0.021821,
-0.0218302,
-0.0218401,
-0.021848,
-0.0218551,
-0.0218616
],
[
-0.0216269,
-0.021683,
-0.0216914,
-0.0217008,
-0.0217111,
-0.0217195,
-0.0217303,
-0.0217413,
-0.0217555,
-0.0217669,
-0.0217784,
-0.0217892,
-0.0217995,
-0.0218092,
-0.0218182,
-0.0218264,
-0.0218343,
-0.0218413,
-0.021848
]
]
},
"when": "A"
}
],
"max_capacitance": 0.029307,
"max_transition": 1.995723,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"three_state": "(TE_B)",
"timing": [
{
"cell_fall delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.0473929,
0.0673678,
0.0710529,
0.0753732,
0.0805027,
0.086544,
0.0936077,
0.1023022,
0.1126194,
0.1248106,
0.1393146,
0.1568513,
0.1777237,
0.2029765,
0.2330233,
0.2695378,
0.3125104,
0.3649033,
0.4275302
],
[
0.05747,
0.0775188,
0.0812089,
0.0856429,
0.0907666,
0.0969808,
0.1042973,
0.1129177,
0.1233643,
0.1357285,
0.1501114,
0.1676794,
0.1888306,
0.2140598,
0.2443115,
0.2806715,
0.3240525,
0.3760839,
0.4389105
],
[
0.0696906,
0.0900045,
0.093753,
0.098385,
0.1036415,
0.1098578,
0.117198,
0.125806,
0.1360111,
0.1483561,
0.1632857,
0.1806755,
0.2016739,
0.2271155,
0.2575214,
0.2934573,
0.3371095,
0.3893551,
0.4516672
],
[
0.0847841,
0.1091717,
0.1128944,
0.1172971,
0.1225946,
0.1288486,
0.1362771,
0.1450493,
0.1554225,
0.1678133,
0.182656,
0.2004072,
0.2213346,
0.2460515,
0.2771014,
0.3129056,
0.3567275,
0.4084349,
0.4715561
],
[
0.1032473,
0.134361,
0.139406,
0.1449722,
0.150852,
0.1574869,
0.1653974,
0.1739045,
0.1842311,
0.1968391,
0.2115291,
0.229542,
0.2506081,
0.2757733,
0.3056936,
0.3424299,
0.3858263,
0.4380649,
0.5009565
],
[
0.1256942,
0.1651253,
0.1714621,
0.1780754,
0.1859575,
0.1946752,
0.2045831,
0.2156987,
0.2276417,
0.2409729,
0.2557277,
0.2733673,
0.2952117,
0.3193211,
0.3506777,
0.3863582,
0.4301738,
0.4824774,
0.5452633
],
[
0.1528883,
0.2031011,
0.2112619,
0.2204576,
0.2298953,
0.2411233,
0.253671,
0.2676544,
0.2831361,
0.3011203,
0.3186773,
0.3396227,
0.3615929,
0.3874024,
0.4178982,
0.4546514,
0.4981147,
0.5508496,
0.6128742
],
[
0.1845273,
0.2494335,
0.2593971,
0.2705826,
0.2835525,
0.2977831,
0.3138826,
0.331819,
0.3516594,
0.3736186,
0.3983619,
0.4247907,
0.4530418,
0.4842359,
0.5184864,
0.5563968,
0.5996628,
0.6519698,
0.7148019
],
[
0.2208027,
0.3045123,
0.3173855,
0.3322229,
0.3490804,
0.3677042,
0.3888473,
0.4121992,
0.4368182,
0.4646155,
0.4953191,
0.5288662,
0.5653433,
0.6044839,
0.6477655,
0.695155,
0.7475605,
0.8031721,
0.8666214
],
[
0.2591558,
0.3695075,
0.3869103,
0.4063135,
0.4275104,
0.4515827,
0.4782119,
0.5083542,
0.5407169,
0.5760052,
0.614794,
0.6581691,
0.7044514,
0.7551939,
0.8099931,
0.8698593,
0.9362873,
1.006738,
1.0838864
],
[
0.2975295,
0.4435521,
0.4658131,
0.4908489,
0.5187727,
0.5504038,
0.585386,
0.6246446,
0.6672888,
0.7128629,
0.7620328,
0.8166244,
0.8759744,
0.940463,
1.010792,
1.0879736,
1.1709378,
1.2610817,
1.3578029
],
[
0.3265493,
0.5203066,
0.5506182,
0.583061,
0.6220247,
0.662738,
0.7091485,
0.7589213,
0.8133941,
0.8733702,
0.9390958,
1.0107254,
1.0882205,
1.1719296,
1.2618548,
1.3596836,
1.4656831,
1.5789577,
1.7020284
],
[
0.3359016,
0.5926383,
0.6328553,
0.6762141,
0.7257773,
0.7820801,
0.8403809,
0.9075508,
0.9787625,
1.0580201,
1.1450855,
1.238424,
1.338331,
1.4460043,
1.5627302,
1.6883052,
1.8243341,
1.971455,
2.1303008
]
]
},
"cell_rise delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.0956296,
0.155478,
0.1667553,
0.1796452,
0.1955077,
0.2140036,
0.235242,
0.2615796,
0.2924548,
0.3283077,
0.3724944,
0.4246196,
0.486016,
0.5602445,
0.650796,
0.7561053,
0.8853249,
1.0393161,
1.2210137
],
[
0.1036415,
0.162058,
0.1732923,
0.1867338,
0.2020103,
0.2210095,
0.2432477,
0.2687324,
0.2999288,
0.3362897,
0.3801068,
0.4341894,
0.495437,
0.5696212,
0.6611151,
0.7666459,
0.8940412,
1.0506123,
1.231454
],
[
0.1156844,
0.1725213,
0.1836904,
0.1964916,
0.2124789,
0.2302462,
0.2524504,
0.2788753,
0.3095902,
0.3470019,
0.3908258,
0.4442875,
0.5074682,
0.581062,
0.6714517,
0.7801216,
0.9063031,
1.0594463,
1.247632
],
[
0.1356264,
0.1906157,
0.2011876,
0.2140796,
0.2292103,
0.2478025,
0.2698077,
0.2956602,
0.3270532,
0.3642312,
0.4078978,
0.4603718,
0.525368,
0.5993578,
0.6883945,
0.7972508,
0.9256902,
1.0797262,
1.2653308
],
[
0.1673742,
0.2196561,
0.2301679,
0.2427716,
0.2576059,
0.2760076,
0.2991397,
0.325116,
0.3561791,
0.3932506,
0.4378971,
0.490021,
0.5539327,
0.6298795,
0.7206694,
0.8263593,
0.9561298,
1.1090439,
1.2934643
],
[
0.2092919,
0.2659902,
0.2761818,
0.2899917,
0.3050062,
0.3227663,
0.3443788,
0.3701533,
0.4008863,
0.4378303,
0.482015,
0.5345749,
0.5967221,
0.6729887,
0.759869,
0.8694497,
0.9970423,
1.1506344,
1.3377601
],
[
0.2631689,
0.3368949,
0.3484986,
0.361721,
0.3764707,
0.3938622,
0.4148102,
0.4402111,
0.4707516,
0.5073746,
0.5512079,
0.6031824,
0.6663797,
0.7426211,
0.8309229,
0.9383948,
1.064855,
1.220515,
1.4046313
],
[
0.3323973,
0.4246622,
0.4396427,
0.4570495,
0.4766172,
0.4977593,
0.5217394,
0.5481676,
0.5778712,
0.6138847,
0.6572063,
0.709307,
0.7716779,
0.8467146,
0.9367097,
1.0445367,
1.1738922,
1.3281767,
1.5139133
],
[
0.418731,
0.5383275,
0.5573962,
0.5793779,
0.6038045,
0.6306768,
0.6610919,
0.6951478,
0.7317945,
0.7741556,
0.819631,
0.8709008,
0.9330057,
1.0067903,
1.0962492,
1.2035772,
1.3317059,
1.4867568,
1.6691936
],
[
0.5290636,
0.6843856,
0.7088264,
0.736941,
0.7681666,
0.8025308,
0.8411843,
0.8840416,
0.9325478,
0.9847479,
1.040915,
1.104108,
1.1738766,
1.2512006,
1.3393775,
1.4457651,
1.5741471,
1.7268588,
1.9121114
],
[
0.670569,
0.8718709,
0.9027942,
0.9376593,
0.9785109,
1.0225114,
1.0724165,
1.126871,
1.1879743,
1.2544088,
1.3278293,
1.4082707,
1.495864,
1.5921942,
1.6991136,
1.8118901,
1.9396651,
2.0924085,
2.2757469
],
[
0.8461098,
1.108878,
1.149419,
1.1952637,
1.2468597,
1.3044916,
1.3692448,
1.4388426,
1.5177621,
1.6016228,
1.6951577,
1.7981218,
1.9106401,
2.0323294,
2.1654503,
2.3095739,
2.4657969,
2.6376505,
2.8269138
],
[
1.0741715,
1.4108362,
1.4651927,
1.5236757,
1.5900793,
1.6643793,
1.7473407,
1.8396445,
1.938671,
2.0495403,
2.1691005,
2.3017088,
2.4445033,
2.5990674,
2.7685165,
2.9520975,
3.1500025,
3.3679666,
3.6021539
]
]
},
"fall_transition delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.0224586,
0.0451783,
0.0497004,
0.055116,
0.0617905,
0.0693929,
0.0790109,
0.090279,
0.1036574,
0.1199997,
0.1401683,
0.1634306,
0.192129,
0.2250217,
0.2669782,
0.3160801,
0.3740801,
0.4441063,
0.527417
],
[
0.0224321,
0.0451742,
0.0495256,
0.0551022,
0.0617035,
0.0693857,
0.0789687,
0.0903945,
0.1036171,
0.1201644,
0.1404658,
0.1640946,
0.1917834,
0.225901,
0.2670393,
0.3158236,
0.3734128,
0.4443011,
0.5273333
],
[
0.0255746,
0.045289,
0.0495775,
0.0549645,
0.0617174,
0.0695611,
0.0790402,
0.0902307,
0.1039013,
0.1199518,
0.1400244,
0.1637625,
0.1912757,
0.2262824,
0.2673114,
0.3156893,
0.3736418,
0.4431252,
0.5278632
],
[
0.0323159,
0.0496167,
0.0533425,
0.0580306,
0.0638024,
0.0709995,
0.0798555,
0.0904272,
0.1040368,
0.1203187,
0.1397066,
0.1638355,
0.1913772,
0.2254248,
0.266331,
0.3152324,
0.3732998,
0.443925,
0.528253
],
[
0.0405994,
0.0618391,
0.0650351,
0.0690997,
0.0734672,
0.0789232,
0.0866209,
0.0959323,
0.1077705,
0.1226226,
0.1409499,
0.1640331,
0.1918775,
0.2253743,
0.2667311,
0.3156775,
0.3737683,
0.4441079,
0.5269315
],
[
0.0534859,
0.0773534,
0.0816859,
0.0854978,
0.0908288,
0.0971474,
0.1038539,
0.1124012,
0.1221988,
0.1353122,
0.1512713,
0.1712128,
0.1962556,
0.2281256,
0.2672739,
0.314791,
0.373339,
0.4434449,
0.527225
],
[
0.0716015,
0.0997386,
0.1045228,
0.1101398,
0.1156716,
0.1236491,
0.130631,
0.1396755,
0.151309,
0.1632172,
0.1756082,
0.1927594,
0.2146805,
0.2431593,
0.2785397,
0.3224147,
0.3764507,
0.4448549,
0.5278074
],
[
0.0969694,
0.1309956,
0.1363939,
0.1425749,
0.1497312,
0.157997,
0.1669926,
0.1780684,
0.189889,
0.2034094,
0.2206808,
0.2383858,
0.2576424,
0.2810818,
0.3102669,
0.34772,
0.3966573,
0.4582333,
0.5360223
],
[
0.1332989,
0.1759041,
0.1827707,
0.1902641,
0.1989606,
0.2087092,
0.2194145,
0.2310734,
0.2450247,
0.2606239,
0.2783855,
0.2983219,
0.3199196,
0.3460226,
0.3745224,
0.4075957,
0.4502983,
0.5030162,
0.5714944
],
[
0.1838192,
0.2383088,
0.2472799,
0.2558486,
0.2663762,
0.2781639,
0.2910595,
0.3054004,
0.3219595,
0.3406283,
0.3614931,
0.3836423,
0.4100439,
0.4388357,
0.4724122,
0.508435,
0.5508581,
0.6023277,
0.6592228
],
[
0.253618,
0.3236484,
0.3336566,
0.345887,
0.3581919,
0.372646,
0.3892822,
0.4071509,
0.4270197,
0.4489406,
0.473141,
0.500152,
0.5306078,
0.5649213,
0.6038367,
0.6459471,
0.6955157,
0.7485104,
0.8097903
],
[
0.3496647,
0.4420223,
0.4549874,
0.4716094,
0.485828,
0.5030977,
0.5260945,
0.5485354,
0.5725033,
0.5996563,
0.6289803,
0.661244,
0.6973833,
0.7379746,
0.7833593,
0.8328983,
0.8903481,
0.953051,
1.0239783
],
[
0.4804396,
0.6007418,
0.6203655,
0.6412537,
0.6614457,
0.6849205,
0.7122268,
0.7400939,
0.7727269,
0.8069398,
0.8439983,
0.884765,
0.9290186,
0.9772216,
1.0337513,
1.0923553,
1.1590572,
1.2324551,
1.3158848
]
]
},
"related_pin": "A",
"rise_transition delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.0672326,
0.1342409,
0.1475788,
0.1634562,
0.1827047,
0.2049868,
0.2326602,
0.2657789,
0.3047123,
0.3516945,
0.4095006,
0.4757351,
0.5568205,
0.6549551,
0.7706076,
0.9115549,
1.0825099,
1.2817507,
1.5208094
],
[
0.0670868,
0.1348878,
0.1476123,
0.1632571,
0.1832902,
0.2050657,
0.2329773,
0.2658614,
0.3045312,
0.3516739,
0.4090399,
0.4762508,
0.556683,
0.6548871,
0.7716279,
0.9123752,
1.0787958,
1.2837212,
1.5202324
],
[
0.0661191,
0.1339643,
0.1469811,
0.163689,
0.1823948,
0.2062779,
0.2328955,
0.2652536,
0.3045188,
0.3514222,
0.4083344,
0.4774707,
0.5569791,
0.6539278,
0.7725645,
0.9109489,
1.0794202,
1.2798388,
1.5238063
],
[
0.0655078,
0.13334,
0.1472148,
0.1628127,
0.1824515,
0.2050096,
0.2327181,
0.2655667,
0.3046627,
0.3519364,
0.4078472,
0.476738,
0.5574559,
0.653659,
0.7712697,
0.9147229,
1.0778338,
1.2855215,
1.5231615
],
[
0.0722928,
0.1325379,
0.1457967,
0.1628609,
0.1818028,
0.2047228,
0.2326901,
0.2658752,
0.3048378,
0.3526673,
0.4082517,
0.4758586,
0.5587728,
0.6547902,
0.7716038,
0.9126588,
1.0791879,
1.2806095,
1.5224396
],
[
0.0911742,
0.1410459,
0.1525412,
0.1661266,
0.1835589,
0.2054341,
0.2316745,
0.2651531,
0.3051014,
0.3516166,
0.4086597,
0.4757413,
0.5565919,
0.6547877,
0.7712312,
0.9113585,
1.0779532,
1.2816331,
1.521653
],
[
0.1126056,
0.1630325,
0.1723753,
0.1846475,
0.1999795,
0.2187441,
0.2422871,
0.2714846,
0.3077145,
0.3535231,
0.4077912,
0.4760122,
0.5588302,
0.6555341,
0.7720296,
0.9133287,
1.0777644,
1.2833681,
1.5220235
],
[
0.1445797,
0.2056051,
0.2163811,
0.2265029,
0.2405205,
0.2539063,
0.2729048,
0.2985275,
0.331005,
0.3709879,
0.4208098,
0.4819602,
0.5595943,
0.6533091,
0.7722218,
0.9109777,
1.0818778,
1.2817356,
1.5239286
],
[
0.1908958,
0.2612462,
0.2728994,
0.2859804,
0.3009956,
0.3190296,
0.3388583,
0.3595184,
0.3856677,
0.4177776,
0.4608304,
0.5165505,
0.5855806,
0.6724968,
0.7787976,
0.9128627,
1.0792127,
1.2809491,
1.5296455
],
[
0.2548577,
0.3385185,
0.3532549,
0.3663589,
0.3864153,
0.4053212,
0.4299769,
0.4556098,
0.4839388,
0.5179295,
0.5557657,
0.5988982,
0.6570312,
0.731987,
0.8267194,
0.9485837,
1.099578,
1.2899769,
1.5234062
],
[
0.3400861,
0.445824,
0.4617949,
0.4794202,
0.5030811,
0.525458,
0.5522386,
0.583127,
0.6187552,
0.6547497,
0.6989507,
0.7475251,
0.8012717,
0.864389,
0.9452457,
1.0469904,
1.1797723,
1.3488558,
1.5641126
],
[
0.4549805,
0.5899907,
0.6108613,
0.6337131,
0.6598056,
0.6881453,
0.7239906,
0.7592408,
0.7994174,
0.8464167,
0.8993958,
0.9544709,
1.0171923,
1.0861462,
1.168745,
1.2574217,
1.3680215,
1.5114752,
1.6988573
],
[
0.6043501,
0.783541,
0.8107949,
0.8413448,
0.8748063,
0.9118378,
0.9527519,
0.9995218,
1.0485404,
1.1054424,
1.1647439,
1.2362602,
1.3111864,
1.3942317,
1.487898,
1.5926251,
1.7065309,
1.8392339,
1.9957225
]
]
},
"timing_sense": "negative_unate"
},
{
"cell_fall delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.2609352,
0.2864617,
0.2910702,
0.2964539,
0.3026588,
0.3099277,
0.3185616,
0.3285821,
0.3402107,
0.3540688,
0.3700787,
0.389119,
0.4114215,
0.4377345,
0.4689105,
0.5059826,
0.5498799,
0.6023383,
0.6651978
],
[
0.2721068,
0.2976639,
0.3022169,
0.3074969,
0.3137728,
0.3211894,
0.3296661,
0.339627,
0.3514869,
0.3651271,
0.3812176,
0.4002507,
0.4224121,
0.4489455,
0.4800505,
0.5170108,
0.5611456,
0.6136767,
0.6764495
],
[
0.285686,
0.3112915,
0.3158377,
0.3211176,
0.3274027,
0.3348109,
0.3432808,
0.353252,
0.3651105,
0.3787423,
0.3948746,
0.4138584,
0.4360907,
0.4625556,
0.4936961,
0.5306192,
0.5747601,
0.6273003,
0.6900835
],
[
0.3073264,
0.3327921,
0.3374244,
0.3427724,
0.3489755,
0.3562692,
0.3649446,
0.3748639,
0.386547,
0.4004211,
0.4163771,
0.4355328,
0.4576712,
0.4841861,
0.5151691,
0.5523035,
0.5963369,
0.6487549,
0.7114043
],
[
0.339737,
0.3653479,
0.3698866,
0.3751683,
0.3814599,
0.3888621,
0.3973318,
0.4073073,
0.4191639,
0.4327919,
0.4489333,
0.4679115,
0.4901496,
0.516608,
0.5477528,
0.5846735,
0.6288141,
0.6813554,
0.7441378
],
[
0.3890713,
0.4147197,
0.4192371,
0.4245469,
0.4308204,
0.4382108,
0.4468043,
0.456728,
0.4684313,
0.4822616,
0.4982323,
0.5173963,
0.5396449,
0.5659508,
0.5971899,
0.6340006,
0.6781555,
0.7307286,
0.7935463
],
[
0.4645185,
0.490005,
0.494623,
0.500007,
0.5062389,
0.5134917,
0.5220735,
0.5321849,
0.5438084,
0.5575879,
0.5737074,
0.5925532,
0.6150701,
0.6412027,
0.6725473,
0.70955,
0.7533964,
0.8060014,
0.8688625
],
[
0.5727817,
0.5991068,
0.6036963,
0.609196,
0.615459,
0.6228853,
0.6314705,
0.6414655,
0.6533565,
0.6670665,
0.683235,
0.702272,
0.7245423,
0.7510375,
0.782223,
0.8191487,
0.8633061,
0.9158677,
0.978668
],
[
0.7152287,
0.7440469,
0.7490294,
0.755198,
0.7619591,
0.7695901,
0.7786258,
0.7891139,
0.8012376,
0.8154885,
0.8320167,
0.851381,
0.8740889,
0.9007541,
0.9322314,
0.9692159,
1.0137399,
1.0664165,
1.1292801
],
[
0.89553,
0.928409,
0.9343935,
0.940568,
0.9479054,
0.956595,
0.9664163,
0.97742,
0.9905294,
1.0052473,
1.0222823,
1.0425603,
1.0658593,
1.0934835,
1.1254844,
1.1635272,
1.208331,
1.2612408,
1.3243782
],
[
1.1213528,
1.1609727,
1.167018,
1.1741861,
1.1826226,
1.1919734,
1.2026979,
1.215528,
1.2299365,
1.2454602,
1.2638601,
1.284826,
1.3094346,
1.33792,
1.3709501,
1.4099053,
1.4553226,
1.50935,
1.5730284
],
[
1.3999621,
1.4479165,
1.4564587,
1.4648429,
1.4746357,
1.4853676,
1.4979765,
1.5118007,
1.52768,
1.5453794,
1.5663395,
1.588801,
1.6148868,
1.6452664,
1.6798343,
1.7195166,
1.7664677,
1.8217654,
1.8863398
],
[
1.7402241,
1.7986563,
1.8079657,
1.8188413,
1.8315918,
1.844268,
1.8591243,
1.875722,
1.8940958,
1.915742,
1.9377935,
1.9635065,
1.9928379,
2.025299,
2.0620592,
2.1045539,
2.1535571,
2.2105899,
2.2769897
]
]
},
"cell_rise delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.144825,
0.1957136,
0.2057547,
0.2177879,
0.2322213,
0.2493878,
0.2699911,
0.2947129,
0.3244724,
0.3601559,
0.4028574,
0.4541109,
0.5155755,
0.5893346,
0.6777637,
0.7840489,
0.9116826,
1.0646933,
1.248032
],
[
0.1564702,
0.2074649,
0.2174911,
0.2295183,
0.2439474,
0.2611323,
0.2817554,
0.3065216,
0.3362534,
0.3717827,
0.4145282,
0.4657813,
0.5272074,
0.600989,
0.6896247,
0.7959222,
0.9235187,
1.0766416,
1.2605607
],
[
0.1714685,
0.2224161,
0.2324123,
0.2444459,
0.2589014,
0.2760328,
0.296656,
0.3214354,
0.3512711,
0.3867165,
0.4293765,
0.48062,
0.5421732,
0.616028,
0.704611,
0.8108191,
0.9383859,
1.0914029,
1.2756504
],
[
0.1947485,
0.2457325,
0.2557522,
0.2677409,
0.2821925,
0.2993921,
0.3200287,
0.3448037,
0.3743975,
0.4101256,
0.452844,
0.5040939,
0.5655473,
0.6392938,
0.727744,
0.8340798,
0.9617019,
1.1147572,
1.2980707
],
[
0.2290463,
0.2801778,
0.2901654,
0.3022057,
0.3166912,
0.3337777,
0.3544172,
0.3792,
0.4090548,
0.4444433,
0.487161,
0.53844,
0.5998594,
0.6737409,
0.7623666,
0.8686117,
0.9962478,
1.1492852,
1.3334833
],
[
0.2775508,
0.3296603,
0.3397402,
0.3518668,
0.3661929,
0.3834086,
0.4040533,
0.4288515,
0.4586106,
0.4940664,
0.5368111,
0.5880669,
0.6494892,
0.723286,
0.8119214,
0.9182073,
1.0458198,
1.1989123,
1.3828709
],
[
0.3427758,
0.399917,
0.4103452,
0.4226805,
0.4372796,
0.4545829,
0.4752747,
0.5000715,
0.5298655,
0.5653337,
0.6080718,
0.6593332,
0.720758,
0.7945094,
0.8831517,
0.9894523,
1.117057,
1.2701674,
1.4540262
],
[
0.4282755,
0.4940394,
0.5059766,
0.5199245,
0.5362448,
0.5551868,
0.5771379,
0.6025545,
0.6325264,
0.6682304,
0.7110361,
0.7623071,
0.8237502,
0.8976263,
0.986246,
1.0925085,
1.2200685,
1.3731325,
1.5571944
],
[
0.5421968,
0.61982,
0.633843,
0.6499929,
0.6688277,
0.6905476,
0.7157386,
0.7448876,
0.778435,
0.8172087,
0.8618479,
0.91365,
0.9752898,
1.0492534,
1.137897,
1.2441388,
1.3716455,
1.5246414,
1.7085595
],
[
0.6913785,
0.7879961,
0.8050154,
0.8245662,
0.847029,
0.8727563,
0.9025581,
0.936542,
0.975482,
1.0200674,
1.0714034,
1.1298678,
1.1970965,
1.2740186,
1.3631709,
1.4697,
1.5973438,
1.7507773,
1.9347326
],
[
0.882154,
1.0103202,
1.0319778,
1.0567279,
1.0848832,
1.1172167,
1.1533556,
1.1946634,
1.2418158,
1.29472,
1.3545909,
1.4231567,
1.500901,
1.5889812,
1.6891207,
1.8033522,
1.9340811,
2.0878863,
2.2720539
],
[
1.1244976,
1.2983334,
1.3274725,
1.3600751,
1.3977026,
1.4398022,
1.4862174,
1.5394065,
1.5980193,
1.6636729,
1.7375069,
1.819726,
1.9121162,
2.015855,
2.1326514,
2.2643629,
2.4134494,
2.5828955,
2.7755009
],
[
1.4227738,
1.6647848,
1.7045277,
1.749246,
1.7988575,
1.8562784,
1.9197586,
1.9889019,
2.0673327,
2.1528832,
2.2467568,
2.3501197,
2.4652806,
2.5915787,
2.7320013,
2.8880192,
3.0626668,
3.2586693,
3.4799454
]
]
},
"fall_transition delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.0400613,
0.0637262,
0.0682421,
0.0737909,
0.0804781,
0.0882522,
0.0974337,
0.1084951,
0.1216832,
0.1374055,
0.1563396,
0.1789777,
0.2061306,
0.2382025,
0.2773036,
0.3240745,
0.380323,
0.4489226,
0.5319721
],
[
0.0400862,
0.0636476,
0.0683468,
0.0738816,
0.0804918,
0.0880195,
0.0975557,
0.108598,
0.121504,
0.1375985,
0.1564461,
0.1790826,
0.2059331,
0.238215,
0.2772835,
0.3239856,
0.3806744,
0.4492194,
0.5318942
],
[
0.0400963,
0.0636527,
0.0683475,
0.0738731,
0.080473,
0.0880448,
0.0975355,
0.1085934,
0.1214552,
0.1375805,
0.1564289,
0.1790648,
0.205946,
0.238109,
0.2773306,
0.3238374,
0.3806163,
0.449124,
0.5318058
],
[
0.0401631,
0.0637405,
0.068211,
0.0738445,
0.0804705,
0.0882768,
0.0972405,
0.1086436,
0.1218216,
0.1372797,
0.1561501,
0.1788565,
0.2054562,
0.2384293,
0.2771959,
0.324295,
0.3808733,
0.4493657,
0.5315
],
[
0.0400748,
0.0636612,
0.0683521,
0.0738895,
0.0804599,
0.088046,
0.0975287,
0.1085916,
0.121426,
0.1375697,
0.1564298,
0.1790578,
0.2059413,
0.238101,
0.2773294,
0.323834,
0.3806165,
0.4491175,
0.5318055
],
[
0.0401647,
0.0637052,
0.0683404,
0.0739033,
0.0805125,
0.088107,
0.0974306,
0.108367,
0.1218844,
0.1374013,
0.1563016,
0.1788089,
0.2059597,
0.2379736,
0.2773312,
0.3242327,
0.3805505,
0.4487269,
0.5311662
],
[
0.0401573,
0.0637967,
0.0683034,
0.0738362,
0.0805285,
0.0882455,
0.0975483,
0.108396,
0.1217828,
0.1375515,
0.1563489,
0.1789915,
0.2062104,
0.2382809,
0.2772138,
0.3236198,
0.3808182,
0.4494086,
0.5320744
],
[
0.0418749,
0.0649776,
0.0696501,
0.0749265,
0.0814555,
0.0889375,
0.0983606,
0.1090565,
0.1221505,
0.1381211,
0.1569294,
0.1795314,
0.2063534,
0.238427,
0.2775999,
0.3241406,
0.3807198,
0.4491066,
0.5316785
],
[
0.0475846,
0.0705574,
0.0748078,
0.08034,
0.0867878,
0.0942121,
0.1032962,
0.1140248,
0.1261655,
0.1416347,
0.1601556,
0.1821173,
0.2086089,
0.2408839,
0.2799836,
0.3262306,
0.3819573,
0.449899,
0.5321804
],
[
0.0563867,
0.0788263,
0.0836187,
0.0885785,
0.0949284,
0.1027404,
0.1113062,
0.1221491,
0.1348992,
0.1499201,
0.1677223,
0.1896796,
0.2157926,
0.247516,
0.2854664,
0.3314416,
0.3868633,
0.4540615,
0.5360262
],
[
0.0694473,
0.0923537,
0.0963309,
0.1013499,
0.1074173,
0.1145847,
0.1230715,
0.1338358,
0.1467157,
0.1616435,
0.1791439,
0.2002809,
0.226117,
0.2570766,
0.2942893,
0.3395352,
0.3947551,
0.4612755,
0.5405863
],
[
0.0877759,
0.1111242,
0.1158861,
0.1206975,
0.1267934,
0.1338885,
0.1421289,
0.1517574,
0.1640155,
0.1783487,
0.197077,
0.217439,
0.2414428,
0.2717392,
0.3083655,
0.3523528,
0.4061194,
0.4717755,
0.5495827
],
[
0.1128912,
0.1383106,
0.1427424,
0.1480468,
0.1550506,
0.1610311,
0.1695506,
0.1786732,
0.1910476,
0.2057278,
0.2222162,
0.2415187,
0.2674849,
0.2962857,
0.3305821,
0.3732554,
0.4240527,
0.488263,
0.565145
]
]
},
"related_pin": "TE_B",
"rise_transition delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.0712035,
0.1358038,
0.149029,
0.1646648,
0.1834503,
0.2060899,
0.233023,
0.2661476,
0.3052038,
0.3520891,
0.4083432,
0.4757414,
0.5564684,
0.6540405,
0.7707568,
0.9108064,
1.0793245,
1.2798369,
1.5235482
],
[
0.0712743,
0.1359857,
0.1488887,
0.1647733,
0.1836356,
0.2061167,
0.2336228,
0.2657828,
0.3047124,
0.3514729,
0.4080551,
0.4759896,
0.5572114,
0.6544221,
0.7712614,
0.9134928,
1.0824963,
1.2813476,
1.5226966
],
[
0.0712733,
0.1360154,
0.1490507,
0.164597,
0.1834489,
0.2058521,
0.2334224,
0.2662429,
0.3052033,
0.3520768,
0.4082528,
0.4757542,
0.5564639,
0.6542034,
0.7711967,
0.9110901,
1.0809431,
1.2821145,
1.5221751
],
[
0.0711244,
0.1357856,
0.1491321,
0.1647329,
0.183358,
0.2062224,
0.2333636,
0.2660612,
0.3051858,
0.3520375,
0.408222,
0.4755437,
0.5565916,
0.654277,
0.7710921,
0.9110852,
1.0793317,
1.2792805,
1.5222841
],
[
0.0720608,
0.1363332,
0.1492827,
0.16491,
0.1835992,
0.2059624,
0.233447,
0.2662787,
0.3051546,
0.3520153,
0.4083665,
0.4760922,
0.5570784,
0.6541585,
0.7711187,
0.9117985,
1.0813418,
1.2806003,
1.5212681
],
[
0.0756452,
0.1376646,
0.1505844,
0.1658498,
0.1845614,
0.2068766,
0.2341384,
0.2661776,
0.304843,
0.3516586,
0.4081363,
0.4760189,
0.5572277,
0.6544684,
0.7714043,
0.9139459,
1.0827387,
1.280864,
1.5205617
],
[
0.0856727,
0.1448961,
0.1570687,
0.1718027,
0.1897334,
0.2109747,
0.2373283,
0.2683085,
0.3061544,
0.3523651,
0.4084715,
0.4761201,
0.5572592,
0.6545099,
0.7714058,
0.9132474,
1.0799024,
1.280608,
1.5204278
],
[
0.0999612,
0.162781,
0.1746556,
0.1881418,
0.2050056,
0.2254401,
0.2501909,
0.280005,
0.3160722,
0.3600884,
0.4140473,
0.4791881,
0.5581862,
0.6541575,
0.7708812,
0.9107744,
1.0805193,
1.2848642,
1.5211662
],
[
0.1226538,
0.191019,
0.2037028,
0.2190039,
0.2364375,
0.2569561,
0.2814887,
0.3091753,
0.3419983,
0.3836293,
0.4343672,
0.4960338,
0.5713288,
0.6638368,
0.7763142,
0.9131789,
1.0803533,
1.2806886,
1.5274224
],
[
0.1583634,
0.2341576,
0.2479847,
0.2639374,
0.2828006,
0.3050712,
0.3311528,
0.3615112,
0.395419,
0.4358704,
0.4824372,
0.5388799,
0.609671,
0.6965492,
0.8029544,
0.9331813,
1.0921946,
1.2894846,
1.5239493
],
[
0.2151101,
0.3012429,
0.3158584,
0.3338627,
0.3543533,
0.3788397,
0.4062182,
0.4376114,
0.4743043,
0.5183482,
0.5672694,
0.6254615,
0.6937637,
0.772282,
0.8733692,
0.9895376,
1.1408315,
1.3253639,
1.5528366
],
[
0.3026575,
0.4045845,
0.4225295,
0.4421853,
0.4641403,
0.4902231,
0.5204052,
0.5549232,
0.5931574,
0.6389814,
0.6914815,
0.7521134,
0.8253316,
0.9092495,
1.0062715,
1.1171551,
1.2530705,
1.4195773,
1.6313151
],
[
0.4345106,
0.559358,
0.5800367,
0.6039628,
0.6301669,
0.6595068,
0.693697,
0.7305352,
0.7755155,
0.8226176,
0.8787238,
0.9426845,
1.0175971,
1.1045308,
1.2056329,
1.325161,
1.4643912,
1.6284973,
1.8152179
]
]
},
"timing_sense": "negative_unate",
"timing_type": "three_state_enable"
},
{
"cell_fall delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.1170319,
0.1170669,
0.1170669,
0.1170669,
0.1170669,
0.1172383,
0.1172383,
0.1172383,
0.1172383,
0.1172383,
0.1172383,
0.1172383,
0.1172383,
0.1172383,
0.1172383,
0.117407,
0.117407,
0.117407,
0.117407
],
[
0.1209392,
0.1209392,
0.1209392,
0.1209392,
0.1213816,
0.1214451,
0.1214451,
0.1214451,
0.1215738,
0.1215738,
0.1215738,
0.1215738,
0.1215738,
0.1215738,
0.1215738,
0.1215738,
0.1215738,
0.1215738,
0.1215738
],
[
0.125229,
0.125229,
0.1252484,
0.1252484,
0.1252484,
0.1253632,
0.1253632,
0.1253632,
0.1253632,
0.1253632,
0.1253632,
0.1253632,
0.1253632,
0.1253632,
0.1253632,
0.1254062,
0.1254062,
0.1254062,
0.1254062
],
[
0.1304874,
0.1304874,
0.1304874,
0.1304874,
0.1305046,
0.1305046,
0.1305046,
0.1305046,
0.1306097,
0.1306097,
0.1306097,
0.1306097,
0.1306522,
0.1306522,
0.1306522,
0.1310461,
0.1310461,
0.1310461,
0.1310461
],
[
0.110887,
0.110887,
0.110887,
0.110887,
0.1109196,
0.1109196,
0.1109196,
0.1109196,
0.1109767,
0.1109767,
0.1109767,
0.1109767,
0.1110005,
0.1110005,
0.1110005,
0.1110005,
0.1110005,
0.1110005,
0.1110005
],
[
0.0804242,
0.0804242,
0.0804242,
0.0804242,
0.0804453,
0.0804453,
0.0804453,
0.0804453,
0.0804586,
0.0804586,
0.0804586,
0.0804586,
0.0804807,
0.0804807,
0.0804807,
0.0804807,
0.0804807,
0.0804807,
0.0804807
],
[
0.0398177,
0.0398177,
0.0398734,
0.0400992,
0.0400992,
0.0400992,
0.0400992,
0.0402073,
0.0402073,
0.0402073,
0.0402073,
0.0402073,
0.0402073,
0.0402073,
0.0402073,
0.0402073,
0.0402073,
0.0422008,
0.0422008
],
[
-0.0060344,
-0.0060344,
-0.0060344,
-0.0060344,
-0.0058566,
-0.0058566,
-0.0058566,
-0.0058566,
-0.0058566,
-0.0058566,
-0.0058003,
-0.0058003,
-0.0058003,
-0.0058003,
-0.0058003,
-0.0058003,
-0.0058003,
-0.0058003,
-0.0058003
],
[
-0.0752403,
-0.0752403,
-0.0752403,
-0.0752403,
-0.0751735,
-0.0751735,
-0.0751735,
-0.0751735,
-0.0751735,
-0.0751735,
-0.0751625,
-0.0751625,
-0.0751625,
-0.0751625,
-0.0751625,
-0.0751625,
-0.0751625,
-0.0751625,
-0.0751625
],
[
-0.1799461,
-0.1788401,
-0.1788401,
-0.1788401,
-0.1788401,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1771844,
-0.1669505,
-0.1669505,
-0.1669505,
-0.1669505
],
[
-0.3273829,
-0.3263971,
-0.3263971,
-0.3263971,
-0.3258033,
-0.3257361,
-0.3257361,
-0.3257361,
-0.3249047,
-0.3249047,
-0.3249047,
-0.3249047,
-0.3239505,
-0.3239505,
-0.3239505,
-0.3122044,
-0.3122044,
-0.3122044,
-0.3122044
],
[
-0.5488037,
-0.5488037,
-0.5488037,
-0.5488037,
-0.5484609,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258,
-0.5472258
],
[
-0.8942612,
-0.8942366,
-0.8942366,
-0.8942366,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181,
-0.8939181
]
]
},
"cell_rise delay_template13x19": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0.0098032,
0.0197132,
0.0216932,
0.0240732,
0.0269332,
0.0303532,
0.0344632,
0.0393932,
0.0453132,
0.0524132,
0.0609332,
0.0711632,
0.0834332,
0.0981632,
0.115833,
0.137033,
0.162483,
0.193013,
0.229653
],
"values": [
[
0.1421627,
0.1421627,
0.1421627,
0.1421627,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961,
0.1425961
],
[
0.1548653,
0.1548653,
0.1548653,
0.1548653,
0.1548653,
0.1548895,
0.1548895,
0.1548895,
0.1548895,
0.1548895,
0.1548895,
0.1548895,
0.1549581,
0.1549685,
0.1549685,
0.1549685,
0.1549685,
0.1549685,
0.1549685
],
[
0.1690773,
0.1690773,
0.1690773,
0.1690773,
0.1690773,
0.1691103,
0.1691103,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154,
0.1693154
],
[
0.1892763,
0.1893104,
0.1893604,
0.1894445,
0.1912417,
0.1912417,
0.1912417,
0.1912417,
0.1912417,
0.1912417,
0.1912417,
0.1912446,
0.1912446,
0.1912446,
0.1912446,
0.1912446,
0.1912446,
0.1912446,
0.1912446
],
[
0.2244241,
0.2244449,
0.2244515,
0.2244583,
0.2245289,
0.2245289,
0.2245289,
0.2245289,
0.2245289,
0.2245289,
0.2245289,
0.2245289,
0.2245317,
0.2245317,
0.2245317,
0.2245317,
0.224533,
0.224533,
0.224533
],
[
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.2734601,
0.273664,
0.273664,
0.273664,
0.273664,
0.273664
],
[
0.3393092,
0.3393092,
0.3394871,
0.3404479,
0.3404479,
0.3404479,
0.3404479,
0.3404479,
0.3404479,
0.3405238,
0.3405238,
0.3405238,
0.3405238,
0.3405238,
0.3405238,
0.3405238,
0.3405238,
0.3405238,
0.3405238
],
[
0.4247778,
0.4247827,
0.4250561,
0.4250731,
0.4251728,
0.4251728,
0.4251728,
0.425817,
0.4275158,
0.4275158,
0.4275158,
0.4275158,
0.4275158,
0.4275158,
0.4275158,
0.4275158,
0.4275158,
0.4275158,
0.4275158
],
[
0.5412303,
0.5412525,
0.5413278,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083,
0.5414083
],
[
0.6872186,
0.6872309,
0.6872309,
0.6872309,
0.6916045,
0.6916284,
0.6916284,
0.6916284,
0.6916284,
0.6916284,
0.693036,
0.693036,
0.693036,
0.693036,
0.693036,
0.693036,
0.693036,
0.693036,
0.693036
],
[
0.8852869,
0.8852869,
0.885681,
0.885681,
0.885681,
0.885681,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473,
0.8857473
],
[
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283,
1.1385283
],
[
1.4509299,
1.4524295,
1.4524295,
1.4524295,
1.456985,
1.456985,
1.456985,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834,
1.4577834
]
]
},
"fall_transition scalar": {
"values": [
0.0
]
},
"related_pin": "TE_B",
"rise_transition scalar": {
"values": [
0.0
]
},
"timing_sense": "positive_unate",
"timing_type": "three_state_disable"
}
]
}
}