blob: c699dbf4467cf1dfa2c1a246d875994fa5d7ba8b [file] [log] [blame]
{
"area": 36.7632,
"cell_footprint": "sky130_fd_sc_ls__sdfxtp",
"cell_leakage_power": 0.04437986,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "(D&!SCE) | (SCD&SCE)"
},
"leakage_power": [
{
"value": 0.0419465,
"when": "D&SCD&!SCE&!CLK"
},
{
"value": 0.0481368,
"when": "!D&SCD&SCE&!CLK"
},
{
"value": 0.0452433,
"when": "D&SCD&SCE&!CLK"
},
{
"value": 0.0416254,
"when": "D&SCD&!SCE&CLK"
},
{
"value": 0.0419465,
"when": "D&!SCD&!SCE&!CLK"
},
{
"value": 0.0485225,
"when": "!D&SCD&SCE&CLK"
},
{
"value": 0.0455834,
"when": "!D&!SCD&SCE&!CLK"
},
{
"value": 0.045629,
"when": "D&SCD&SCE&CLK"
},
{
"value": 0.049356,
"when": "D&!SCD&SCE&!CLK"
},
{
"value": 0.0414698,
"when": "!D&SCD&!SCE&!CLK"
},
{
"value": 0.0423322,
"when": "D&!SCD&!SCE&CLK"
},
{
"value": 0.0456362,
"when": "!D&!SCD&SCE&CLK"
},
{
"value": 0.0415226,
"when": "!D&SCD&!SCE&CLK"
},
{
"value": 0.0414369,
"when": "!D&!SCD&!SCE&!CLK"
},
{
"value": 0.0408858,
"when": "!D&!SCD&!SCE&CLK"
},
{
"value": 0.0488048,
"when": "D&!SCD&SCE&CLK"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,CLK": {
"capacitance": 0.002647,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.002515,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
0.0196484,
0.0195785,
0.0194961,
0.0194324,
0.0193367,
0.0192544,
0.019131,
0.0190994,
0.0190521,
0.019177,
0.0193644,
0.0196456,
0.0200675
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
0.0149604,
0.014756,
0.0145148,
0.0143833,
0.014186,
0.014089,
0.0139434,
0.0138621,
0.0137402,
0.0138346,
0.0139761,
0.0141885,
0.0145072
]
}
},
"max_transition": 5.0,
"min_pulse_width_high": 0.128064,
"min_pulse_width_low": 0.29284,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002779
},
"pin,D": {
"capacitance": 0.001886,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001825,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
0.0070147,
0.0069422,
0.0068567,
0.0068254,
0.0067785,
0.006826,
0.0068973,
0.0068895,
0.006878,
0.0069697,
0.0071071,
0.0073133,
0.0076227
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
0.0016864,
0.0015989,
0.0014956,
0.001447,
0.0013743,
0.0013438,
0.0012981,
0.0012743,
0.0012386,
0.0013112,
0.0014203,
0.001584,
0.0018295
]
}
},
"max_transition": 5.0,
"nextstate_type": "data",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001947,
"timing": [
{
"clk_width": 6.8e-08,
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
0.3071758,
0.3372593,
0.3859518,
0.4857781,
0.6878724,
1.0334669,
1.32413,
1.5830546,
1.8187861,
2.039869
],
[
0.278313,
0.3071758,
0.357089,
0.4569154,
0.6590096,
1.0070456,
1.2989292,
1.5578539,
1.7935853,
2.0146683
],
[
0.247931,
0.2780145,
0.3254863,
0.4277541,
0.6298483,
0.979105,
1.2722094,
1.5323547,
1.7693069,
1.9903897
],
[
0.2091398,
0.240444,
0.2879158,
0.3889629,
0.5910571,
0.9415345,
1.2370804,
1.4996671,
1.7378399,
1.9601434
],
[
0.1584128,
0.1872755,
0.2371888,
0.3382358,
0.5391094,
0.8895867,
1.1887947,
1.4538229,
1.6980992,
1.9228442
],
[
0.0984627,
0.1285462,
0.1796802,
0.2795065,
0.4791594,
0.8296367,
1.1288446,
1.3987556,
1.6442527,
1.8751012
],
[
0.0653681,
0.097893,
0.1478062,
0.2488533,
0.4485061,
0.7965421,
1.0969707,
1.3681023,
1.6160409,
1.84811
],
[
0.0493634,
0.0818883,
0.1305808,
0.2340693,
0.4337221,
0.7829788,
1.0821867,
1.3533184,
1.6024775,
1.8369882
],
[
0.0455655,
0.0780904,
0.126783,
0.2314921,
0.4311449,
0.7791809,
1.0796096,
1.3519619,
1.6011211,
1.834411
],
[
0.0515334,
0.0840583,
0.1327509,
0.2362394,
0.4383336,
0.7851488,
1.0855774,
1.3567091,
1.6070891,
1.8428203
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
0.1191875,
0.1443882,
0.1784323,
0.2343133,
0.3106751,
0.4023634,
0.4537686,
0.4832011,
0.4955439,
0.4969001
],
[
0.0964282,
0.1216289,
0.155673,
0.211554,
0.2866952,
0.3771628,
0.4273473,
0.4543383,
0.4666811,
0.4680374
],
[
0.0806947,
0.1058953,
0.1399395,
0.1909377,
0.2636374,
0.3516636,
0.4006274,
0.425177,
0.4362991,
0.4376553
],
[
0.0650968,
0.0902975,
0.1243416,
0.1741191,
0.241936,
0.322638,
0.3691604,
0.3924893,
0.4023907,
0.4013056
],
[
0.0509909,
0.0737502,
0.1077943,
0.1575718,
0.2229473,
0.2999871,
0.3428474,
0.3637349,
0.3699742,
0.365227
],
[
0.0459725,
0.0699525,
0.1027759,
0.1513327,
0.2142668,
0.2900859,
0.3305048,
0.3501716,
0.3564109,
0.3516637
],
[
0.0604853,
0.0844652,
0.116068,
0.1634041,
0.2263381,
0.2997159,
0.3401348,
0.3598016,
0.3672616,
0.3600729
],
[
0.0847638,
0.1087437,
0.1403464,
0.1876825,
0.2493959,
0.3227737,
0.3631925,
0.3828594,
0.3890986,
0.3843514
],
[
0.1188077,
0.141567,
0.171949,
0.2192851,
0.2809985,
0.3531555,
0.391133,
0.4132412,
0.4194805,
0.4147332
],
[
0.1552932,
0.1792732,
0.2096552,
0.2557706,
0.317484,
0.3884203,
0.4276185,
0.448506,
0.455966,
0.4512187
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"clk_width": 6.8e-08,
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
-0.1505879,
-0.1794507,
-0.2281432,
-0.330411,
-0.5019876,
-0.77434,
-0.999085,
-1.2006367,
-1.3887606,
-1.5622361
],
[
-0.1400356,
-0.1701191,
-0.2200324,
-0.3198587,
-0.501201,
-0.7808776,
-1.0105054,
-1.2169398,
-1.4038432,
-1.5846428
],
[
-0.1255228,
-0.1556063,
-0.2055195,
-0.3053459,
-0.4952331,
-0.7895581,
-1.0277309,
-1.2402688,
-1.4259514,
-1.6055303
],
[
-0.10138,
-0.1314635,
-0.1789354,
-0.2812031,
-0.472311,
-0.787388,
-1.0426506,
-1.2637335,
-1.4652853,
-1.6485263
],
[
-0.0640807,
-0.0941642,
-0.1440775,
-0.2451245,
-0.4398945,
-0.7659579,
-1.039531,
-1.2801451,
-1.497566,
-1.6954555
],
[
-0.0163377,
-0.0476419,
-0.0975552,
-0.1986022,
-0.3958136,
-0.7292012,
-1.0113192,
-1.2665818,
-1.4937683,
-1.7050856
],
[
0.0106534,
-0.0206508,
-0.0717847,
-0.1740525,
-0.3724846,
-0.7058721,
-0.9953145,
-1.2505769,
-1.4863085,
-1.7025084
],
[
0.0242167,
-0.0083082,
-0.0582214,
-0.1641513,
-0.3613627,
-0.695971,
-0.9841926,
-1.2443379,
-1.4849521,
-1.7048142
],
[
0.0280146,
-0.0045103,
-0.056865,
-0.1603534,
-0.3600063,
-0.6958352,
-0.9828361,
-1.2429815,
-1.482375,
-1.70712
],
[
0.0232673,
-0.0080369,
-0.0603915,
-0.16388,
-0.3635328,
-0.6981411,
-0.9900247,
-1.25017,
-1.488343,
-1.7130878
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
-0.0651387,
-0.0927808,
-0.1268249,
-0.1729403,
-0.2297708,
-0.2836173,
-0.3020636,
-0.2997577,
-0.285245,
-0.2597458
],
[
-0.0558071,
-0.0797871,
-0.1138312,
-0.1599466,
-0.2179979,
-0.2767272,
-0.2939527,
-0.2940883,
-0.2783549,
-0.2540764
],
[
-0.0437357,
-0.0701571,
-0.1029805,
-0.1490959,
-0.2071471,
-0.2683179,
-0.2904262,
-0.2905618,
-0.2772698,
-0.2529913
],
[
-0.0305793,
-0.0557799,
-0.0886034,
-0.1347187,
-0.1952114,
-0.2588236,
-0.284594,
-0.287171,
-0.2763204,
-0.2544833
],
[
-0.017694,
-0.041674,
-0.0744974,
-0.1206128,
-0.1823262,
-0.247159,
-0.2778123,
-0.285272,
-0.2780836,
-0.2599086
],
[
-0.0175584,
-0.0415384,
-0.0731411,
-0.1204772,
-0.1797492,
-0.2470234,
-0.2788974,
-0.2924607,
-0.290155,
-0.2780836
],
[
-0.0357333,
-0.0584926,
-0.0900953,
-0.1362107,
-0.1954827,
-0.2627569,
-0.2970723,
-0.3118563,
-0.3132127,
-0.302362
],
[
-0.0624532,
-0.0864332,
-0.1168152,
-0.1629306,
-0.2222026,
-0.2894768,
-0.3250129,
-0.3397969,
-0.3411533,
-0.332744
],
[
-0.0977179,
-0.1204771,
-0.1508591,
-0.1957538,
-0.2562465,
-0.3235207,
-0.3578361,
-0.3738408,
-0.3776387,
-0.37045
],
[
-0.1366448,
-0.1606248,
-0.1910067,
-0.2359014,
-0.2951734,
-0.361227,
-0.396763,
-0.4127677,
-0.4165656,
-0.409377
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": {
"fall_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00933,
0.0112,
0.01344,
0.01613,
0.01935,
0.02322,
0.02786,
0.03343,
0.04011,
0.04813,
0.05775,
0.0693,
0.08316,
0.09979
],
"values": [
[
0.0156701,
0.0061589,
0.0039776,
0.0013152,
-0.0018998,
-0.0057606,
-0.0104389,
-0.0160498,
-0.0227932,
-0.0309011,
-0.0406547,
-0.0523417,
-0.0663818,
-0.0832363,
-0.103456
],
[
0.0157454,
0.0062382,
0.0040453,
0.0013864,
-0.0018346,
-0.0057044,
-0.010371,
-0.0159798,
-0.0227466,
-0.0308423,
-0.0405896,
-0.0522779,
-0.0663166,
-0.08318,
-0.1034009
],
[
0.0158041,
0.0062911,
0.0040907,
0.0014486,
-0.001774,
-0.0056471,
-0.0103053,
-0.0159224,
-0.0226778,
-0.0307835,
-0.0405213,
-0.052214,
-0.0662535,
-0.0831129,
-0.1033443
],
[
0.0157916,
0.0062624,
0.0040844,
0.0014282,
-0.0017848,
-0.0056514,
-0.0103249,
-0.0159371,
-0.0226863,
-0.0307946,
-0.0405341,
-0.0522284,
-0.0662656,
-0.0831248,
-0.1033493
],
[
0.0156833,
0.0061683,
0.0039725,
0.0013261,
-0.0018952,
-0.0057556,
-0.0104255,
-0.0160438,
-0.0227972,
-0.0309008,
-0.0406373,
-0.0523341,
-0.0663751,
-0.0832338,
-0.103459
],
[
0.0156436,
0.006136,
0.0039499,
0.0012996,
-0.0019181,
-0.0057907,
-0.0104653,
-0.0160792,
-0.0228273,
-0.0309362,
-0.0406804,
-0.0523718,
-0.0664113,
-0.0832684,
-0.1034929
],
[
0.0157355,
0.0062157,
0.0040286,
0.0013719,
-0.0018348,
-0.0057117,
-0.0103841,
-0.0159881,
-0.0227405,
-0.0308498,
-0.0405913,
-0.0522859,
-0.066322,
-0.0831775,
-0.1034023
],
[
0.0171373,
0.0062977,
0.0040756,
0.0013954,
-0.0018115,
-0.0056792,
-0.0103573,
-0.0159802,
-0.022721,
-0.0308289,
-0.0405648,
-0.052262,
-0.0663061,
-0.0831699,
-0.1033923
],
[
0.017479,
0.006697,
0.0044593,
0.0017664,
-0.0014771,
-0.0053724,
-0.0100662,
-0.015709,
-0.0225069,
-0.0306785,
-0.04041,
-0.0521113,
-0.0661554,
-0.0830089,
-0.1032329
],
[
0.0174468,
0.0066556,
0.0044121,
0.0017182,
-0.0015296,
-0.0054173,
-0.0101114,
-0.0157375,
-0.0224932,
-0.0306186,
-0.0403595,
-0.0520611,
-0.0661435,
-0.0830733,
-0.1032946
],
[
0.0175384,
0.0067452,
0.0045077,
0.0018032,
-0.0014372,
-0.0053294,
-0.0100208,
-0.0156471,
-0.0224006,
-0.0305152,
-0.0402755,
-0.0519682,
-0.0660152,
-0.0828697,
-0.1030967
],
[
0.017534,
0.0067418,
0.0045021,
0.0018041,
-0.0014379,
-0.00533,
-0.0100254,
-0.0156537,
-0.0224053,
-0.0305225,
-0.0402785,
-0.0519627,
-0.0660149,
-0.0828772,
-0.1031037
],
[
0.0177205,
0.0069276,
0.004695,
0.0019912,
-0.0012555,
-0.005144,
-0.0098311,
-0.0154566,
-0.0222154,
-0.0303362,
-0.0400915,
-0.0517819,
-0.065825,
-0.0826811,
-0.1029075
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00933,
0.0112,
0.01344,
0.01613,
0.01935,
0.02322,
0.02786,
0.03343,
0.04011,
0.04813,
0.05775,
0.0693,
0.08316,
0.09979
],
"values": [
[
0.0140389,
0.0266324,
0.0289118,
0.0316588,
0.0349324,
0.0389664,
0.0437035,
0.0493131,
0.0560843,
0.0641499,
0.0738642,
0.0853964,
0.0992258,
0.1162486,
0.1360965
],
[
0.0140688,
0.0266503,
0.0290574,
0.0317207,
0.0350882,
0.0390246,
0.0436038,
0.0491987,
0.0560823,
0.0642563,
0.0739366,
0.0855793,
0.0995023,
0.1163925,
0.1358998
],
[
0.0141085,
0.0266765,
0.0289783,
0.0318456,
0.0350391,
0.0390449,
0.0437906,
0.0494142,
0.0559854,
0.0642576,
0.073926,
0.0855207,
0.0992992,
0.116329,
0.1364269
],
[
0.0140533,
0.026621,
0.0289178,
0.0317013,
0.0349489,
0.0390127,
0.0435851,
0.0491931,
0.0560868,
0.0642508,
0.0739142,
0.0855527,
0.0994806,
0.1163677,
0.1361494
],
[
0.0138793,
0.0264437,
0.0287795,
0.0316152,
0.034889,
0.0387131,
0.0435437,
0.0491869,
0.0559393,
0.0639717,
0.0735436,
0.0851967,
0.0994087,
0.1161509,
0.1359677
],
[
0.0137581,
0.0263343,
0.0286643,
0.0314094,
0.034795,
0.0387118,
0.0433663,
0.049049,
0.0555678,
0.0637156,
0.0736822,
0.0851011,
0.0990684,
0.1155329,
0.1358429
],
[
0.0137898,
0.0263878,
0.0287752,
0.0314542,
0.0346843,
0.0387573,
0.0433254,
0.0489478,
0.0556611,
0.0639767,
0.0736491,
0.0852765,
0.0990508,
0.1158423,
0.1361258
],
[
0.0145361,
0.0264306,
0.0287573,
0.031473,
0.0346505,
0.0385498,
0.0433797,
0.0490633,
0.0558474,
0.0639334,
0.073612,
0.08506,
0.0990781,
0.1160802,
0.1360481
],
[
0.0149181,
0.0266218,
0.0288319,
0.0314776,
0.0347015,
0.0387754,
0.0434838,
0.0491452,
0.0557661,
0.0638238,
0.0736016,
0.0852952,
0.0989767,
0.1158281,
0.1361212
],
[
0.0147144,
0.0264512,
0.0287782,
0.0315504,
0.0348195,
0.0387852,
0.0432584,
0.0487448,
0.0556379,
0.0636887,
0.0733265,
0.0850619,
0.0988083,
0.1156189,
0.1353038
],
[
0.0145919,
0.0262848,
0.0286186,
0.0314631,
0.0346616,
0.0386158,
0.0435043,
0.0491818,
0.0559845,
0.0640346,
0.0733585,
0.0849249,
0.0988118,
0.1155093,
0.1357723
],
[
0.0145681,
0.0262761,
0.0285727,
0.031237,
0.0346294,
0.0384785,
0.0432488,
0.0491131,
0.0559143,
0.0640726,
0.0738574,
0.0856093,
0.0995238,
0.1154521,
0.1355945
],
[
0.0146244,
0.0262515,
0.0285607,
0.0312515,
0.0345787,
0.0385242,
0.04341,
0.0490801,
0.0557513,
0.0639031,
0.07388,
0.0857026,
0.0997909,
0.1166373,
0.1367383
]
]
}
},
"max_capacitance": 0.09979,
"max_transition": 0.848908,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00933,
0.0112,
0.01344,
0.01613,
0.01935,
0.02322,
0.02786,
0.03343,
0.04011,
0.04813,
0.05775,
0.0693,
0.08316,
0.09979
],
"values": [
[
0.2514856,
0.2937663,
0.3000562,
0.3073177,
0.3157024,
0.325467,
0.3369113,
0.3504719,
0.3664656,
0.3854198,
0.408105,
0.4353193,
0.4679827,
0.5070429,
0.5536819
],
[
0.2613678,
0.3036485,
0.3099234,
0.3172019,
0.3255975,
0.3353772,
0.3468124,
0.3603438,
0.3762879,
0.3953518,
0.4182026,
0.4452052,
0.4778764,
0.5169712,
0.5634841
],
[
0.2724939,
0.3147581,
0.3210864,
0.328328,
0.3367293,
0.3465155,
0.3579807,
0.3714324,
0.3874523,
0.4064897,
0.429203,
0.4563354,
0.4887389,
0.5280023,
0.5750891
],
[
0.2879236,
0.3302189,
0.3364841,
0.3437595,
0.352158,
0.3619405,
0.3733916,
0.3869166,
0.402891,
0.4218251,
0.4446025,
0.4716769,
0.5047079,
0.543344,
0.5903902
],
[
0.3076849,
0.3499178,
0.3562187,
0.3634251,
0.371869,
0.3816436,
0.3931133,
0.4065893,
0.422525,
0.4415656,
0.4643063,
0.4914328,
0.5241922,
0.5630088,
0.6105589
],
[
0.3312047,
0.3734588,
0.3797475,
0.3870268,
0.3954171,
0.4052033,
0.4166284,
0.4301567,
0.4461618,
0.4651084,
0.4879075,
0.5151187,
0.547881,
0.5866257,
0.6335233
],
[
0.3604142,
0.4026955,
0.408969,
0.4162269,
0.4246601,
0.434405,
0.445893,
0.4593807,
0.4753081,
0.4943579,
0.5171054,
0.5443008,
0.5768155,
0.6157522,
0.6629755
],
[
0.395362,
0.4376214,
0.4439421,
0.4511745,
0.459577,
0.4693568,
0.4807927,
0.4943198,
0.5103199,
0.5292987,
0.5519104,
0.5790731,
0.6117188,
0.6508947,
0.6977766
],
[
0.4360785,
0.4783076,
0.4845895,
0.4918808,
0.500266,
0.5100621,
0.5214861,
0.5350098,
0.5510244,
0.5700327,
0.5926662,
0.6199239,
0.6523616,
0.6919821,
0.7383309
],
[
0.4801423,
0.5223769,
0.528682,
0.5359153,
0.544348,
0.554133,
0.5655898,
0.5791453,
0.5951327,
0.6140877,
0.6368266,
0.6639957,
0.696476,
0.7355216,
0.7826964
],
[
0.5258609,
0.5681649,
0.5744379,
0.5817158,
0.5901289,
0.5998769,
0.6113554,
0.624869,
0.6408351,
0.659774,
0.6825307,
0.7097518,
0.7423755,
0.7813266,
0.8282796
],
[
0.562571,
0.6048945,
0.6112107,
0.6184553,
0.6268569,
0.6366637,
0.6481009,
0.6616325,
0.6776133,
0.6965746,
0.7192603,
0.7464974,
0.7791474,
0.8181587,
0.8649627
],
[
0.5757004,
0.6179862,
0.6242711,
0.6315569,
0.6399595,
0.6497249,
0.6611849,
0.6747061,
0.6906917,
0.7096517,
0.7323594,
0.7595828,
0.7922311,
0.8312446,
0.8780368
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00933,
0.0112,
0.01344,
0.01613,
0.01935,
0.02322,
0.02786,
0.03343,
0.04011,
0.04813,
0.05775,
0.0693,
0.08316,
0.09979
],
"values": [
[
0.2377954,
0.2983935,
0.3097761,
0.3231023,
0.3394474,
0.3586695,
0.3816296,
0.4094174,
0.4424232,
0.4817944,
0.5294132,
0.5865721,
0.655127,
0.7368145,
0.8361154
],
[
0.2472069,
0.3079327,
0.3192363,
0.3326227,
0.3490276,
0.3679416,
0.3912779,
0.4188559,
0.4516087,
0.4914368,
0.5391302,
0.5961672,
0.6645259,
0.7461352,
0.844826
],
[
0.2583607,
0.3189795,
0.3303583,
0.3437665,
0.3599487,
0.3794111,
0.4021891,
0.4300104,
0.4631028,
0.5024963,
0.5499322,
0.6071301,
0.6756962,
0.7574476,
0.856895
],
[
0.2733725,
0.3339564,
0.345257,
0.3588026,
0.3750135,
0.3941397,
0.4174346,
0.4450002,
0.477788,
0.517574,
0.565217,
0.6223043,
0.6906532,
0.7723673,
0.8708941
],
[
0.2934282,
0.3541064,
0.3653638,
0.3789883,
0.394913,
0.4144221,
0.4374323,
0.4648611,
0.4981406,
0.5378194,
0.5852768,
0.6420074,
0.7103539,
0.7927846,
0.8916449
],
[
0.3174382,
0.3779951,
0.3891648,
0.4027832,
0.4189914,
0.4381726,
0.461349,
0.4887188,
0.5218759,
0.561633,
0.6089709,
0.6663896,
0.7349638,
0.8170287,
0.9153199
],
[
0.3471222,
0.4078528,
0.4191882,
0.4325303,
0.4489011,
0.4679258,
0.4911711,
0.5188288,
0.5516766,
0.5912913,
0.6390119,
0.696099,
0.7645416,
0.8463707,
0.9444807
],
[
0.3826381,
0.443361,
0.4545875,
0.4682219,
0.4842075,
0.5036581,
0.5265924,
0.5539775,
0.5871135,
0.6267734,
0.6745886,
0.7317184,
0.7998335,
0.8813698,
0.9803653
],
[
0.4246637,
0.4853867,
0.496775,
0.5101791,
0.5264399,
0.5456744,
0.5686193,
0.5964086,
0.6294228,
0.6688035,
0.71641,
0.7735679,
0.841443,
0.9236066,
1.0225495
],
[
0.4727597,
0.5333619,
0.5447356,
0.5582143,
0.5743452,
0.5937025,
0.6164771,
0.6443426,
0.6771955,
0.716978,
0.765092,
0.8212812,
0.8896957,
0.972105,
1.0707469
],
[
0.5243612,
0.5850728,
0.5964164,
0.6099705,
0.6259137,
0.6454644,
0.6683976,
0.6958791,
0.7291648,
0.7687959,
0.8162588,
0.8729333,
0.9414055,
1.023838,
1.1219794
],
[
0.5731562,
0.6339918,
0.6452273,
0.6587182,
0.6750122,
0.6940478,
0.7170501,
0.7446251,
0.7778676,
0.8177412,
0.8651823,
0.922013,
0.9900378,
1.0723694,
1.1714564
],
[
0.6049993,
0.6660856,
0.6774147,
0.6907295,
0.7070781,
0.7262634,
0.7492609,
0.7770291,
0.8100647,
0.8494995,
0.8970149,
0.9541625,
1.0227286,
1.104983,
1.2035187
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00933,
0.0112,
0.01344,
0.01613,
0.01935,
0.02322,
0.02786,
0.03343,
0.04011,
0.04813,
0.05775,
0.0693,
0.08316,
0.09979
],
"values": [
[
0.017713,
0.0527445,
0.0593054,
0.0671909,
0.0766276,
0.087707,
0.1013754,
0.1172664,
0.13772,
0.1622672,
0.1905178,
0.2269746,
0.2703091,
0.3208429,
0.3841095
],
[
0.0177132,
0.0529486,
0.0598277,
0.0671712,
0.0764546,
0.0873337,
0.1010967,
0.1176328,
0.1371082,
0.162293,
0.1912526,
0.2263181,
0.2714605,
0.3216489,
0.3837773
],
[
0.017688,
0.0532999,
0.0593003,
0.0673231,
0.0764787,
0.0875342,
0.1013518,
0.1178984,
0.1370137,
0.1615396,
0.1918919,
0.2270832,
0.2693418,
0.3226248,
0.3834602
],
[
0.0176882,
0.0528943,
0.0596296,
0.0673413,
0.076435,
0.0875939,
0.1013495,
0.1179018,
0.1374978,
0.1618733,
0.1906381,
0.2272918,
0.2688286,
0.3219236,
0.3825182
],
[
0.0176763,
0.0529009,
0.0592602,
0.0674002,
0.0763684,
0.0876888,
0.1010663,
0.1177938,
0.1372782,
0.161991,
0.1914396,
0.2268702,
0.2689833,
0.3208434,
0.3829272
],
[
0.0177209,
0.0530685,
0.0597517,
0.06726,
0.0765395,
0.0879135,
0.1009425,
0.1179677,
0.1377188,
0.16166,
0.190724,
0.2264961,
0.2703103,
0.3209263,
0.3822624
],
[
0.0177342,
0.0529903,
0.0597797,
0.0673181,
0.0765997,
0.0877607,
0.1011009,
0.1177913,
0.1379496,
0.1612954,
0.1910315,
0.2268597,
0.2689447,
0.3232385,
0.3827686
],
[
0.0177377,
0.0530868,
0.0595295,
0.0673786,
0.0765609,
0.0878792,
0.1012848,
0.1172081,
0.1373471,
0.1616327,
0.1917216,
0.2261629,
0.2688059,
0.3206837,
0.383456
],
[
0.0177265,
0.0529329,
0.0596226,
0.0671931,
0.0763621,
0.0877804,
0.1013149,
0.1172482,
0.1380042,
0.1619178,
0.1915135,
0.2261089,
0.2716571,
0.3204845,
0.3829429
],
[
0.0177668,
0.0531885,
0.0592511,
0.0674793,
0.0765714,
0.0877121,
0.1014011,
0.1172731,
0.1377748,
0.1615861,
0.1917903,
0.2274384,
0.2690836,
0.3223223,
0.3836025
],
[
0.0177724,
0.0528871,
0.0595939,
0.0671384,
0.0765696,
0.0877423,
0.1011511,
0.1174344,
0.13795,
0.162481,
0.1907162,
0.2277627,
0.269676,
0.3215175,
0.3838614
],
[
0.0177272,
0.053308,
0.0593985,
0.0673114,
0.0762943,
0.0876938,
0.1013796,
0.1173413,
0.1378363,
0.1622331,
0.1905331,
0.2271928,
0.2705521,
0.3212091,
0.3841382
],
[
0.0177219,
0.0530439,
0.0598401,
0.0672346,
0.0765387,
0.0876789,
0.1013201,
0.1172724,
0.1378147,
0.1622469,
0.1906287,
0.2272593,
0.270142,
0.321058,
0.3840451
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00933,
0.0112,
0.01344,
0.01613,
0.01935,
0.02322,
0.02786,
0.03343,
0.04011,
0.04813,
0.05775,
0.0693,
0.08316,
0.09979
],
"values": [
[
0.0187318,
0.0926565,
0.1079982,
0.126675,
0.1492149,
0.1755865,
0.2082243,
0.2466595,
0.2928615,
0.3493839,
0.4166701,
0.4967557,
0.5916964,
0.7077335,
0.848173
],
[
0.0187429,
0.0927961,
0.1082542,
0.1267483,
0.1489429,
0.1759917,
0.2077881,
0.24672,
0.2934276,
0.3486015,
0.4161141,
0.4954627,
0.593585,
0.7097278,
0.8471892
],
[
0.0187151,
0.0924259,
0.1082462,
0.1268687,
0.149007,
0.17593,
0.2081396,
0.2468833,
0.2924591,
0.3492415,
0.4167879,
0.4969685,
0.5921164,
0.7077715,
0.8479816
],
[
0.018725,
0.0922085,
0.1077981,
0.1266465,
0.1491772,
0.1760496,
0.2078536,
0.2466027,
0.2934938,
0.3485291,
0.4160857,
0.4956737,
0.5935465,
0.7100609,
0.8474622
],
[
0.0187453,
0.0925432,
0.1081712,
0.1264906,
0.1491376,
0.1756361,
0.2082203,
0.2463889,
0.293421,
0.3489214,
0.4159301,
0.4971051,
0.5924541,
0.708722,
0.8489079
],
[
0.0186677,
0.0926266,
0.1080151,
0.1269741,
0.1491636,
0.1756951,
0.2079753,
0.2471864,
0.292809,
0.3481952,
0.4156409,
0.4968507,
0.5936202,
0.7073581,
0.8480595
],
[
0.0189393,
0.0927826,
0.1080405,
0.1263142,
0.1492438,
0.1758722,
0.2081121,
0.2463244,
0.2934279,
0.348889,
0.4159191,
0.4963004,
0.5933803,
0.7093452,
0.8484539
],
[
0.0188307,
0.0924748,
0.1080968,
0.1266517,
0.1483808,
0.1750012,
0.2072643,
0.2467999,
0.292782,
0.3489539,
0.4162592,
0.4958599,
0.5936266,
0.7088587,
0.8479276
],
[
0.0190255,
0.0925062,
0.1081076,
0.1267305,
0.1491711,
0.1756594,
0.2082176,
0.2466927,
0.2928113,
0.3478655,
0.4149685,
0.4960234,
0.5927056,
0.7078742,
0.8468269
],
[
0.0188168,
0.0924726,
0.1082995,
0.1268193,
0.1488927,
0.1761196,
0.2076326,
0.2459986,
0.2933334,
0.3493559,
0.4161021,
0.4971199,
0.5926213,
0.7075964,
0.8464621
],
[
0.0190676,
0.0924756,
0.1082983,
0.1265948,
0.1491651,
0.1757652,
0.2082172,
0.2465055,
0.2934469,
0.3488451,
0.4160546,
0.4973035,
0.5936126,
0.7084052,
0.8476778
],
[
0.0193957,
0.092861,
0.1080436,
0.1266539,
0.1484686,
0.1757198,
0.2084014,
0.2467078,
0.2933417,
0.3492217,
0.4153924,
0.4972269,
0.5933352,
0.7096352,
0.8475387
],
[
0.0200611,
0.0928617,
0.1081542,
0.1268052,
0.1492432,
0.1757695,
0.2081823,
0.2468665,
0.2926188,
0.3489114,
0.416494,
0.4959044,
0.593226,
0.7079869,
0.8488102
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
},
"pin,SCD": {
"capacitance": 0.001938,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001871,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
0.0023794,
0.0023749,
0.0023696,
0.0023976,
0.0024397,
0.0024481,
0.0024608,
0.0024623,
0.0024647,
0.0024673,
0.0024711,
0.0024769,
0.0024857
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
-0.0022971,
-0.0023528,
-0.0024185,
-0.0024247,
-0.0024339,
-0.0024291,
-0.0024219,
-0.0024204,
-0.002418,
-0.0024219,
-0.0024277,
-0.0024363,
-0.0024492
]
}
},
"max_transition": 5.0,
"nextstate_type": "scan_in",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002005,
"timing": [
{
"clk_width": 6.8e-08,
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
0.3865215,
0.4190464,
0.4677389,
0.5663446,
0.7598939,
1.1140334,
1.4254484,
1.7100077,
1.9762568,
2.2266365
],
[
0.3576587,
0.3901836,
0.4388761,
0.5374818,
0.7310311,
1.0863912,
1.3978062,
1.6823656,
1.9486146,
2.1989944
],
[
0.3272767,
0.3598016,
0.4084941,
0.5070998,
0.7006491,
1.0547885,
1.3686449,
1.6544251,
1.9206741,
2.1710538
],
[
0.2884855,
0.3210104,
0.3684823,
0.4670879,
0.6606372,
1.0172181,
1.3298537,
1.6180753,
1.8855451,
2.1371454
],
[
0.2401999,
0.2739455,
0.3214173,
0.4200229,
0.6123515,
0.9677117,
1.2827888,
1.5722311,
1.8409215,
2.0949634
],
[
0.1826912,
0.2164368,
0.2651294,
0.3625143,
0.5560636,
0.9126445,
1.2289423,
1.5171638,
1.7882957,
2.0447788
],
[
0.152038,
0.1870043,
0.2356969,
0.3343025,
0.5278518,
0.8844327,
1.2007304,
1.4901727,
1.7613045,
2.0190086
],
[
0.1384747,
0.173441,
0.2221336,
0.3219599,
0.5155092,
0.8720901,
1.1883879,
1.4790508,
1.7514033,
2.0091074
],
[
0.1358975,
0.1696431,
0.2207771,
0.3206034,
0.5153735,
0.8707336,
1.1882521,
1.478915,
1.7512676,
2.0089715
],
[
0.1418655,
0.1780525,
0.2279657,
0.3277921,
0.5225621,
0.879143,
1.1954408,
1.4873244,
1.7596769,
2.0186016
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
0.1411602,
0.1663608,
0.2004049,
0.2599481,
0.3448548,
0.4585158,
0.5318936,
0.582078,
0.613952,
0.6323982
],
[
0.1171802,
0.1423809,
0.176425,
0.2347474,
0.3208748,
0.4345358,
0.506693,
0.5556567,
0.5887514,
0.6084182
],
[
0.0990052,
0.1242059,
0.15825,
0.2153517,
0.2990378,
0.4102573,
0.4811937,
0.5301575,
0.5620315,
0.582919
],
[
0.0797453,
0.104946,
0.1389901,
0.1973125,
0.2785571,
0.3848939,
0.4546096,
0.5011319,
0.533006,
0.5502313
],
[
0.0595358,
0.0847365,
0.1187806,
0.1783237,
0.2595684,
0.3646844,
0.4319587,
0.4760396,
0.5054723,
0.5226977
],
[
0.0496346,
0.0748353,
0.1088794,
0.1672018,
0.2496672,
0.3547832,
0.4220575,
0.4673591,
0.4967918,
0.5140172
],
[
0.0604853,
0.0844652,
0.1185094,
0.1756111,
0.2580764,
0.3644132,
0.4316875,
0.4782098,
0.5076424,
0.5260886
],
[
0.0835431,
0.107523,
0.1403464,
0.1974482,
0.2786928,
0.3850296,
0.4535246,
0.4988262,
0.5294795,
0.5491463
],
[
0.1139249,
0.1379049,
0.1695076,
0.2266093,
0.3078539,
0.41297,
0.481465,
0.5279873,
0.5598613,
0.5795281
],
[
0.1491897,
0.1743904,
0.2059931,
0.2618741,
0.3431187,
0.4482348,
0.5167298,
0.5632521,
0.5939054,
0.614793
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"clk_width": 6.8e-08,
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
-0.2177266,
-0.2502515,
-0.298944,
-0.3963289,
-0.582554,
-0.8988517,
-1.1724249,
-1.4240253,
-1.6573154,
-1.8747361
],
[
-0.2132778,
-0.2482441,
-0.295716,
-0.3931009,
-0.5805467,
-0.9017272,
-1.1838453,
-1.4330043,
-1.6723978,
-1.8934807
],
[
-0.2024271,
-0.234952,
-0.2848652,
-0.3822502,
-0.5733581,
-0.9055249,
-1.1949672,
-1.4502298,
-1.6896233,
-1.915589
],
[
-0.1807258,
-0.2132507,
-0.2619432,
-0.3605488,
-0.5528774,
-0.8935892,
-1.1927972,
-1.4675909,
-1.7118673,
-1.9463779
],
[
-0.1446471,
-0.1783927,
-0.2270853,
-0.3256909,
-0.5167988,
-0.8648348,
-1.171367,
-1.4510436,
-1.711189,
-1.9603481
],
[
-0.101787,
-0.1355326,
-0.1842251,
-0.28161,
-0.4751594,
-0.8280781,
-1.1394931,
-1.4228318,
-1.6915222,
-1.9419019
],
[
-0.0760165,
-0.1122035,
-0.1608961,
-0.2595017,
-0.453051,
-0.8059698,
-1.1186055,
-1.4080476,
-1.6755175,
-1.9283386
],
[
-0.0648946,
-0.0998609,
-0.1485535,
-0.2496005,
-0.4443705,
-0.7972893,
-1.1111457,
-1.4005879,
-1.6692783,
-1.9245409
],
[
-0.0635382,
-0.0997252,
-0.1496384,
-0.248244,
-0.4430141,
-0.7971536,
-1.1122306,
-1.3992315,
-1.6703632,
-1.9268464
],
[
-0.0670647,
-0.1032517,
-0.153165,
-0.2529913,
-0.448982,
-0.8043422,
-1.1169779,
-1.40642,
-1.6799933,
-1.9364765
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
-0.088332,
-0.112312,
-0.1475768,
-0.2022371,
-0.2773782,
-0.3678459,
-0.4180303,
-0.4462421,
-0.4610263,
-0.464824
],
[
-0.0741177,
-0.0993184,
-0.1333625,
-0.1880228,
-0.2656053,
-0.3585143,
-0.4099195,
-0.439352,
-0.4565776,
-0.4579338
],
[
-0.0608255,
-0.0860262,
-0.121291,
-0.1759513,
-0.2547546,
-0.3488843,
-0.4051723,
-0.4358254,
-0.4518303,
-0.4568487
],
[
-0.0427863,
-0.067987,
-0.1032518,
-0.1603535,
-0.2391567,
-0.3369486,
-0.395678,
-0.4312139,
-0.450881,
-0.4558993
],
[
-0.0250182,
-0.0502189,
-0.084263,
-0.1425854,
-0.2226094,
-0.3240633,
-0.3852341,
-0.4244322,
-0.4477613,
-0.4576625
],
[
-0.0187791,
-0.0439798,
-0.0780239,
-0.1351256,
-0.2163703,
-0.3190449,
-0.3838779,
-0.4255173,
-0.4525085,
-0.4672925
],
[
-0.0332919,
-0.0572719,
-0.091316,
-0.1484177,
-0.2284417,
-0.332337,
-0.3971699,
-0.4412508,
-0.4694627,
-0.4866881
],
[
-0.0587911,
-0.0827711,
-0.1155945,
-0.1726962,
-0.2514994,
-0.3553948,
-0.4214484,
-0.4655293,
-0.4949619,
-0.513408
],
[
-0.0903936,
-0.1155943,
-0.1484177,
-0.2042987,
-0.283102,
-0.3869973,
-0.4530509,
-0.4971318,
-0.5265645,
-0.5450106
],
[
-0.1293206,
-0.1533005,
-0.1849032,
-0.2407843,
-0.3208082,
-0.4234828,
-0.4895365,
-0.5348381,
-0.5642707,
-0.5839375
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,SCE": {
"capacitance": 0.004155,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.003989,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
0.0093393,
0.0092611,
0.0091689,
0.0091853,
0.0092099,
0.0091926,
0.0091667,
0.0091849,
0.0092123,
0.0093389,
0.009529,
0.009814,
0.0102417
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.292733,
0.4390667,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5.0
],
"values": [
-2.2171853e-05,
-0.0001896,
-0.0003872,
-0.0004438,
-0.0005288,
-0.0005548,
-0.0005938,
-0.0005822,
-0.0005648,
-0.0004623,
-0.0003086,
-7.7975583e-05,
0.0002681
]
}
},
"max_transition": 5.0,
"nextstate_type": "scan_enable",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004321,
"timing": [
{
"clk_width": 6.8e-08,
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
0.1960918,
0.2322788,
0.2846335,
0.3746942,
0.516974,
0.7392775,
0.9261807,
1.0923319,
1.2450555,
1.3880134
],
[
0.1733325,
0.2095195,
0.2618742,
0.3519349,
0.492994,
0.7165182,
0.9034215,
1.068352,
1.2210756,
1.3640335
],
[
0.1600404,
0.1950067,
0.248582,
0.3362013,
0.4784811,
0.7007846,
0.8864672,
1.0513977,
1.2029006,
1.3446378
],
[
0.1444425,
0.1794089,
0.2317635,
0.3206035,
0.4628833,
0.6851868,
0.8696487,
1.0345791,
1.1860822,
1.3290399
],
[
0.1278952,
0.1628615,
0.2152161,
0.3052768,
0.4463359,
0.6686395,
0.854322,
1.0192525,
1.1707554,
1.3124926
],
[
0.1240975,
0.1602845,
0.2114185,
0.3002585,
0.4413176,
0.6636211,
0.8493036,
1.0142341,
1.1645163,
1.3074742
],
[
0.1386103,
0.1735766,
0.2259312,
0.3147713,
0.4558303,
0.6769132,
0.8625957,
1.0263055,
1.1778085,
1.3195456
],
[
0.1628888,
0.1978551,
0.2502097,
0.3390497,
0.4801088,
0.7011916,
0.8868742,
1.050584,
1.2020869,
1.3438241
],
[
0.1944913,
0.2306783,
0.2818123,
0.371873,
0.5117113,
0.7327942,
0.917256,
1.0821865,
1.2336894,
1.3754266
],
[
0.2334182,
0.2683845,
0.3195185,
0.4095792,
0.5494176,
0.7705004,
0.956183,
1.1198928,
1.2713957,
1.4131328
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
0.1289531,
0.1541538,
0.1894186,
0.2489618,
0.3302064,
0.4292189,
0.4867276,
0.5222636,
0.5394892,
0.546949
],
[
0.1061938,
0.1301738,
0.1666593,
0.2249818,
0.3050057,
0.4040182,
0.4615269,
0.4946215,
0.5130678,
0.5180862
],
[
0.0880189,
0.1132196,
0.1484844,
0.2043654,
0.2819479,
0.378519,
0.434807,
0.4679016,
0.4839065,
0.4901456
],
[
0.068759,
0.0939596,
0.1292245,
0.1838848,
0.2590259,
0.3507142,
0.4033401,
0.4352139,
0.4499981,
0.4550165
],
[
0.0485495,
0.0737502,
0.1102357,
0.1636753,
0.2375957,
0.3268426,
0.3770271,
0.4052389,
0.4188023,
0.4213793
],
[
0.039869,
0.0650697,
0.1003345,
0.1537741,
0.2252531,
0.3132793,
0.3634638,
0.3904548,
0.4040183,
0.4053746
],
[
0.0519404,
0.0759203,
0.1099644,
0.1634041,
0.2336624,
0.3204679,
0.3694316,
0.3976434,
0.4112069,
0.4137839
],
[
0.0749981,
0.0989781,
0.1318015,
0.1840204,
0.2542787,
0.3398635,
0.3888273,
0.4170391,
0.4318232,
0.4344002
],
[
0.10538,
0.1293599,
0.1621834,
0.2131816,
0.2834399,
0.3678039,
0.4167677,
0.4462002,
0.4597637,
0.4623407
],
[
0.1418655,
0.1646248,
0.1974482,
0.2496671,
0.3187047,
0.4018481,
0.4508118,
0.4802443,
0.4950285,
0.4976054
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"clk_width": 6.8e-08,
"fall_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
-0.1652363,
-0.2038647,
-0.2501159,
-0.3462801,
-0.5215189,
-0.8134025,
-1.0637824,
-1.2921894,
-1.5035067,
-1.7050584
],
[
-0.1571255,
-0.1945332,
-0.242005,
-0.33939,
-0.5170702,
-0.8150573,
-1.0715406,
-1.302389,
-1.5173685,
-1.7189202
],
[
-0.1426126,
-0.1787996,
-0.2262715,
-0.3260978,
-0.5074401,
-0.8200757,
-1.0826625,
-1.3208352,
-1.5394768,
-1.747132
],
[
-0.1209113,
-0.1534362,
-0.200908,
-0.298293,
-0.484518,
-0.8069193,
-1.0829338,
-1.3333135,
-1.5605001,
-1.7742587
],
[
-0.0848327,
-0.1173576,
-0.1648294,
-0.2622143,
-0.4496602,
-0.7745028,
-1.0590622,
-1.321649,
-1.5586012,
-1.7870083
],
[
-0.0383104,
-0.072056,
-0.1195278,
-0.2169128,
-0.4043586,
-0.7328633,
-1.0235263,
-1.2897751,
-1.5352722,
-1.7685621
],
[
-0.0113193,
-0.0462856,
-0.0937574,
-0.192363,
-0.3822502,
-0.710755,
-1.0026387,
-1.2688875,
-1.5192674,
-1.7549987
],
[
0.0010234,
-0.0327222,
-0.0826355,
-0.1812411,
-0.3711283,
-0.7020745,
-0.9927375,
-1.2614277,
-1.5105869,
-1.747539
],
[
0.0060419,
-0.0301451,
-0.0800583,
-0.178664,
-0.3697719,
-0.700718,
-0.9926017,
-1.261292,
-1.5128925,
-1.7522859
],
[
0.0025154,
-0.0324509,
-0.0835849,
-0.1834112,
-0.3732984,
-0.7054653,
-0.9985696,
-1.2648185,
-1.5164191,
-1.7558125
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"index_2": [
0.0333333,
0.1041667,
0.2083333,
0.4166667,
0.8333333,
1.6666667,
2.5,
3.3333334,
4.1666666,
5.0
],
"values": [
[
-0.0736836,
-0.0988843,
-0.1341491,
-0.1839266,
-0.2444193,
-0.30559,
-0.3301397,
-0.3327167,
-0.3243075,
-0.3036912
],
[
-0.0606899,
-0.0846699,
-0.1199347,
-0.1697122,
-0.2326463,
-0.2974792,
-0.3220289,
-0.3270473,
-0.3161967,
-0.296801
],
[
-0.0461771,
-0.0713778,
-0.1078633,
-0.1576408,
-0.2217956,
-0.2902905,
-0.3172817,
-0.3247414,
-0.3151116,
-0.2969366
],
[
-0.0293586,
-0.0545592,
-0.0898241,
-0.1408223,
-0.2061978,
-0.2795755,
-0.3114495,
-0.3213507,
-0.3153829,
-0.2972079
],
[
-0.0128112,
-0.0380119,
-0.072056,
-0.1242749,
-0.1896504,
-0.2654696,
-0.303447,
-0.3170103,
-0.3171461,
-0.3026332
],
[
-0.0077928,
-0.0329935,
-0.0670376,
-0.1180358,
-0.1834113,
-0.2604512,
-0.3020908,
-0.3217576,
-0.3255554,
-0.3183668
],
[
-0.0235263,
-0.0462856,
-0.0803297,
-0.1313279,
-0.1967034,
-0.274964,
-0.3166035,
-0.337491,
-0.3437303,
-0.3389831
],
[
-0.0490255,
-0.0730055,
-0.1046082,
-0.1556064,
-0.2209819,
-0.2980217,
-0.340882,
-0.3629902,
-0.3704502,
-0.3669237
],
[
-0.0818487,
-0.104608,
-0.1362107,
-0.1872089,
-0.2525844,
-0.3296243,
-0.3724845,
-0.3958135,
-0.4044941,
-0.4009676
],
[
-0.1207756,
-0.1435349,
-0.1751376,
-0.2249151,
-0.2902906,
-0.3661098,
-0.4089701,
-0.432299,
-0.4409797,
-0.4398945
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"test_cell": {
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "D"
},
"pin,CLK": {
"direction": "input"
},
"pin,D": {
"direction": "input"
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"signal_type": "test_scan_out"
},
"pin,SCD": {
"direction": "input",
"signal_type": "test_scan_in"
},
"pin,SCE": {
"direction": "input",
"signal_type": "test_scan_enable"
}
}
}