blob: d606d2ccaabad565623ee5bae6be5d318bfd7cf8 [file] [log] [blame]
{
"area": 4.7952,
"cell_footprint": "sky130_fd_sc_ls__inv",
"cell_leakage_power": 0.00203229,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0039467,
"when": "A"
},
{
"value": 0.0001179,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.004768,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004572,
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004964
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
-0.003763,
-0.0166495,
-0.0193429,
-0.0226036,
-0.0265273,
-0.0312321,
-0.0368874,
-0.0436732,
-0.0518262,
-0.0616095,
-0.0733585,
-0.0874411,
-0.1043566,
-0.1246587,
-0.1490372,
-0.178283,
-0.2133727,
-0.2554748,
-0.3060412,
-0.366646,
-0.4394069,
-0.5267274,
-0.6315174,
-0.7572785
],
[
-0.0040696,
-0.016765,
-0.0194546,
-0.0226932,
-0.0266019,
-0.031289,
-0.0369441,
-0.0437049,
-0.0518551,
-0.0616233,
-0.0733803,
-0.0874664,
-0.1043878,
-0.1246866,
-0.1490611,
-0.1782904,
-0.2133807,
-0.2554895,
-0.3060213,
-0.3666544,
-0.4394098,
-0.526733,
-0.6315272,
-0.7572656
],
[
-0.0043214,
-0.0168699,
-0.0195464,
-0.0227829,
-0.0266653,
-0.0313565,
-0.0370156,
-0.0437756,
-0.051917,
-0.061675,
-0.0734068,
-0.0874805,
-0.1044087,
-0.1247033,
-0.1490702,
-0.1783008,
-0.2133937,
-0.2554992,
-0.3060265,
-0.3666663,
-0.43943,
-0.5267404,
-0.6315284,
-0.7572718
],
[
-0.0045516,
-0.0170867,
-0.0197748,
-0.0229073,
-0.0267741,
-0.0314567,
-0.037077,
-0.0438378,
-0.0519632,
-0.0617251,
-0.0734574,
-0.0875338,
-0.1044425,
-0.1247268,
-0.1490882,
-0.1783072,
-0.213398,
-0.2555165,
-0.3060476,
-0.3666792,
-0.4394339,
-0.5267481,
-0.631537,
-0.7572835
],
[
-0.004796,
-0.0173057,
-0.0198839,
-0.0230743,
-0.0269272,
-0.0315769,
-0.0371913,
-0.043934,
-0.0520477,
-0.061797,
-0.0735099,
-0.0875699,
-0.1044677,
-0.1247632,
-0.1491267,
-0.1783378,
-0.2134151,
-0.2555172,
-0.3060644,
-0.366686,
-0.4394412,
-0.5267543,
-0.6315393,
-0.7572805
],
[
-0.0049384,
-0.0174768,
-0.0201053,
-0.0232888,
-0.0271332,
-0.0317753,
-0.0373825,
-0.0441157,
-0.0521704,
-0.0619252,
-0.0736262,
-0.0876662,
-0.1045783,
-0.1248442,
-0.1491841,
-0.178401,
-0.2134751,
-0.2555704,
-0.3060972,
-0.3667015,
-0.4394728,
-0.5267647,
-0.6315492,
-0.75728
],
[
-0.0047828,
-0.0177336,
-0.0203479,
-0.0235302,
-0.0273684,
-0.0319979,
-0.0375809,
-0.0443377,
-0.052437,
-0.0620334,
-0.0737168,
-0.087762,
-0.104637,
-0.1248958,
-0.1492257,
-0.1784425,
-0.2135047,
-0.2555974,
-0.3061098,
-0.3667278,
-0.4394808,
-0.5267853,
-0.6315763,
-0.7573127
],
[
-0.0049627,
-0.0180165,
-0.0206445,
-0.0238071,
-0.0275999,
-0.0322038,
-0.0377673,
-0.0444641,
-0.0525233,
-0.0622298,
-0.0738973,
-0.0879007,
-0.104765,
-0.1250105,
-0.1493316,
-0.1785314,
-0.2135824,
-0.2556577,
-0.3061623,
-0.3667693,
-0.4395244,
-0.5268317,
-0.6316046,
-0.7573489
],
[
-0.0050712,
-0.0180032,
-0.020647,
-0.0239019,
-0.0278415,
-0.0324997,
-0.0380504,
-0.0447213,
-0.0527786,
-0.062444,
-0.0740982,
-0.0880914,
-0.1049195,
-0.1251451,
-0.1494632,
-0.178639,
-0.2136618,
-0.2557407,
-0.3062279,
-0.3668215,
-0.4395668,
-0.5268499,
-0.6316156,
-0.7573485
],
[
-0.0051075,
-0.0181857,
-0.0208438,
-0.0240533,
-0.027904,
-0.0325281,
-0.0381486,
-0.0450182,
-0.0530603,
-0.0627245,
-0.0743634,
-0.0883354,
-0.1051686,
-0.1253631,
-0.1496526,
-0.1787934,
-0.2138236,
-0.2558739,
-0.3063488,
-0.36693,
-0.4396416,
-0.5269236,
-0.6316843,
-0.757437
],
[
-0.0050413,
-0.0183868,
-0.0210597,
-0.024258,
-0.0281186,
-0.0327472,
-0.0383219,
-0.0450177,
-0.0530717,
-0.0629002,
-0.0746512,
-0.0886058,
-0.1054216,
-0.1256108,
-0.149889,
-0.1789945,
-0.2140014,
-0.256031,
-0.3064875,
-0.3670489,
-0.4397897,
-0.5270568,
-0.6317982,
-0.7574775
],
[
-0.0052064,
-0.0185365,
-0.0212134,
-0.0243874,
-0.0282611,
-0.0329234,
-0.0385232,
-0.0452432,
-0.0532993,
-0.0629834,
-0.0746301,
-0.0886763,
-0.1056884,
-0.1258667,
-0.1501143,
-0.1792386,
-0.2142285,
-0.2562239,
-0.3066684,
-0.3672159,
-0.4399043,
-0.5271457,
-0.6318769,
-0.7575683
],
[
-0.0051175,
-0.0186333,
-0.0213357,
-0.0245619,
-0.0284513,
-0.0331251,
-0.0386971,
-0.0454361,
-0.0535254,
-0.0632316,
-0.0748934,
-0.0888879,
-0.1057073,
-0.1259002,
-0.1503669,
-0.179542,
-0.2145042,
-0.2564827,
-0.3069079,
-0.3674515,
-0.4401206,
-0.5273426,
-0.6320473,
-0.7577072
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0134193,
0.028072,
0.0308362,
0.0342392,
0.0382046,
0.0427908,
0.0484645,
0.0553854,
0.0631068,
0.0730592,
0.0845805,
0.0988879,
0.1160712,
0.1355227,
0.1599801,
0.1891883,
0.22393,
0.2656503,
0.3153751,
0.3758078,
0.4480698,
0.5348975,
0.6388436,
0.7622409
],
[
0.0132076,
0.0278001,
0.0306164,
0.0338244,
0.0377782,
0.0426608,
0.048354,
0.0550671,
0.0634186,
0.0733707,
0.0846639,
0.0983989,
0.1156126,
0.1358764,
0.1601316,
0.1890494,
0.2241482,
0.2656463,
0.3158348,
0.3759921,
0.4470408,
0.5350153,
0.6385262,
0.7622196
],
[
0.0130759,
0.0275779,
0.0303215,
0.03371,
0.0378496,
0.0426088,
0.0483714,
0.0549701,
0.063244,
0.0729746,
0.0843317,
0.0985946,
0.1152223,
0.1357216,
0.1600599,
0.1887971,
0.2238999,
0.2653173,
0.3150686,
0.3761367,
0.4485197,
0.533964,
0.6376194,
0.7619151
],
[
0.0129771,
0.0274228,
0.0300297,
0.0335405,
0.0375053,
0.0421249,
0.0480059,
0.0546509,
0.0626292,
0.0725938,
0.0844362,
0.0983626,
0.1155157,
0.1358387,
0.1600148,
0.1892578,
0.224216,
0.265644,
0.3161578,
0.3758567,
0.4483305,
0.5340409,
0.6378771,
0.7624779
],
[
0.0128813,
0.0273137,
0.0298809,
0.0331818,
0.0373438,
0.0421534,
0.0476668,
0.0546184,
0.0627475,
0.0724488,
0.0844115,
0.098107,
0.1152089,
0.1351526,
0.1597303,
0.1885878,
0.2240668,
0.2654928,
0.3157103,
0.3754554,
0.4470479,
0.5349863,
0.6384248,
0.7619029
],
[
0.0128427,
0.0269785,
0.0298581,
0.0330209,
0.0368918,
0.0415266,
0.0474217,
0.0543964,
0.0621701,
0.0724045,
0.084089,
0.0981909,
0.1151991,
0.1355505,
0.1592267,
0.1888756,
0.2233812,
0.2656505,
0.315288,
0.3748755,
0.4482934,
0.533925,
0.6381427,
0.7622185
],
[
0.012795,
0.02671,
0.0295223,
0.0327396,
0.0368626,
0.0413516,
0.0474069,
0.0538721,
0.062307,
0.0719808,
0.0833434,
0.0976755,
0.1142253,
0.1354538,
0.1590071,
0.187687,
0.2224721,
0.2648642,
0.3147177,
0.3758516,
0.4482507,
0.5341991,
0.6384818,
0.7624376
],
[
0.012748,
0.0265649,
0.0293184,
0.032759,
0.0366312,
0.0411938,
0.0470541,
0.0538749,
0.0621276,
0.0717151,
0.0833204,
0.0974535,
0.1141713,
0.1351645,
0.1586777,
0.1877673,
0.2229142,
0.2647821,
0.3157401,
0.3758912,
0.4476401,
0.5347076,
0.6377566,
0.762237
],
[
0.0126727,
0.026571,
0.0292478,
0.0324956,
0.036335,
0.0411076,
0.0466619,
0.053666,
0.0618783,
0.0713105,
0.0829665,
0.0973612,
0.11415,
0.1345903,
0.158785,
0.1873698,
0.2228683,
0.2647898,
0.314664,
0.3748898,
0.4466387,
0.5345338,
0.6368851,
0.7620588
],
[
0.0126662,
0.026485,
0.0291553,
0.0324045,
0.0363554,
0.0410004,
0.0466225,
0.0536044,
0.0617837,
0.0713632,
0.0831345,
0.0971316,
0.1143144,
0.1341545,
0.1581949,
0.1880394,
0.2227071,
0.2638852,
0.3143389,
0.3743448,
0.4461772,
0.5336613,
0.6371865,
0.7615572
],
[
0.0120866,
0.026409,
0.029208,
0.032491,
0.0364313,
0.041049,
0.0468627,
0.0533374,
0.0613837,
0.0711982,
0.0829523,
0.0970178,
0.1139035,
0.1336329,
0.1585226,
0.1872102,
0.221775,
0.2646577,
0.3135067,
0.3742707,
0.4470391,
0.5325446,
0.6361246,
0.7607337
],
[
0.0120014,
0.025745,
0.0286601,
0.0322841,
0.0363403,
0.0408877,
0.0465994,
0.0532635,
0.061325,
0.0708828,
0.0826594,
0.0970375,
0.1136112,
0.1334468,
0.1579861,
0.1873043,
0.2213942,
0.2642333,
0.3144564,
0.3739856,
0.4459404,
0.5326725,
0.6365555,
0.7607441
],
[
0.0121165,
0.0258772,
0.0286007,
0.0318644,
0.0356921,
0.0405332,
0.0465923,
0.0532523,
0.0614945,
0.0712695,
0.0827293,
0.0965468,
0.113722,
0.1336533,
0.1576956,
0.1871681,
0.2220282,
0.2639454,
0.313151,
0.373793,
0.4460123,
0.5321302,
0.635317,
0.7606854
]
]
}
},
"max_capacitance": 0.151987,
"max_transition": 3.108935,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0136357,
0.0291194,
0.0320083,
0.0355108,
0.0400033,
0.0449024,
0.0508203,
0.0580528,
0.0667169,
0.0772937,
0.0899376,
0.1046264,
0.1225674,
0.1450038,
0.1712327,
0.2013598,
0.2384355,
0.2830334,
0.3374465,
0.4013892,
0.4783685,
0.5732023,
0.6844187,
0.8158952
],
[
0.0166544,
0.0323523,
0.0352365,
0.0387719,
0.043256,
0.048329,
0.0542859,
0.0612562,
0.0699185,
0.0810015,
0.0932148,
0.1078254,
0.1258412,
0.1478386,
0.1738262,
0.2055567,
0.2424039,
0.2863749,
0.3400846,
0.4043372,
0.4814379,
0.5771163,
0.686817,
0.8191524
],
[
0.0200314,
0.0362515,
0.0392839,
0.0427651,
0.0469602,
0.0523352,
0.0577692,
0.0649967,
0.0740425,
0.0849489,
0.0972068,
0.1117717,
0.1296574,
0.1511608,
0.1769967,
0.2100935,
0.2459243,
0.2901729,
0.3437242,
0.4092302,
0.4864681,
0.5782717,
0.6892598,
0.8225416
],
[
0.0241219,
0.041861,
0.0447248,
0.0486589,
0.0528673,
0.0579926,
0.0639652,
0.0711704,
0.0798553,
0.0911672,
0.1024922,
0.117957,
0.1352429,
0.1565796,
0.1824203,
0.2139099,
0.2517876,
0.2955106,
0.3490275,
0.4158613,
0.4908492,
0.5833352,
0.6944333,
0.8302683
],
[
0.0293393,
0.0507406,
0.0540606,
0.0576076,
0.0618878,
0.0669337,
0.0731226,
0.0803289,
0.0888944,
0.0995887,
0.1118105,
0.1268555,
0.1448068,
0.1671839,
0.1923569,
0.2228815,
0.2593402,
0.3046981,
0.3584702,
0.4220892,
0.4991595,
0.5917374,
0.7066975,
0.837704
],
[
0.0354641,
0.063466,
0.0669524,
0.0708655,
0.0752161,
0.080238,
0.0861219,
0.0930713,
0.1025784,
0.1118362,
0.1246677,
0.1397479,
0.1578099,
0.1788993,
0.2047985,
0.2359465,
0.2733136,
0.3194092,
0.3719564,
0.4359613,
0.5145566,
0.60787,
0.7160189,
0.8493619
],
[
0.0430828,
0.0786078,
0.083331,
0.0882281,
0.0936556,
0.0998375,
0.1063305,
0.1135543,
0.1218222,
0.1332458,
0.1459617,
0.1608695,
0.1787568,
0.2004314,
0.2260672,
0.2575947,
0.2943222,
0.3394107,
0.3929496,
0.4569959,
0.5338088,
0.6256994,
0.7377418,
0.8688077
],
[
0.053001,
0.0973947,
0.1035287,
0.1099313,
0.117209,
0.1254404,
0.1335206,
0.1427228,
0.1529376,
0.1639864,
0.1764378,
0.1914533,
0.2094628,
0.2310636,
0.2567497,
0.2884137,
0.3260307,
0.3689607,
0.4231464,
0.4877823,
0.5655069,
0.6558138,
0.7653367,
0.8983324
],
[
0.0640735,
0.121283,
0.1286512,
0.1366578,
0.1462836,
0.1560633,
0.166918,
0.1784848,
0.1915347,
0.2059337,
0.2209737,
0.2373015,
0.2557279,
0.2772061,
0.3030448,
0.3340689,
0.3717628,
0.4164027,
0.4693674,
0.5343759,
0.6116102,
0.7035677,
0.8163673,
0.9499839
],
[
0.0771626,
0.1508829,
0.1603032,
0.1706407,
0.1819254,
0.1942812,
0.2088853,
0.2238048,
0.2400053,
0.257543,
0.2764882,
0.2973812,
0.3201347,
0.3451017,
0.3726343,
0.4033376,
0.4404063,
0.484471,
0.5379139,
0.6026433,
0.6803827,
0.7712296,
0.8801593,
1.0129174
],
[
0.0902197,
0.1884878,
0.2006319,
0.2140059,
0.228718,
0.2448728,
0.2622614,
0.2810641,
0.3015645,
0.3239837,
0.3478987,
0.374536,
0.4027644,
0.4337435,
0.4675634,
0.5046785,
0.5443636,
0.5888008,
0.6420756,
0.706427,
0.7818166,
0.8741913,
0.9849642,
1.1181067
],
[
0.1041399,
0.2334174,
0.2492601,
0.2664553,
0.2851899,
0.3055418,
0.3280063,
0.3520906,
0.378223,
0.4065711,
0.4373062,
0.4705808,
0.5066428,
0.5458161,
0.588829,
0.6350757,
0.6850036,
0.7394529,
0.798631,
0.8634718,
0.9401705,
1.0326692,
1.143823,
1.2762738
],
[
0.1146445,
0.286997,
0.3075721,
0.3300042,
0.3543223,
0.3807578,
0.4090525,
0.4408769,
0.4737809,
0.5095471,
0.5491987,
0.5917501,
0.6374679,
0.6864247,
0.7406034,
0.7982071,
0.8611756,
0.929846,
1.0026103,
1.0838344,
1.1704602,
1.2668152,
1.3772874,
1.5100343
]
]
},
"cell_rise,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0251245,
0.0694905,
0.0778197,
0.08796,
0.0997589,
0.1144858,
0.1319602,
0.1518007,
0.1758899,
0.2047529,
0.2396918,
0.2840324,
0.3334861,
0.392873,
0.4653115,
0.5559627,
0.6587471,
0.7826039,
0.9361953,
1.1192141,
1.330523,
1.5921541,
1.9054165,
2.2773117
],
[
0.0284611,
0.0727763,
0.0811899,
0.0909108,
0.1028647,
0.1171438,
0.1341144,
0.1554591,
0.1796508,
0.2093322,
0.244228,
0.2856206,
0.3369053,
0.3993681,
0.4731009,
0.5572163,
0.6627405,
0.7873965,
0.9413939,
1.1204493,
1.3364141,
1.5964121,
1.9094393,
2.2826134
],
[
0.0328263,
0.0766884,
0.0847984,
0.095207,
0.10718,
0.1215663,
0.138986,
0.1585823,
0.1839643,
0.2129007,
0.2483692,
0.2903782,
0.3401922,
0.4008292,
0.476057,
0.5639499,
0.6666652,
0.7918204,
0.9424191,
1.1239625,
1.339753,
1.6004299,
1.9123911,
2.2881521
],
[
0.0397505,
0.0829582,
0.0913355,
0.1016358,
0.1134913,
0.127445,
0.1458776,
0.1657743,
0.1893411,
0.2182286,
0.2534338,
0.2955034,
0.3486852,
0.4098229,
0.4802976,
0.568052,
0.6726155,
0.7985902,
0.9489094,
1.1295863,
1.3459759,
1.6104885,
1.9181248,
2.2936981
],
[
0.0501176,
0.0934124,
0.1015851,
0.1114436,
0.1233117,
0.1378046,
0.1543933,
0.1754611,
0.1999432,
0.2288399,
0.2648662,
0.3071815,
0.3574845,
0.4176056,
0.4901467,
0.581238,
0.682777,
0.8072155,
0.9613705,
1.1385349,
1.3563951,
1.6167743,
1.9283748,
2.3036435
],
[
0.0637958,
0.1087235,
0.1166212,
0.1266227,
0.1384524,
0.1522121,
0.1690853,
0.1893357,
0.2149725,
0.2453137,
0.2804405,
0.3225916,
0.372025,
0.4346293,
0.5046382,
0.5946719,
0.7001268,
0.8244351,
0.9741864,
1.1543506,
1.3720607,
1.6299343,
1.9415107,
2.3169371
],
[
0.0818177,
0.1314627,
0.1396383,
0.1494032,
0.1609461,
0.1752555,
0.1939977,
0.2142274,
0.2384692,
0.2674578,
0.3021855,
0.3438645,
0.3940343,
0.4528458,
0.5296,
0.6109209,
0.718381,
0.8414515,
0.9923683,
1.1738742,
1.3896768,
1.6509648,
1.9628272,
2.3384694
],
[
0.1052196,
0.1668203,
0.1750637,
0.1849428,
0.1966233,
0.2106445,
0.227475,
0.2477167,
0.2720236,
0.2999846,
0.3375834,
0.3806571,
0.430323,
0.4917644,
0.5638378,
0.6518872,
0.7556235,
0.8810866,
1.0336566,
1.2131498,
1.4309071,
1.6917633,
2.0020581,
2.3779871
],
[
0.1368557,
0.2180299,
0.2268858,
0.2378814,
0.2504128,
0.2644677,
0.2814035,
0.301876,
0.3260756,
0.3557816,
0.3911841,
0.4332063,
0.4829996,
0.5436582,
0.6164882,
0.7038691,
0.8082875,
0.9335001,
1.0845226,
1.2640401,
1.4805925,
1.7418437,
2.0554254,
2.4299869
],
[
0.1786031,
0.2838521,
0.2970535,
0.3115414,
0.3274233,
0.3447872,
0.3635382,
0.3841685,
0.4082245,
0.4374013,
0.4721867,
0.5138737,
0.5620595,
0.6242461,
0.6952521,
0.7825807,
0.887644,
1.012446,
1.1627507,
1.3442396,
1.5604885,
1.8234543,
2.135798,
2.5073295
],
[
0.2341524,
0.3715894,
0.3885023,
0.4071003,
0.4274051,
0.4495898,
0.4737205,
0.499945,
0.5284408,
0.5593345,
0.5939008,
0.635762,
0.6861779,
0.7470053,
0.8191973,
0.906727,
1.0117701,
1.1358137,
1.289148,
1.4668584,
1.6858472,
1.9417405,
2.2538482,
2.6350571
],
[
0.308208,
0.487696,
0.509783,
0.5340901,
0.5605849,
0.5895912,
0.6199148,
0.6534377,
0.6897312,
0.7292664,
0.7721824,
0.8161536,
0.8669974,
0.9273053,
0.9998777,
1.0868528,
1.1919615,
1.3161314,
1.4665604,
1.6468573,
1.8650792,
2.1254729,
2.4383979,
2.8130016
],
[
0.407196,
0.6434294,
0.6719705,
0.7032371,
0.7372685,
0.7743801,
0.8147539,
0.8585944,
0.9053158,
0.9556208,
1.0102018,
1.069264,
1.133623,
1.2013008,
1.2755652,
1.3621401,
1.4666913,
1.5918203,
1.7419697,
1.9228694,
2.1391211,
2.397947,
2.7107561,
3.0861154
]
]
},
"fall_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0049611,
0.0240334,
0.0278278,
0.0322671,
0.0377382,
0.0449502,
0.0523507,
0.0619044,
0.0732495,
0.0865344,
0.1031636,
0.1233925,
0.1468611,
0.1765537,
0.2083878,
0.2502627,
0.2980473,
0.3563844,
0.427244,
0.5109399,
0.6088748,
0.7338696,
0.8744161,
1.0525478
],
[
0.0051429,
0.0239122,
0.0277976,
0.0323414,
0.0377293,
0.04429,
0.0523473,
0.0617516,
0.0729339,
0.0865898,
0.1031842,
0.1233092,
0.1468078,
0.1742201,
0.2082888,
0.2494377,
0.2989345,
0.3570255,
0.4256183,
0.509811,
0.6122011,
0.7315191,
0.8764595,
1.0530142
],
[
0.0064018,
0.0239147,
0.0278058,
0.0323683,
0.0377195,
0.0444273,
0.0523334,
0.0616206,
0.0732604,
0.0866113,
0.102942,
0.123153,
0.1466632,
0.1745654,
0.2084091,
0.2493037,
0.2983113,
0.3550887,
0.4279071,
0.511419,
0.6110054,
0.731484,
0.8783927,
1.0512906
],
[
0.0083115,
0.0245216,
0.0280963,
0.0323967,
0.0377829,
0.0442055,
0.0523908,
0.0620565,
0.0733848,
0.0865816,
0.1033527,
0.1225637,
0.1467964,
0.1751182,
0.2079467,
0.2508947,
0.2989411,
0.3553161,
0.4258727,
0.510432,
0.6097078,
0.732524,
0.8772164,
1.048773
],
[
0.0108069,
0.0266083,
0.029684,
0.0337153,
0.03886,
0.0451681,
0.0523697,
0.0615667,
0.0730832,
0.0867963,
0.1030914,
0.1229314,
0.14742,
0.1747404,
0.2090078,
0.2496928,
0.2984642,
0.3565798,
0.4273283,
0.5099997,
0.6106874,
0.7330987,
0.8756804,
1.0527779
],
[
0.0143017,
0.0317798,
0.0342533,
0.037658,
0.0422771,
0.0477477,
0.054671,
0.0637092,
0.0739625,
0.0873942,
0.1031591,
0.1223357,
0.1464055,
0.1755014,
0.2091305,
0.2497928,
0.2998552,
0.3568893,
0.4270425,
0.5085555,
0.6130144,
0.7310041,
0.8782922,
1.051903
],
[
0.0191558,
0.0400512,
0.0441294,
0.0472746,
0.0506168,
0.0547909,
0.0606211,
0.0683921,
0.0785099,
0.0899706,
0.1054175,
0.1239498,
0.1458868,
0.174861,
0.2087026,
0.2499471,
0.2979675,
0.358294,
0.4270167,
0.5133943,
0.6107346,
0.7322045,
0.8760154,
1.0520067
],
[
0.0253079,
0.05241,
0.0547994,
0.0587346,
0.0630537,
0.0697214,
0.0733752,
0.0796671,
0.0879047,
0.0988788,
0.1118857,
0.1286532,
0.1501403,
0.1764425,
0.2104924,
0.2504648,
0.2992463,
0.3557812,
0.4266366,
0.5110909,
0.6110488,
0.7312401,
0.8774948,
1.0522963
],
[
0.0341859,
0.0670895,
0.0716429,
0.0767824,
0.080794,
0.0862737,
0.0928381,
0.100251,
0.1104709,
0.1176577,
0.1288362,
0.1430531,
0.1622073,
0.1868898,
0.2158947,
0.2528067,
0.3015148,
0.357099,
0.4262142,
0.5103168,
0.6119174,
0.7343373,
0.8771971,
1.0502119
],
[
0.0471455,
0.0874805,
0.0926904,
0.0985865,
0.1051785,
0.1124197,
0.1187439,
0.1274971,
0.1371887,
0.1472471,
0.1598166,
0.1738757,
0.1875262,
0.2081104,
0.2345063,
0.2680401,
0.3109604,
0.3645276,
0.4300998,
0.5097717,
0.6116758,
0.7334272,
0.8789785,
1.0523475
],
[
0.0659354,
0.1136793,
0.119902,
0.12689,
0.1344657,
0.1432561,
0.1528427,
0.1633369,
0.1749314,
0.1875227,
0.2015713,
0.2183629,
0.2353731,
0.2543291,
0.2758923,
0.3044681,
0.3411086,
0.3891974,
0.4481822,
0.524862,
0.6198777,
0.7334403,
0.8770513,
1.0526299
],
[
0.0906782,
0.1506638,
0.1583882,
0.1673327,
0.1769076,
0.1874724,
0.1987705,
0.2115827,
0.2256738,
0.2411271,
0.2581711,
0.2769318,
0.2976328,
0.3209378,
0.3449377,
0.3745853,
0.4050816,
0.4446344,
0.4955002,
0.5644788,
0.6504126,
0.7551091,
0.8897538,
1.0541671
],
[
0.1281587,
0.2018274,
0.2112107,
0.2219422,
0.2338132,
0.2465545,
0.2613995,
0.2763223,
0.2930185,
0.31304,
0.3338932,
0.3562165,
0.3813285,
0.4078404,
0.4394713,
0.4742271,
0.5099112,
0.5528161,
0.6029535,
0.6538433,
0.7245481,
0.8190392,
0.9406812,
1.0901786
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.4816333,
2.2223333,
3.3333333,
5.0
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0139791,
0.0706805,
0.0816401,
0.0963637,
0.1129204,
0.1314848,
0.1551381,
0.1836185,
0.214687,
0.254969,
0.3040157,
0.3618166,
0.4332695,
0.5135535,
0.6125709,
0.7341904,
0.8800604,
1.048856,
1.2561768,
1.5042989,
1.8020452,
2.1599382,
2.5921294,
3.100489
],
[
0.0140114,
0.0706171,
0.0818141,
0.0945332,
0.1106639,
0.130582,
0.1538426,
0.1828214,
0.2174013,
0.2566703,
0.3031014,
0.3613367,
0.4314201,
0.513834,
0.6149447,
0.7370181,
0.8781768,
1.05126,
1.2562897,
1.5027962,
1.8029828,
2.1582902,
2.5920239,
3.1000333
],
[
0.0140033,
0.0706393,
0.081134,
0.0955911,
0.1128348,
0.1319714,
0.155337,
0.1817342,
0.2173522,
0.257757,
0.3037131,
0.3607681,
0.4304951,
0.5142081,
0.613875,
0.7336349,
0.8805999,
1.0497704,
1.2567822,
1.5053568,
1.8013385,
2.1594946,
2.5826419,
3.102824
],
[
0.0140466,
0.0705662,
0.081029,
0.0962663,
0.1116255,
0.1302271,
0.1552998,
0.183113,
0.2152473,
0.2547424,
0.3028581,
0.3615566,
0.430577,
0.5150178,
0.6167031,
0.7356546,
0.8796922,
1.0516488,
1.2574243,
1.5057792,
1.8052565,
2.1597324,
2.5884372,
3.0947986
],
[
0.0163657,
0.0708602,
0.0817253,
0.0944897,
0.1118602,
0.1324104,
0.154049,
0.183229,
0.2149526,
0.2564947,
0.3058986,
0.3606853,
0.4300574,
0.5138328,
0.6129203,
0.7343009,
0.8795722,
1.0515528,
1.2564664,
1.501241,
1.802669,
2.157049,
2.5932556,
3.0976617
],
[
0.0219105,
0.0709631,
0.0818557,
0.0957893,
0.1118669,
0.1300018,
0.1539532,
0.1815883,
0.216743,
0.2551424,
0.3040876,
0.3603292,
0.4315905,
0.5152231,
0.6145668,
0.7340319,
0.8778879,
1.0505191,
1.2543901,
1.5029633,
1.7997801,
2.159886,
2.5887017,
3.1059206
],
[
0.028172,
0.0722995,
0.0831932,
0.0958051,
0.1119695,
0.1317567,
0.1555139,
0.181372,
0.2147719,
0.2560426,
0.3035317,
0.3608411,
0.4307414,
0.5156572,
0.6124133,
0.7321726,
0.8782655,
1.0497094,
1.2577777,
1.5064895,
1.8019611,
2.1598119,
2.587736,
3.1089351
],
[
0.037131,
0.0807321,
0.0895959,
0.1013163,
0.1154058,
0.1324871,
0.1556277,
0.1820543,
0.2166826,
0.2564021,
0.3037968,
0.3609743,
0.4302183,
0.5151715,
0.6129516,
0.7332335,
0.8750791,
1.0483973,
1.2588376,
1.5050784,
1.8036231,
2.1595792,
2.5937565,
3.099907
],
[
0.0487822,
0.097081,
0.1063146,
0.114827,
0.1267119,
0.1419151,
0.1617509,
0.1866756,
0.219004,
0.2567565,
0.3025209,
0.3608757,
0.431448,
0.514508,
0.6139654,
0.7316533,
0.8769209,
1.0508544,
1.2522606,
1.5032703,
1.8010015,
2.1574247,
2.5937816,
3.0989389
],
[
0.0656131,
0.1243801,
0.1321206,
0.1402803,
0.1504494,
0.1639723,
0.1800573,
0.2020991,
0.2294791,
0.2651246,
0.3081646,
0.3635678,
0.4315544,
0.5135475,
0.613675,
0.7336368,
0.8786521,
1.0500909,
1.2543884,
1.5033214,
1.799575,
2.1589766,
2.5930527,
3.1012999
],
[
0.0880971,
0.1609379,
0.1705435,
0.1808894,
0.1932057,
0.206052,
0.2218992,
0.2371625,
0.2603346,
0.2901049,
0.3293218,
0.3781683,
0.441322,
0.5172878,
0.6145744,
0.7324718,
0.8755158,
1.0500837,
1.2543783,
1.5018767,
1.8015546,
2.1608861,
2.5844582,
3.1070575
],
[
0.120632,
0.2110827,
0.2230292,
0.2363436,
0.2499946,
0.264113,
0.282763,
0.3033861,
0.3224113,
0.3473237,
0.3800313,
0.4218228,
0.4749177,
0.5433196,
0.6316515,
0.7419851,
0.8770339,
1.0497315,
1.2567142,
1.5040315,
1.7972056,
2.1636828,
2.5822215,
3.104909
],
[
0.163702,
0.277644,
0.2916151,
0.3075693,
0.3251337,
0.3442172,
0.3659227,
0.3903611,
0.4153071,
0.4434099,
0.4726659,
0.5072356,
0.5539945,
0.6110586,
0.6874232,
0.7846889,
0.9086498,
1.0656306,
1.2626038,
1.5030092,
1.8019992,
2.1623421,
2.5925504,
3.1034464
]
]
},
"timing_sense": "negative_unate"
}
}
}